JP6562518B2 - high−k、金属ゲートCMOSプロセスフローへのメモリトランジスタの集積 - Google Patents

high−k、金属ゲートCMOSプロセスフローへのメモリトランジスタの集積 Download PDF

Info

Publication number
JP6562518B2
JP6562518B2 JP2016545744A JP2016545744A JP6562518B2 JP 6562518 B2 JP6562518 B2 JP 6562518B2 JP 2016545744 A JP2016545744 A JP 2016545744A JP 2016545744 A JP2016545744 A JP 2016545744A JP 6562518 B2 JP6562518 B2 JP 6562518B2
Authority
JP
Japan
Prior art keywords
gate
nvm
mos
layer
transistor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016545744A
Other languages
English (en)
Other versions
JP2016536807A (ja
Inventor
ラムクマール クリシュナスワミ
ラムクマール クリシュナスワミ
Original Assignee
ロンギチュード フラッシュ メモリー ソリューションズ リミテッド
ロンギチュード フラッシュ メモリー ソリューションズ リミテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ロンギチュード フラッシュ メモリー ソリューションズ リミテッド, ロンギチュード フラッシュ メモリー ソリューションズ リミテッド filed Critical ロンギチュード フラッシュ メモリー ソリューションズ リミテッド
Publication of JP2016536807A publication Critical patent/JP2016536807A/ja
Application granted granted Critical
Publication of JP6562518B2 publication Critical patent/JP6562518B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2658Bombardment with radiation with high-energy radiation producing ion implantation of a molecular ion, e.g. decaborane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28211Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a gaseous ambient using an oxygen or a water vapour, e.g. RTO, possibly through a layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7923Programmable transistors with more than two possible different levels of programmation
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Materials Engineering (AREA)
  • Composite Materials (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

[関連出願へのクロスリファレンス]
本出願は、35 U.S.C. 119(e)の下、2013年9月27日に出願された、米国仮特許出願シリアル番号61/883,873号の優先権を主張するものであり、当該出願の開示全体は、参照によりここに組み込まれる。
[技術分野]
本開示は、概して、半導体デバイスに関するものであり、より具体的には、埋め込まれた又は集積して形成されたSONOSベースの不揮発性メモリ(NVM)トランジスタ、及び、high−k誘電体及び金属ゲートを含む金属酸化膜半導体(MOS)トランジスタを含むメモリセル及びその製造方法に関する。
システムオンチップのような多くのアプリケーションでは、金属酸化膜半導体(MOS)電界効果トランジスタ及び不揮発性メモリ(NVM)トランジスタベースのロジックデバイス及びインターフェース回路を、単一のチップ又は基板に集積させることが望ましい。この集積は、MOSトランジスタ及びNVMトランジスタの両方の製造プロセスに深刻な影響を与えることがある。MOSトランジスタは、典型的には、標準的又は基本的な相補型金属酸化膜半導体(CMOS)プロセスフローを用いて製造され、CMOSプロセスフローは、導電体材料、半導体材料及び誘電体材料の製造及びパターニングを伴う。このようなCMOSプロセスフローでは、生成物であるMOSトランジスタが適切に機能することを保証するために、使用される処理試薬の組成及び濃度及び温度と同様に、これらの材料の組成は、各工程において厳密に制御される。
不揮発性メモリ(NVM)デバイスは、不揮発性メモリトランジスタ及び酸化ケイ素―窒化物―酸化物―半導体(SONOS)ベースのトランジスタを含み、これらのトランジスタは電荷トラップゲートスタックを含み、電荷トラップゲートスタックには、1又は0のロジックとして情報を格納するために不揮発性メモリの閾値電圧を変化させる電荷が格納又はトラップされる。電荷トラップゲートスタックの形成は、2つの誘電体又は酸化物層の間に挟まれる窒化物又は酸窒化物の電荷トラップ層の形成を伴うものであり、これらは、基本的なCMOSプロセスフローのそれらとは大きく異なる材料及びプロセスを用いて典型的に製造されるものであり、MOSトランジスタの製造によって有害な影響を与える又は及ぼすことがある。
特に、MOSトランジスタのゲート酸化物又は誘電体の形成は、電荷トラップ層の厚さ又は組成を変えることで、先に形成された電荷トラップゲートスタックの性能を、かなり低下させる可能性がある。28nm及びそれを超えると、CMOS技術は、二酸化ケイ素又は酸窒化ケイ素の代わりに薄いhigh−k誘電体、及び、ポリシリコンの代わりに金属ゲートを用いることに切り替わるだろう。これらの要素のためのプロセスフローは、現在のCMOS及びNVMプロセスフローとは大きく異なる。加えて、この集積は、基本的なCMOSプロセスフローに深刻な影響を与えることがあり、また、一般的には、マスクセット及びプロセス工程の相当な数が要求され、これによって、デバイス製造の費用が追加され、動作するデバイスの収率が減る可能性がある。
本発明の実施形態は、以下の詳細な説明と、添付の図面及び以下に提供する添付の特許請求の範囲とにより完全に理解されるだろう。
ゲートファースト方式で、high−k誘電体及び金属ゲートを含む不揮発性メモリ(NVM)トランジスタ及び金属酸化物半導体(MOS)トランジスタを含むメモリセルを製造するための方法の一実施形態を示すフローチャートである。 図1の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 図1の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 図1の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 図1の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 図1の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 図1の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 図1の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 図1の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 図1の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 図1の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 図1の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 図1の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 図1の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 図1の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 ゲートラスト方式で、high−k誘電体及びメモリセルを含むNVMトランジスタ及びMOSトランジスタを含むメモリセルを製造するための別の方法の別の実施形態を示すフローチャートである。 図3の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 図3の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 図3の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 図3の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 図3の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 図3の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 図3の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 図3の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 図3の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 トラップ層にhigh−k誘電体を含むNVMトランジスタ及びMOSトランジスタを含むメモリセルを製造するための方法のさらに別の実施形態を示すフローチャートである。 図5の方法によるメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 図5の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 図5の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 図5の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 図5の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 図5の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 トンネル誘電体にhigh−k誘電体を含むNVMトランジスタ及びMOSトランジスタを含むメモリセルを製造するための方法のさらに別の実施形態を示すフローチャートである。 図7の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 図7の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 図7の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 図7の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。 図7の方法に係るメモリセル製造中のメモリセルの一部の断面図を示すブロック図である。
メモリセルを生成するために、高誘電定数(high−k)のゲート誘電体及び金属ゲートを有する金属酸化膜半導体電界効果トランジスタ(MOSFET)を含む、相補型金属酸化膜半導体(CMOS)製造プロセス又はプロセスフローへ不揮発性メモリ(NVM)トランジスタを集積させる方法の実施形態を、図を参照してここに説明する。しかしながら、特定の実施形態は、これらの特定の詳細のうち一以上がなくても実施することができ、又は、他の公知の方法、材料及び装置を組み合わせて実施することができる。以下の記載では、本発明の完全な理解を提供するために、例えば特定の材料、寸法及びプロセスパラメータ等といった、多くの特定の詳細が説明される。他の例では、公知の半導体設計及び製造技術は、本発明を不必要に不明瞭にすることを避けるため、特定の詳細には記載しない。この明細書を通して言及される「一実施形態」は、本発明の少なくとも1つの実施形態に含まれる実施形態に関連して記載される特定の特徴、構造、材料又は特性を意味する。従って、この明細書を通して様々な箇所おける用語「一実施形態において」の出現は、本発明の同一の実施形態を必ずしも言及しているわけではない。さらに、特定の特徴、構造、材料又は特性は、一以上の実施形態において任意の適した方式で組み合わせることができる。
ここで使用されるような用語「上方」、「下方」、「間」及び「上」は、他の層に対する1つの層の相対位置に関連する。これに関して、例えば、別の層の上方に又は別の層の下方に堆積又は配置される1つの層は、他の層に直接接触してもよいし、又は、一以上の介在層を有してもよい。さらに、層の間に堆積又は配置される1つの層は、層と直接接触してもよいし、一以上の介在層を有してもよい。対照的に、第2の層「上」の第1の層は、その第2の層と接触する。加えて、他の層に対する1つの層の相対位置は、基板の絶対位置を考慮することなく、スタートとなる基板に対する膜の堆積工程、修正工程及び除去工程を想定して提供される。
NVMトランジスタは、酸化ケイ素―窒化物―酸化物―半導体(SONOS)又は金属―酸化物―窒化物―酸化物―シリコン(MONOS)技術を用いて実装される、メモリトランジスタ又はデバイスを含んでいてもよい。
high−k、金属ゲートCMOSプロセスフローへNVMトランジスタを集積又は埋め込むための方法の実施形態を、図1及び図2A〜2Nを参照して詳細に説明する。図1は、ゲートファースト方式又はプロセスフローの一実施形態を示すフローチャートである。図2A〜2Nは、図1の方法に係るメモリセル製造中のNVMトランジスタ及び金属酸化膜半導体(MOS)トランジスタを含むメモリセル200の一部の断面図を示すブロック図である。
図1及び図2Aを参照すると、プロセスは、ウェハ又は基板204内に多くの分離構造202を形成することから始まる(ステップ102)。分離構造202は、基板204の隣接領域(図示せず)内に形成されたメモリセルから、形成されているメモリセルを分離し、及び/又は、複数の隣接しているMOS領域212a〜212c内に形成されている一以上のMOSトランジスタ210a〜210cから、基板のNVM領域208に形成されているNVMトランジスタ206を分離する。分離構造202は、酸化物又は窒化物のような誘電体材料を含み、限定ではないが浅溝分離(STI)又は局所酸化シリコン(LOCOS)を含む任意の従来の技術で形成することができる。基板204は、半導体デバイス製造に適した任意の単結晶又は多結晶から構成されるバルクウェハであってもよく、又は、基板上に形成される適切な材料の上部エピタキシャル層を含んでいてもよい。適切な材料は、限定ではないが、シリコン、ゲルマニウム、シリコンゲルマニウム又はIII−V化合物半導体材料を含む。
一般的に、実施形態に示すように、パッド酸化物214がNVM領域208及びMOS領域212a〜212cの両方における基板204の表面216の上方に形成される。パッド酸化物214は、約10ナノメートル(nm)〜約20nmの厚さを有する二酸化ケイ素(SiO)を含むことができ、熱酸化処理又はその場の蒸気生成(ISSG)によって成長させることができる。
図1及び図2Bを参照すると、その後、NVM領域208及び一以上のMOS領域212a〜212c内にウェルを同時に形成するように及びMOS領域内に形成されるMOSトランジスタのチャネル218を形成するように、ドーパントがパッド酸化物214を通して基板204へ注入される(ステップ104)。ドーパントは任意の種類及び濃度であってよく、任意のエネルギーで注入してもよく、任意のエネルギーは、NVMトランジスタ206及び/又はMOSトランジスタ210a〜210cのウェル又はディープウェルを形成するために及び/又はMOSトランジスタのチャネルを形成するために必要とされるエネルギーを含む。図2Bに示す特定の実施形態では、NVM領域及びMOS領域212bにディープNウェル220を形成するように、適切なイオン種のドーパントが注入されている。ディープNウェル220の上方、又は、ディープNウェル220内には、入力/出力電界効果トランジスタ(I/O FET)のような高電圧(HV)トランジスタが形成される。図示しないが、MOS領域212a及び212cでは、標準的なトランジスタ又は低電圧電界効果トランジスタ(LVFET)のような低電圧トランジスタのために、ウェル又はディープウェルを形成することができることが理解されよう。LVFETは、PMOS LVFET(PLVFET)又はNMOS LVFET(NLVFET)とすることができ、ウェルのドーパントは、それに応じて選択される。さらに、基板204の表面216上方のフォトレジスト又はPR層のようなマスク層の堆積及びパターニングによって、及び、適切なエネルギーで適切なイオン種を適切な濃度に注入することによって、ウェルが形成されることが理解される。
一以上のMOSトランジスタ210a〜210cのチャネル218は、基板204の一以上のMOS領域212a〜212c内に形成される。ウェルの注入と同様に、基板204の表面216上方にフォトレジストのようなマスク層を堆積させパターニングすること及び適切なエネルギーで適切なイオン種を適切な濃度に注入することによって、チャネル218が形成される。例えば、BFは、N型MOS(NMOS)トランジスタを形成するために、約10〜約100キロエレクトロンボルト(keV)のエネルギー及び約1e12cm−2〜約1e14cm−2のドーズ量で注入することができる。P型MOS(PMOS)トランジスタも同様に、任意の適したドーズ量及びエネルギーでヒ素又はリンイオンを注入することで形成することができる。MOS領域212a〜212cの全てにおいて同時に又はMOS領域の1つをマスクするパターニングを含む標準的なリソグラフィ技術を用いて別の時間に、チャネル218を形成するように注入が使用され得ることが理解される。
次に、図1及び図2Cを参照すると、パターニングされたトンネルマスク222がMOS領域212a〜212c上に形成され又はMOS領域212a〜212cを覆い、最適なエネルギー及び濃度のドーパントが、NVMトランジスタ206のチャネル224を形成するように、トンネルマスク内のウインドウ又は開口部を通して注入され、少なくともNVM領域208内のトンネルマスク及びパッド酸化物214が除去される(ステップ106)。トンネルマスクは、フォトレジスト層、又は、窒化物又は窒化ケイ素層のパターニングから形成されるハードマスクを含むことができる。
一実施形態では、チャネル224は、pチャネルNVMトランジスタ206を形成するように、約50〜約500キロエレクトロンボルト(keV)のエネルギー及び約5e11m−2〜約5e12cm−2のドーズ量のボロンイオン(BF)を用いて注入することができる。代替的に、ヒ素又はリンは、nチャネルNVMトランジスタ206を形成するために、パッド酸化物214を通して注入することができる。
NVM領域208上方のパッド酸化物214は、マスク222を介して除去され、例えば、界面活性剤を包含する10:1の緩衝酸化物エッチング(BOE)を用いたウェット洗浄プロセスで除去される。代替的に、20:1のBOEウェットエッチング、50:1のフッ化水素酸(HF)ウェットエッチング、パッドエッチング又は他の任意の同様のフッ化水素酸系のウェットエッチング化学を用いて、ウェット洗浄プロセスは行うことができる。フォトレジストトンネルマスク222は、酸素プラズマを用いて灰化又は剥離することができる。ハードマスクは、ウェット又はドライエッチングプロセスを用いて除去することができる。
図1及び図2D〜2Eを参照すると、ONO層226としてまとめて示される多くの誘電体又は酸化物―窒化物―酸化物(ONO)層が、NVM領域208内にNVMトランジスタ206のゲートスタック228を形成するように、基板204の表面216、ONO層上に形成又はONO層を覆うマスク及びエッチングされたONO層の上方に、形成又は堆積される(ステップ108)。必要に応じて、このステップに先だって、ウェット又はドライプロセスを用いて成し遂げられる前洗浄を行ってもよい。特定の一実施形態では、前洗浄は、基板204の材料に対して選択性の高いHF又は標準的な洗浄(SC1)及びSC2を用いたウェットプロセスを含む。SC1は、典型的には、約10分間、50〜80℃で、水酸化アンモニウム(NHOH)、過酸化水素水(H)及び水(HO)の1:1:5の水溶液を用いて実行される。SC2は、約50〜80℃で、HCl、H及びHOの1:1:10の水溶液に短時間浸すことで実行される。
図2Eを参照すると、誘電体又はONO堆積は、基板204のNVM領域208におけるNVMトランジスタ206の少なくともチャネル224上方へのトンネル誘電体230の形成から始まる。トンネル誘電体230は、任意の材料であってよく、また、NVMトランジスタ206がバイアスされないとき、リークに対して適した障壁を維持しつつ、印加されるゲートバイアス下で電荷トラップ層上へ電荷キャリアをトンネリングすることを可能にする任意の厚さを有してもよい。ある実施形態では、トンネル誘電体230は、酸化ケイ素、酸窒化ケイ素又はそれらの組み合わせであり、また、ISSG又はラジカル酸化を用いた熱酸化プロセスにより、成長させることができる。
一実施形態では、二酸化ケイ素のトンネル誘電体230は、熱酸化プロセスで熱的に成長させてもよい。例えば、二酸化ケイ素層は、酸素(O)ガスのような酸素を含有するガス又は大気において、750セ氏温度(℃)〜800℃で、ドライ酸化を利用して成長させてもよい。熱酸化プロセスは、基板の露出した表面の酸化及び消耗によってトンネル誘電体230が約1.0ナノメートル(nm)〜約3.0nmまでの厚さを有するよう成長するように、約50〜150分の範囲の期間で実行される。
別の実施形態では、二酸化ケイ素のトンネル誘電体230は、プラズマ形成のような点火イベント無く、互いに約1:1の比率の水素(H)及び酸素(O)ガスをプロセスチャンバへ流すことを伴い、言い換えれば、蒸気を形成するためにH及びOを熱分解するよう典型的に使用される、ラジカル酸化プロセスで成長させてもよい。代わりに、H及びOは、基板の表面で、OHラジカル、HOラジカル、Oラジカルのようなラジカルを形成するために、約0.5〜約5Torrの範囲のおおよその圧力で、約900℃〜約1000℃の範囲のおおよその温度で反応することを許容される。ラジカル酸化プロセスは、基板の露出した表面の酸化及び消耗によってトンネル誘電体230が約1.0ナノメートル(nm)〜約4.0nmまでの厚さを有するよう成長するように、約1〜約10分の範囲のおおよその期間で実行される。この図及びこれに続く図において、トンネル誘電体230の厚さが、パッド酸化物240に対し、明確性を目的として約7倍の厚さに誇張されていることを理解されたい。ラジカル酸化プロセスで成長させたトンネル誘電体230は、ウェット酸化技術で形成させて厚さを減らしたトンネル誘電体よりも、より高い密度であり、より少ない水素atoms/cmから実質的に構成される。ある実施形態では、製造施設が要求する処理能力(ウェハ/時)に影響を与えることなく高品質のトンネル誘電体230を提供するために、ラジカル酸化プロセスは、バッチ処理チャンバ又は複数の基板を処理できる炉で行われる。
別の実施形態では、トンネル誘電体230は、化学蒸着(CVD)又は原子層堆積により堆積され、また、誘電体層から構成され、誘電体層は、限定ではないが、二酸化ケイ素、酸窒化ケイ素、窒化ケイ素、酸化アルミニウム、酸化ハフニウム、酸化ジルコニウム、ハフニウムシリケート、ジルコニウムシリケート、酸窒化ハフニウム、酸化ハフニウムジルコニウム及び酸化ランタンを含むことができる。別の実施形態では、トンネル誘電体230は、例えば、限定ではないが、二酸化ケイ素又は酸窒化ケイ素といった材料の下層と、限定ではないが、窒化ケイ素、酸化アルミニウム、酸化ハフニウム、酸化ジルコニウム、ハフニウムシリケート、ジルコニウムシリケート、酸窒化ハフニウム、酸化ハフニウムジルコニウム及び酸化ランタンを含むことができる上層とを含む複数層のトンネル誘電体である。
再び、図2Eを参照すると、電荷トラップ層232は、トンネル誘電体230上に形成又はトンネル誘電体230を覆っている。一般的には、実施形態に示すように、電荷トラップ層は、少なくとも富酸素(oxygen-rich)で電荷トラップが実質的にない下部又はトンネル誘電体230により近い第1の電荷トラップ層232aと、第1の電荷トラップ層に対して富シリコン(silicon-rich)及びリーン酸素(oxygen-lean)であり、かつ複数の電荷トラップ層に分配される電荷トラップの多くを含む上部又は第2の電荷トラップ層232bとを含む複数の層を備える複数の電荷トラップ層である。
複数層の電荷トラップ層232の第1の電荷トラップ層232aは、窒化ケイ素(Si)、富シリコンの窒化ケイ素又は酸窒化ケイ素(SiO(H))を含むことができる。例えば、第1の電荷トラップ層232aは、酸窒化ケイ素層を含むことができ、酸窒化ケイ素層は、約1.5nmと約4.0nmとの間の厚さを有し、また、富シリコン及び富酸素の酸窒化物層を提供するように適合した比率及び流量のジクロロシラン(DCS)/アンモニア(NH)及び亜酸化窒素(NO)/NHガス混合物を用いたCVDプロセスで形成される。
その後、複数層の電荷トラップ層の第2の電荷トラップ層232bが、第1の電荷トラップ層232aの上方に形成される。第2の電荷トラップ層232bは、第1の電荷トラップ層232aのそれとは異なる、酸素、窒素及び/又はシリコンの化学量論組成を有する窒化ケイ素及び酸窒化ケイ素層を含むことができる。第2の電荷トラップ層232bは、約2.0nmと約10.0nmとの間の厚さを有する酸窒化ケイ素層を有することができ、また、富シリコン、リーン酸素の上部窒化物層を提供するよう適合した比率及び流量のDCS/NH及NO/NHガス混合物を含む処理ガスを用いたCVDプロセスで形成又は堆積される。
ここで使用されるように、用語「富酸素」及び「富シリコン」は、当該分野で共通に採用され、組成(Si)を有し、かつ屈折率(RI)が約2.0である化学量論の窒化ケイ素又は「窒化物」に関連する。従って、「富酸素」酸窒化ケイ素は、化学量論の窒化ケイ素からシリコン及び酸窒化物のより高い重量%の方へのシフトを伴う(つまり、窒素が低減する)。それゆえ、富酸素酸窒化ケイ素膜は、二酸化ケイ素と同様のものであり、RIは、純二酸化ケイ素の1.45RIの方に低減する。同様に、ここに記載される「富シリコン」のような膜は、化学量論の窒化ケイ素から、「富酸素」膜よりも酸素の少ないシリコンのより高い重量%の方へのシフトを伴う。従って、富シリコンの酸窒化ケイ素膜は、シリコンと同様のものであり、RIは、純シリコンの3.5RIの方に増加する。
再び、図2Eを参照すると、多くの誘電体層は、電荷トラップ層232上に形成される又は電荷トラップ層232を覆うブロッキング誘電体層又はブロッキング誘電体234をさらに含む。一実施形態では、ブロッキング誘電体234は、第2の電荷トラップ層232bを覆う窒化ケイ素の酸化部分を含むことができ、これは、ブロッキング誘電体234を形成するようにその場の蒸気生成(ISSG)又はラジカル酸化によって実質的に酸化される。他の実施形態では、ブロッキング誘電体234は、酸化ケイ素(SiO)又は酸窒化ケイ素(SiON)を含むことができ、これらは、プラズマのような点火イベントの有無に関わらないバッチ又は単一基板処理チャンバ内で実行されるCVDで、堆積される。ブロッキング誘電体234は、同一組成を実質的に有する酸化ケイ素の単一層とすることができ、化学量論組成において勾配を有する酸窒化ケイ素の単一層とすることができ、又は、後述の実施形態のような、第2の電荷トラップ層232bの少なくとも下部又は第2の電荷トラップ層232bを覆う第1のブロッキング誘電体層及び第2のブロッキング誘電体層を覆う第2のブロッキング誘電体層を含む複数層のブロッキング誘電体とすることができる。
一実施形態では、ブロッキング誘電体234は、窒化ケイ素、富シリコンの窒化ケイ素又は富シリコンの酸窒化ケイ素層を含むことができ、これらは、2.0nmと4.0nmとの間の厚さを有し、NO/NH及びDCS/NHを用いてCVDプロセスで形成される。
図1及び2Fを参照すると、ゲート酸化又はGOX前洗浄が行われ、MOSトランジスタ210a〜210cのゲート酸化物がMOS領域212a〜212c内に形成される(ステップ110)。図2Fを参照すると、GOX前洗浄は、高い選択性の洗浄処理で、MOS領域及びブロッキング誘電体234の少なくとも一部から、パッド酸化物214を除去する。この洗浄プロセスによって、ゲート酸化物の成長のために、MOS領域212a〜212cにおける基板204が調整される。例示的な一実装では、パッド酸化物214は、ウェット洗浄プロセスで除去される。代替的に、ウェット洗浄プロセスは、20:1のBOEウェットエッチング、50:1のフッ化水素酸(HF)ウェットエッチング、パッドエッチング又はフッ化水素酸系のウェットエッチング化学と同様の任意の他を用いて実行することができる。他の実施形態では、洗浄プロセス化学は、ブロッキング誘電体234の僅かな部分のみを除去するように選択される。
ある実施形態では、図2Fに示されるようなMOSトランジスタ210a〜210cのゲート酸化物を形成する酸化プロセスは、デュアルゲート酸化プロセスであり、デュアルゲート酸化プロセスによって、I/O FET210bのようなHVトランジスタの1つのMOS領域212bにおける基板204の表面216上方への第1の、厚い、ゲート酸化物236と、残りのMOS領域212a及び212cにおけるNLVFET210a及びPLVFET210cのようなLVトランジスタの第2の、より薄いゲート酸化物238との両方の製造が可能になる。一般的に、デュアルゲート酸化プロセスは、ここに記載される方法に従い任意の公知の酸化プロセスを用いて、MOS領域212a〜212c全ての上方にゲート酸化物236をより厚く形成すること、標準的なリソグラフィ技術を用いてMOS領域212b及びNVM領域208を覆うパターニングフォトレジストマスクを形成すること、及び、界面活性剤を含有する10:1の緩衝酸化エッチング(BEO)を用いたウェットエッチングプロセスによりMOS領域212a及び212cにおける厚いゲート酸化物を除去すること、その後、フォトレジストマスクを剥離又は除去し、第2のより薄いゲート酸化物238を成長又は堆積させることを伴う。より薄いゲート酸化物238は、例えば、約1nm〜約3nmの厚さに成長させることができる。最初に形成される厚いゲート酸化物236の厚さを制御することで、追加の酸化物を単に厚いゲート酸化物の厚さに非実質的に加えるために、MOS領域212bの上方に追加のフォトレジストマスクを形成する必要性がないことが理解されよう。同様に、より薄いゲート酸化物238を形成する酸化プロセスは、ブロッキング誘電体234に有害な影響を与えることがほとんどない。
別の実施形態では、厚いゲート酸化物236を形成する酸化プロセスは、より厚い酸化物ブロッキング誘電体234又は複数層ブロッキング誘電体の追加のHTL層を提供するために、NVMトランジスタ206のゲートスタック238の上方に高温酸化物(HTO)を同時に形成することにも用いられる。酸化プロセスは、プラズマのような点火イベントの有無に関わらないバッチ又は単一基板処理チャンバで実行される、その場の蒸気生成(ISSG)、CVD又はラジカル酸化を含むことができる。例えば、一実施形態では、厚いゲート酸化物236及びブロッキング誘電体234の追加又はより厚い酸化物層は、ラジカル酸化プロセスで成長させてもよく、ラジカル酸化プロセスは、プラズマの形成のような点火イベント無く、互いに約1:1の比率の水素(H)及び酸素(O)ガスをプロセスチャンバへ流すことを伴い、言い換えれば、蒸気を形成するためにH及びOを熱分解するよう使用される。代わりに、H及びOは、ブロッキング誘電体234の表面で、OHラジカル、HOラジカル又はOラジカルのようなラジカルを形成するために、約0.5〜約10Torrの範囲のおおよその圧力で、約800℃〜約1000℃の範囲のおおよその温度で反応することを許容される。酸化プロセスは、ISSGプロセスを用いて単一基板で1〜5分の範囲のおおよその期間で実行するか、又は、10〜15分間、ブロッキング誘電体234を約2nm〜約4.5nmの厚さを有するように成長させる及びゲート酸化物236を約3nm〜約7nmの厚さを有するように成長させるバッチ炉プロセスで実行する。
次に、図1及び2Gを参照すると、高誘電定数又はhigh−k誘電体材料240が、NVMトランジスタのゲートスタック228でhigh−k誘電体材料を含む複数層のブロッキング誘電体234と、ゲート酸化物236又は238及びMOS領域でhigh−k誘電体材料を含む複数層のゲート誘電体とを同時に形成するように、NVM領域208及びMOS領域212a〜212cにおいてNVMトランジスタ206のゲートスタック上に又は上方に形成又は堆積される(ステップ112)。high−k誘電体材240は、限定ではないが、例えば、原子層堆積(ALD)、物理蒸着(PVD)、化学蒸着(CVD)、低圧CVD(LPCVD)又はプラズマ強化CVD(PECVD)プロセスによって、約3.0nmと約8.0nmとの間の物理的厚さで堆積される、酸化ハフニウム、酸化ジルコニウム、ハフニウムシリケート、酸窒化ハフニウム、酸化ハフニウムジルコニウム及び酸化ランタンを含んでもよい。
図1及び2Hを参照すると、複数層ゲートの金属層が、MOSトランジスタ210a〜210cに形成され、また、必要に応じてNVMトランジスタ206の上方に形成される(ステップ114)。一実施形態では、第1又はP+金属層241(高仕事関数の金属)が基板204及び全層の表面全体とそれらの上に形成される構造との上方に実質的に堆積され、標準的なリソグラフィ技術を用いてパターニングフォトレジストマスク(図示せず)が形成され、high−k誘電体材料240の表面上で止まるようにMOS領域210a及び210bから第1又はP+金属層を除去するようにP+金属層がエッチングされ、これにより、P型低電圧MOSトランジスタ(PLVFET210c)のための高仕事関数ゲート242が形成され、また、必要に応じて、NVMトランジスタ206のための高仕事関数ゲート244が形成される。P+金属層241は、物理又は化学蒸着を用いて、約20nm〜約100nmの厚さに堆積された、アルミニウム、チタンニウム又はそれらの化合物又は合金を含むことができる。次に、第2又はN+金属層245(低仕事関数)がPLVFET210cのゲート242及びNVMトランジスタ206のゲート244を含む基板204の表面全体の上方に実質的に堆積され、パターニングフォトレジストマスクが形成され、N+金属層がエッチングされ、これにより、N型低電圧MOSトランジスタ(NLVFET210a)のための非高又は低仕事関数の金属ゲート246及びI/O FET210bのための低仕事関数の金属ゲート248が形成される。必要に応じて、NVMトランジスタ206のために高仕事関数が第1又はP+金属層241から形成されない場合、低仕事関数のゲート244が、代わりに、NVMトランジスタ206に同時に形成されてもよい。N+金属層245は、物理又は化学蒸着を用いて、約20nm〜100nmの厚さに堆積された、チタン、ランタン、アルミニウム又はそれらの化合物又は合金を含むことができる。
次に、図1及び2Iを参照すると、ポリシリコン又はポリ層が基板204及び全層の表面全体とそれら上に形成される構造との上方に実質的に堆積又は形成され、標準的なリソグラフィ技術を用いてパターニングフォトレジストマスク(図示せず)が形成され、high―k誘電体材料240の表面で止まるようにポリシリコン層及び下地金属層241及び245がエッチングされ、これにより、MOSトランジスタ210a〜210c及びNVMトランジスタ206の金属ポリシリコンゲート250が形成される(ステップ116)。ポリシリコン層は、化学蒸着(CVD)を用いて約30nm〜約100nmの厚さに堆積され、下地金属に対し高い選択性のCHF、C又はHBr/Oのような標準的ポリシリコンエッチング化学薬品を用いてエッチングされ、続いて、high−k誘電体材料240の材料に対して高い選択性の金属エッチングが行われる。
図1及び2Jを参照すると、ポリシリコンゲート250とMOSトランジスタ210a〜210c及びNVMトランジスタ206の金属ゲート242、244、246及び248とに隣接する第1の側壁スペーサ252を形成するために、第1のスペーサ層が堆積及びエッチングされ、また、一以上の低濃度ドレイン拡張部(MOS LDD 254)が、一以上のMOSトランジスタに隣接して注入される(ステップ118)。第1のスペーサ層は、ここに記載されるような任意の公知のCVD技術を用いて、約10nm〜約30nmの厚さに堆積された、酸化ケイ素を含むことができる。MOS LDD254は、適切なエネルギーで適切なイオン種を適切な濃度に注入することで形成される。例えば、PLVFET210aのドレイン拡張部254は、MOS領域212cを露出させるフォトレジストマスクを形成し、約10〜約100キロエレクトロンボルト(keV)のエネルギー及び約1e12cm−2〜約5e14cm−2のドーズ量で、フォトレジストマスクを介してボロンイオン(BF)を注入することで形成される。必要に応じて、PLVFET210cのポケット(pocket)又はハロー(halo)注入は(図示せず)、同一のフォトレジストマスクを介して、20〜70キロエレクトロンボルト(keV)及び2e12cm−2〜約5e12cm−2のドーズ量でヒ素又はリンを注入することにより行うことができる。同様に、NLVFET210a及びI/O FET210bのMOS LDD254も、適切なフォトレジストマスクを介して、約10〜約100キロエレクトロンボルト(keV)のエネルギー及び約1e12cm−2〜約5e14cm−2のドーズ量でヒ素又はリンを注入することにより形成することができる。NLVFETのハロー又はポケット注入も、このマスクを介して、約5〜約50キロエレクトロンボルトのエネルギー及び約1e12cm−2〜約5e12cm−2のドーズ量でボロン(BF)を用いて行うことができる。
次に、図1及び2Kを参照すると、ONO LDDマスクが、基板204の上方に形成され、低濃度ドレイン拡張部(ONO LDD256)が注入され、NVMトランジスタ206、SONOSポケット又はNVMトランジスタのゲートスタック228下に注入されたチャネル領域224へ部分的に拡張するハロー注入258に隣接している。ONO LDD256及び側壁スペーサ252は、MOSLDD254及び第1の側壁スペーサ252に関して上述したものと実質的に同一の技術を用いて形成することができる。例えば、一実施形態では、LDD注入256は、例えば、約5〜約25キロエレクトロンボルト(keV)及び約5e12cm−2〜約2e14cm−2のドーズ量で、ヒ素又はリンの角度を付けた注入により形成することができる。ポケット又はハロー注入258は、10〜30キロエレクトロンボルト(keV)及び1e12cm−2〜3e12cm−2のドーズ量を用いた注入(BF)により形成することができる。NVMトランジスタ及びMOSトランジスタの第1の側壁スペーサ252に隣接する第2の側壁スペーサ260を形成するために、第2のスペーサ層は、堆積及びエッチングされる(ステップ120)。
図1及び2Lを参照すると、NVMトランジスタ206及びMOSトランジスタ210a〜210c全てのソース及びドレイン(S/D)領域262を形成するように、ソース及びドレイン注入が行われ、PLVFET210cのS/D領域のみが露出するように、ハードマスク(HM)が形成及びパターニングされ、PLVFETのS/D領域の上方に起歪層264を形成するように、シリコンゲルマニウム(SiGe)層が堆積及びエッチングされ、さらにハードマスクが除去される(ステップS122)。加えて、描写のように、シリサイドプロセスは、露出したソース及びドレイン領域262上にシリサイド266を形成するように行うことができる。シリサイドプロセスは、典型的には、前洗浄エッチング、ニッケル金属堆積、アニール及びウェット剥離を含む当該分野で共通に採用される任意のものであってよい。
図1及び2Mを参照すると、方法は、MOSトランジスタ210a〜210cの上方に応力誘起窒化物層のような応力誘起構造又は層268を形成すること、基板204及び全層の表面全体とそれらの上に形成される構造との上方に実質的に中間誘電体(ILD)層270を堆積させること、及び、例えば、化学機械研磨(CMP)プロセスを用いてILD層を平坦化することをさらに含む(ステップ124)。応力誘起層268は、プラズマ強化化学蒸着(PECVD)を用いて形成される圧縮又は引張窒化物層、又は、ビスターシャリーブチルアミノシラン(BTBAS)窒化物層を含むことができ、これらは、化学蒸着を含む任意の公知技術を用いて、約30nm〜約70nmの厚さに堆積又は成長させられる。ILD層270は、例えば、酸化ケイ素を含むことができ、上述のような公知のCVD技術を用いて、約0.5μm〜約1.0μmの厚さに堆積又は成長させることができる。
図1及び2Nを参照すると、第2のILD層274が、基板204及び全層の表面全体とそれらの上に形成される構造との上方に堆積され、また、コンタクト276が、NVMトランジスタ又はMOSトランジスタ全てのS/D領域及びゲートに形成される(ステップ126)。第2のILD層274は、例えば、酸化ケイ素を含むことができ、酸化ケイ素は、上述の公知のCVD技術を用いて、約0.5μm〜約1.0μmの厚さに、堆積又は成長させることができる。代替の実施形態では、第2のILD層274は、実質的に低減又は完全に排除され、また、コンタクト276は、単に第1のILD層272を介して形成される。コンタクト276が、第2のILD層274の上方にパターニングPRマスクを形成し、シリサイド266で止まるように上述のような任意の標準的な酸化エッチングプロセスを用いて第2のILD層をエッチングことで、形成することができる。従って、その後、形成されたコンタクト開口部は、化学蒸着を用いてタングステンのような金属で満たされる。
最後に、標準的又は基本的なCMOSプロセスフローが、先端デバイス製造を実質的に完成させるように続けられ(ステップS128)、図2Nに示す構造が生成される。
high―k、金属ゲートCMOSプロセスフローへNVMトランジスタを集積又は埋め込むための別の方法の実施形態を、図3及び図4A〜4Iを参照して詳細に説明する。図3は、ゲートラスト方式又はプロセスフローの一実施形態を示すフローチャートである。図4A〜4Iは、図3の方法に係るメモリセル製造中のNVMトランジスタ及びMOSトランジスタを含むメモリセル200の一部の断面図を示すブロック図である。
図3を参照すると、上述のゲートファースト方式と同様に、プロセスは、ウェハ又は基板204内に多くの分離構造202を形成することから始まる(ステップ302)。この点では、メモリセル200は、上述して図2Aに示すものと実質的に同一である。
次に、図3を参照すると、その後、NVM領域208及び一以上のMOS領域212a〜212cにウェルを同時に形成するように及びMOS領域に形成されるMOSトランジスタのチャネル218を形成するように、ドーパントがパッド酸化物214を介して基板204へ注入される(ステップ304)。この点では、メモリセル200は、上述して図2Bに示したものと実質的に同一である。
図3を参照すると、パターニングトンネルマスク222が、MOS領域212a〜212c上に又はMOS領域212a〜212cを覆うように形成され、また、NVMトランジスタ206のチャネル224を形成するように、最適なエネルギー及び濃度のドーパントがトンネルマスク内のウインドウ又は開口部を介して注入され、少なくともNVM領域208におけるトンネルマスク及びパッド酸化物が除去される(ステップ306)。この点では、メモリセル200は、上述して図2Cに示したものと実質的に同一である。
次に、図3を参照すると、ONO層226としてまとめて示される多くの誘電体又は酸化物―窒化物―酸化物(ONO)層が基板204の表面216に形成又は堆積され、マスクがONO層上に又はONO層を覆うように形成され、また、ONO層は、NVM領域208においてNVMトランジスタ206のゲートスタック228を形成するようにエッチングされる(ステップ308)。この点では、メモリセル200は、上述した図2D〜2Eに示すものと実質的に同一である。
図3を参照すると、ゲート酸化又はGOX前洗浄が行われ、また、MOSトランジスタ210a〜210cのゲート酸化物がMOS領域212a〜212cに形成される(ステップ310)。この点では、メモリセル200は、上述した図2Fに示すものと実質的に同一である。ある実施形態では、図2Fに示したように、酸化プロセスは、デュアルゲート酸化プロセスであり、デュアル酸化プロセスによって、I/O FET210bのようなHVトランジスタの1つのMOS領域212bにおける基板204の表面216の上方の第1の、厚いゲート酸化物236と、残りのMOS領域212a及び212cにおけるNLVFET210a及びPLVFET210cのようなLVトランジスタ216の第2の、より薄いゲート酸化物238との両方の製造が可能になる。
次に、図3及び4Aを参照すると、ポリシリコン又はポリ層が、厚いゲート酸化物236、薄いゲート酸化物238及びブロッキング誘電体234を含む基板204の表面全体の上方に実質的に堆積又は形成され、標準的なリソグラフィ技術を用いてパターニングフォトレジストマスク(図示せず)が形成され、基板204の表面216で止まるようにポリシリコン層がエッチングされ、これにより、MOSトランジスタ210a〜210cのゲート酸化物236及び238とNVMトランジスタ206のゲートスタック228におけるONO層226の上方にダミーポリシリコンゲート250が形成される(ステップ312)。ポリシリコン層は、ゲートファースト方式及び図2Iに関連して上述したように、堆積、マスク及びエッチングすることができる。
図3及び4Bを参照すると、ポリシリコンゲート250とMOSトランジスタ210a〜210c及びNVMトランジスタ206の金属ゲート242、244、246及び248とに隣接する第1の側壁スペーサ252を形成するために、第1のスペーサ層が堆積及びエッチングされ、また、一以上の低濃度ドレイン拡張部(MOS LDD254)が一以上のMOSトランジスタに隣接するように注入される(ステップ314)。第1の側壁スペーサ252及びMOS LDD254は、ゲートファースト方式及び図2Jに関連して上述したように、形成することができる。
次に、図3及び4Cを参照すると、ONO LDDマスクが基板204の上方に形成され、低濃度ドレイン拡張部(ONO LDD256)が、注入され、NVMトランジスタ206、SONOSポケット又はNVMトランジスタのゲートスタック228下に注入されたチャネル領域224へ部分的に拡張するハロー注入258に隣接し、また、NVMトランジスタの第1の側壁スペーサ252に隣接する第2の側壁スペーサ260を形成するように、第2のスペーサ層が堆積及びエッチングされる(ステップ316)。ONO LDD256及び側壁スペーサ252は、ゲートファースト方式及び図2Kに関連して上述したように、形成することができる。
図3及び4Dを参照すると、ソース及びドレイン注入がNVMトランジスタ206及びMOSトランジスタ210a〜210c全てのS/D領域262を形成するように行われ、ハードマスクがPLVFET210cのS/D領域のみを露出させるように形成され、SiGe層が堆積及びエッチングされ、ハードマスクがPLVFETのS/D領域の上方に起歪層264を形成するよう除去され、さらに、シリサイドプロセスが露出したS/D領域262上にシリサイド266を形成するように実行され得る(ステップ318)。起歪層264は及びシリサイド266は、ゲートファースト方式及び図2Lに関連して上述したように、形成することができる。
次に、図3及び4Eを参照すると、方法は、MOSトランジスタ210a〜210cの上方に応力誘起窒化物層のような応力誘起応力誘起構造又は層268を形成すること、基板204及び全層の表面全体とそれらの上に形成される構造との上方にILD層270を実質的に堆積させること、及び、ダミーポリシリコンゲート250が露出するようにCMPプロセスを用いてILD層を平坦化させ、ダミーポリシリコンゲートを除去することをさらに含む(ステップ320)。応力誘起構造又は層268は、ゲートファースト方式及び図2M及び2Nに関連して上述したように形成することができる。ダミーポリシリコンゲート250は、ILD層270、第1及び第2のスペーサ252、260、ONO層226及びゲート酸化物236及び238の材料に対して高い選択性の上述したような標準的なポリシリコンエッチング化学薬品を用いてエッチング又は除去することができる。
図3及び4Fを参照すると、NVMトランジスタのゲートスタック228にhigh−k誘電体材料を含む複数層のブロッキング誘電体234と、ゲート酸化物236及び238及びMOS領域にhigh−k誘電体材料を含む複数層のゲート誘電体とを同時に形成するように、high−k誘電体材料240が、ONO層226及びダミーシリコンゲート250の除去で露出したゲート酸化物236及び238上に又は上方に形成又は堆積される(ステップ322)。high−k誘電体材料240は、限定ではないが、例えば、物理蒸着(PVD)、原子層蒸着(ALD)、化学蒸着(CVD)、低圧CVD(LPCVD)又はプラズマ強化CVD(PECVD)プロセスによって、約3.0nmと約8.0nmとの間の物理的厚さに堆積させた、酸化ハフニウム、酸化ジルコニウム、ハフニウムシリケート、ジルコニウムシリケート、酸窒化ハフニウム、酸化ハフニウムジルコニウム及び酸化ランタンを含むことができる。
図3及び図4Gを参照すると、複数層の金属ゲートの第1の金属層が、MOSトランジスタ210a〜210cのために形成され、また、必要に応じて、NVMトランジスタ206のために形成される(ステップ324)。一実施形態では、第1又はP+金属層(高仕事関数)が基板204及び全層の表面全体とそれらの上に形成された構造との上方に実質的に堆積され、標準的なリソグラフィ技術を使用してパターニングフォトレジストマスク(図示せず)が形成され、high−k誘電体材料240の表面で止まるようにP+金属層がエッチングされ、これにより、P型低電圧トランジスタ(PLVFET210c)の高仕事関数のゲート242及び必要に応じてNVMトランジスタ206の高仕事関数のゲート244が形成される。次に、第2又はN+金属層(低仕事関数)がPLVFET210cのゲート242を含む基板204の表面全体の上方に実質的に堆積され、N型低電圧MOSトランジスタ(NLVFET210a)の低仕事関数のゲート246、I/O FET210bの金属ゲート248を形成するようにパターニングフォトレジストマスクが形成されてN+金属層がエッチングされる。必要に応じて、NVMトランジスタ206の高仕事関数ゲートが第1又はP+金属層から形成されない場合、低仕事関数ゲート244が、代わりにNVMトランジスタ206に同時に形成されてもよい。
最後に、図3と図4H及び4Iとを参照すると、NVMトランジスタ206及びMOSトランジスタ210a〜210c全ての複数層金属ゲート形成を完成させる第2の金属層を形成するように、厚いゲート金属層272がCMPプロセスを用いて平坦化された後に堆積され、NVMトランジスタ及びMOSトランジスタのS/D領域及びゲートに第2のILD層274が堆積されてコンタクト276が形成される(ステップ326)。厚い金属層272は、物理又は化学蒸着を用いて、約0.1μm〜約0.5μmの厚さに堆積又は成長させた、アルミニウム、チタン、チタン窒化物、タングステン又はそれらの化合物又は合金のコンフォーマル層を含むことができる。第2のILD層274は、上述のような任意の公知のCVDを用いて、約0.5μm〜約1μmの厚さに堆積又は成長させた、例えば、二酸化ケイ素を含むことができる。第2のILD層274の上方にパターニングPRマスクを形成し、シリケード266で止まるように上述の任意の酸化エッチングプロセスを用いて第2のILD層をエッチングすることで、コンタクト276は形成することができる。コンタクト276は、ゲートファースト方式及び図2Nに関連して上述したように形成することができる。
high−k、金属ゲートCMOSプロセスフローへNVMトランジスタを集積又は埋め込むための方法の別の実施形態を、図5及び図6A〜6Fを参照して詳細を説明する。図5は、high―k誘電体材料240を電荷トラッピングに組み込む際における方法又はプロセスフローの実施形態を示すフローチャートである。図6A〜6Fは、図5の方法に係るメモリセル製造中のNVMトランジスタ及びMOSトランジスタを含むメモリセル200の一部の断面図を示すブロック図である。
図5を参照すると、上述のゲートファースト方式と同様に、プロセスは、ウェハ又は基板204に多くの分離構造202を形成することから始まる(ステップ502)。次に、NVM領域208及び一以上のMOS領域212a〜212cにウェルを同時に形成するように及びMOS領域に形成されるMOSトランジスタのチャネル218を形成するように、ドーパントがパッド酸化物214を介して基板204へ注入される(ステップ504)。パターニングトンネルマスク222が、MOS領域212a〜212c上に又は渡り形成され、適切なエネルギー及び濃度のドーパントがNVMトランジスタ206のチャネル224を形成するようにトンネルマスクのウインドウ又は開口部を介して注入され、少なくともNVM領域208のトンネルマスク及びパッド酸化物が除去される(ステップ506)。この点では、メモリセル200は、上述して図2Cに示したものと実質的に同一である。
次に、図5と図6A及び6Bとを参照すると、ON層278としてまとめて示される多くの誘電体又は酸化物及び酸窒化物又は窒化物層が基板204の表面216に形成又は堆積され、ON層上又は覆うようにマスクが形成され、NVM領域208のNVMトランジスタ206のゲートスタック280を形成するようにON層がエッチングされる(ステップ508)。この点まで、図6A及び図6Bに示すメモリセル200が、NVMトランジスタ206のゲートスタック280がブロッキング誘電体234を含まない図2D及び2Eの実施形態のそれとは異なることが理解されよう。さらに、上述の実施形態と同様に、トンネル誘電体230及び電荷トラップ層232は、材料の一以上の層を含んでもよいことが理解されよう。特に、電荷トラップ層232は、少なくとも富酸素で電荷トラップが実質的にない下部又はトンネル誘電体230により近い第1の電荷トラップ層232aと、第1の電荷トラップ層に対して富シリコン及びリーン酸素であり、かつ複数の電荷トラップ層に分配される電荷トラップの多くを含む上部又は第2の電荷トラップ層232bとを含む複数層の電荷トラップ層であっても又は含んでもよい。
次に、図5及び6Cを参照すると、ゲート酸化又はGOX前洗浄が行われ、MOS領域212a〜212cにMOSトランジスタ210a〜210cのゲート酸化物が形成される(ステップ510)。図2Fを参照すると、ある実施形態では、示すように、酸化プロセスは、I/O FET210bのようなHVトランジスタの1つのMOS領域212bにおける基板204の表面216の上方の第1の、厚いゲート酸化物236と、残りのMOS領域212a及び212cにおけるNLVFET210a及びPLVFET210cのようなLVトランジスタ216の第2のより薄いゲート酸化物238との両方の製造を可能にするデュアルゲート酸化プロセスである。厚いゲート酸化物236及び薄いゲート酸化物238は、ゲートファースト方式及び図2Fに関連して上述したように形成することができる。
次に、図5と図6D及び6Eとを参照すると、high−k誘電体材料を含む複数層の電荷トラップ層232と、ゲート酸化物236、238及びMOS領域のhigh−k誘電体材料を含む複数層のゲート誘電体とを同時に形成するように、高誘電定数又はhigh−k誘電体材料240がNVM領域208及びMOS領域212a〜212cにおいてNVMトランジスタ206のゲートスタック280上又は上方に形成又は堆積される(ステップ512)。high―k誘電体材料240は、ゲートファースト方式に関連して上述した任意のhigh―k材料を含むことができ、また、CVD又はALDによって堆積させることができる。
一実施形態では、図6Eに示すように、複数層の電荷トラップ層232は、富酸素で電荷トラップが実質的にない下部又はトンネル誘電体230により近い第1の電荷トラップ層232aと、富トラップ、富シリコン及びリーン酸素である上部又は第2の電荷トラップ層232bと、high−k誘電体240とを含むことができる。この実施形態のある変形では、high−k層は、追加の電荷トラップ層の機能を果たすことができることが認識されよう。
図5及び6Fを参照すると、ブロッキング誘電体234は、high−k誘電体材料又はパターニング上又は渡り形成される(ステップ514)。一実施形態では、ブロッキング誘電体234は、プラズマのような点火イベントの有無に関わらないバッチ又は単一基板処理チャンバでCVDを実行することで形成される、酸化ケイ素(SiO)又は酸窒化ケイ素(SiON)を含むことができる。ブロッキング誘電体234は、同一組成を実質的に有する酸化ケイ素の単一層とすることができ、又は、化学量論組成において勾配を有する酸窒化ケイ素の単一層とすることができる。フォトレジストマスク及びエッチングを用いて、層234は、MOS領域212a〜212cから除去することができる。
最後に、プロセスは、図1に関して示し上述したゲートファーストプロセスフロー、又は、図3に関して示し上述したゲートラストプロセスフローの何れか一方を継続して行うことができる。つまり、ゲートファーストプロセスフローは、ステップ114においてMOSトランジスタ210a〜210c及び必要に応じてNVMトランジスタ206の金属ゲートの形成の開始に続き、ステップ128まで継続することができる。同様に、代替的な実施形態では、ゲートラストプロセスフローは、ステップ312においてMOSトランジスタ210a〜210c及び必要に応じてNVMトランジスタ206のポリシリコン層の堆積及びダミーポリシリコンゲート250の形成の開始に続き、ステップ326まで継続することができる。
high−k、金属ゲートCMOSプロセスフローへNVMトランジスタを集積又は埋め込むための方法の別の実施形態を、図7及び図8A〜8Eを参照して詳細を説明する。図7は、high−k誘電体材料240をトンネル誘電体230へ組み込む方法又はプロセスフローの実施形態を示すフローチャートである。図8A〜8Eは、図7の方法に係るメモリセル製造中のNVMトランジスタ及びMOSトランジスタを含むメモリセル200の一部の断面図を示すブロック図である。
図7を参照すると、上述した方法又はプロセスフローと同様に、プロセスは、ウェハ又は基板204に多くの分離構造202を形成することから開始し(ステップ702)、NVM領域208及び一以上のMOS領域212a〜212cにウェルを同時に形成するように及びMOS領域に形成されるMOSトランジスタのチャネル218を形成するように、ドーパントがパッド酸化物214を介して基板204へ注入される(ステップ704)。この点では、メモリセル200は、図2Bに示して上述したものと実質的に同一である。
次に、図7及び図8Aを参照すると、ゲート酸化又はGOX前洗浄が行われ、MOSトランジスタ210a〜210cのゲート酸化物が、MOS領域212a〜212cに形成される(ステップ706)。ある実施形態では、図8Aに示すように、酸化プロセスは、I/O FET210bのようなHVトランジスタの1つのMOS領域212bにおける基板204の表面216の上方の第1の、厚いゲート酸化物236と、残りのMOS領域212a及び212cにおけるNLVFET210a及びPLVFET210cのようなLVトランジスタ216の第2のより薄いゲート酸化物238との両方の製造を可能にするデュアルゲート酸化プロセスである。厚いゲート酸化物236及び薄いゲート酸化物238は、ゲートファースト方式及び図2Fに関連して上述したように形成することができる。
図7及び8Bを参照すると、フォトレジストマスク及びBOEエッチングを用いて、NVM領域208に形成される任意のゲート酸化物が、この領域における表面216が露出するように除去され、high−kトンネル誘電体282と、ゲート酸化物236、238及びhigh−k誘電体材料240を含むMOS領域における複数層のゲート誘電体とを同時に形成するように、高誘電体定数又はhigh−k誘電体材料240が、NVM領域208及びMOS領域212a〜212c上に又は上方に形成又は堆積される(ステップ708)。high−k誘電体材料240は、ゲートファースト方式及び図2Gに関連して上述した任意のhigh−k材料を含むことができ、また、CVD又はALDによって堆積させることができる。図8Bの実施形態は、示して上述した、酸化ケイ素又は酸窒化ケイ素を含むトンネル誘電体230を形成する前又は代わりにhigh−kトンネル誘電体282を基板204の表面216に直接形成するものとは、異なることに留意されたい。しかしながら、代替的な実施形態(図示せず)では、high−kトンネル誘電体282は、複数層のトンネル誘電体の一部とすることができ、酸化ケイ素の上方に形成することができ、又は、ゲート酸化プロセス中に又はゲート酸化プロセスに続き、NVM領域208に形成又は成長される酸窒化ケイ素とすることができる。
次に、図7及び図8Cを参照すると、パターニングトンネルマスク222が、MOS領域212a〜212c上又は渡り形成され、適切なエネルギー及び濃度のドーパントが、NVMトランジスタ206のチャネル224を形成するように、トンネルマスクのウインドウ又は開口部を介して注入される(ステップ710)。
図7と図8D及び8Eとを参照すると、トンネルマスクが除去されて、NO層284としてまとめて示される多くの誘電体又は窒化酸化物(NO)層が基板204の表面216上に形成又は堆積され、マスクがONO層上又は渡り形成され、NVM領域208のNVMトランジスタ206のゲートスタック286を形成するようにONO層がエッチングされる(ステップ712)。上述の実施形態と同様に、電荷トラップ層232及びブロッキング誘電体234は、一以上の材料の層を含んでもよい。特に、電荷トラップ層232は、少なくとも富酸素で電荷トラップが実質的にない下部又はhigh−kトンネル誘電体282により近い第1の電荷トラップ層232aと、第1の電荷トラップ層に対して富シリコン及びリーン酸素であり、かつ複数の電荷トラップ層に分配される電荷トラップの多くを含む上部又は第2の電荷トラップ層232bとを含む複数の層であっても又は含んでいてもよい。
最後に、プロセスは、図1に関して示し上述したゲートファーストプロセスフロー、又は、図3に関して示し上述したゲートラストプロセスフローの何れか一方を継続して行うことができる。つまり、ゲートファーストプロセスフローは、ステップ114におけるMOSトランジスタ210a〜210c及び必要に応じてNVMトランジスタ206の金属ゲートの形成の開始に続き、ステップ128まで継続する。同様に、代替的な実施形態では、ゲートラストプロセスフローは、ステップ312におけるMOSトランジスタ210a〜210c及び必要に応じてNVMトランジスタ206のポリシリコン層の堆積及びダミーポリシリコンゲート250の形成の開始に続き、ステップ326まで継続する。
従って、埋め込まれ又は集積的に形成されたONOベースのhigh−kゲート誘電体及び/又は高仕事関数の金属ゲートを有するNVMトランジスタ及びMOSトランジスタを含むメモリセルの製造方法の実施形態を説明する。本開示は特定の例示的な実施形態を参照して説明しているが、多様な修正及び変形が、本開示のより広い原理及び範囲を逸脱することなく、これらの実施形態に基づき成されることが明らかである。従って、明細書及び図面は、制限というよりも実例とみなすべきである。
開示の要約は、37 C.F.R§1.72(b)に適応するよう提供され、要約には技術開示の一以上の実施形態の特徴の迅速な確認を読み手に可能にするよう要求される。要約は、クレームの範囲又は意味の解釈又は制限するように使用されないと理解して提示する。加えて、上述した詳細な説明では、本開示の合理化を目的として単一の実施形態に多様な特徴が一緒に集められていることが分かる。開示のこの方法は、主張された実施形態が、各クレームで明示的に主張されるものよりも多くの特徴を要求する反映の意図として解釈すべきではない。むしろ、次の特許請求の範囲に反映されるように、本発明の主題は、単一の開示された実施形態の全ての特徴より少ない。従って、これにより、次の特許請求の範囲も、それぞれに独立したクレームとし、別個の実施形態として詳細な説明に組み込まれる。
一実施形態への説明での参照は、回路又は方法の少なくとも一実施形態に含まれる実施形態に関連して記載される、特定の特徴、構造又は特性を意味する。明細書において多様な箇所での一実施形態における用語の出現は、必ずしも全てが同一実施形態を指すものではない。

Claims (12)

  1. 不揮発性メモリ(NVM)領域及び複数の金属酸化物半導体(MOS)領域を含む基板のNVM領域にNVMトランジスタのゲートスタックを形成するステップと、
    前記NVMトランジスタのゲートスタックのhigh―k誘電体材料を含むブロッキング誘電体と、前記複数のMOS領域のhigh−kゲート誘電体とを同時に形成するように、前記ゲートスタック及び前記複数のMOS領域の上方にhigh−k誘電体材料を堆積するステップと、
    を含み、
    前記high−k誘電体材料を堆積するステップの前に、
    前記NVMトランジスタのゲートスタックと、前記複数のMOSトランジスタの少なくとも1つの入力/出力電界効果トランジスタ(I/O FET)の厚いゲート酸化物の上方に高温酸化物(HTO)を同時に形成するように、酸化プロセスを実行するステップと、
    NVMトランジスタの前記ゲートスタックと前記I/O FETの厚いゲート酸化物との上方にマスクを形成するステップと、
    残りの複数のMOS領域の上方に形成された前記ゲート酸化物を除去するステップと、
    前記マスクを除去するステップと、をさらに含む方法。
  2. 請求項1に記載の方法において、前記NVMトランジスタの前記ゲートスタックの上方に金属ゲートと、前記複数のMOS領域の第1のMOS領域の低電圧電界効果トランジスタ(LVFET)の第1の種類の金属ゲートとを同時に形成するように、high−k誘電体材料の上方に第1の金属層を堆積させ、かつ該第1の金属層をパターニングするステップをさらに含む、方法。
  3. 請求項2に記載の方法において、前記複数のMOS領域の第2のMOS領域のLVFETの第2の種類の金属ゲートと、前記複数のMOS領域の第3のMOS領域の入力/出力電界効果トランジスタ(I/O FET)とを同時に形成するように、第2の金属層を堆積させ、かつパターニングするステップをさらに含む、方法。
  4. 請求項1に記載の方法において、前記NVMトランジスタの前記ゲートスタックの上方に複数層の金属ポリシリコンゲートと、前記複数のMOS領域の少なくとも1つのMOSトランジスタとを同時に形成するように、前記high−k誘電体材料の上方に金属層及びポリシリコン層を堆積させ、かつ該金属層及び該ポリシリコン層をパターニングするステップをさらに含む、方法。
  5. 請求項4に記載の方法において、前記金属層は、前記NVMトランジスタ及び第1の種類のLVFETの、高仕事関数の複数層の金属ポリシリコンゲートを形成するP+金属層を含む、方法。
  6. 請求項4に記載の方法において、前記金属層は、前記NVMトランジスタ及び第1の種類のLVFETの、低仕事関数の複数層の金属ポリシリコンゲートを形成するN+金属層を含む、方法。
  7. 請求項1に記載の方法において、前記NVMトランジスタの前記ゲートスタックを形成する前に、前記NVMトランジスタ及び少なくとも1つのMOSトランジスタのウェルを同時に形成するように、前記NVM領域及び前記複数のMOS領域の少なくとも1つに、第1の種類のイオンを注入するステップをさらに含む、方法。
  8. 不揮発性メモリ(NVM)領域及び複数の金属酸化物半導体(MOS)領域を含む基板のNVM領域にNVMトランジスタのゲートスタックを形成するステップと、
    前記NVMトランジスタの前記ゲートスタックの上方にダミーポリシリコンゲートと、前記複数のMOS領域のダミーポリシリコンゲートとを同時に形成するように、前記NVM領域及び前記複数のMOS領域の上方にポリシリコン層を堆積させ、ポリシリコン層をパターニングするステップと、
    前記ダミーポリシリコンゲートに隣接する側壁スペーサを形成するステップと、
    前記ダミーポリシリコンゲートを除去するステップと、
    前記NVMトランジスタの前記ゲートスタックにおけるhigh−k誘電体材料を含むブロッキング誘電体と、前記複数のMOS領域のhigh−kゲート誘電体とを同時に形成するように、前記ダミーポリシリコンゲートの除去で形成される開口部において、前記NVMトランジスタの前記ゲートスタック及び複数のMOS領域の上方にhigh−k誘電体材料を堆積させるステップと、
    前記NVMトランジスタ及び前記複数のMOS領域における少なくとも1つのMOSトランジスタの複数層の金属ゲートの第1の層を同時に形成するように、前記high−k誘電体材料の上方に金属層を堆積させるステップと、を含み、
    前記金属層は、NVMトランジスタ及び第1の種類のLVFETの、高仕事関数の複数層の金属ポリシリコンゲートを形成するP+金属層を含むか、又は、NVMトランジスタ及び第1の種類のLVFETの、低仕事関数の複数層の金属ポリシリコンゲートを形成するN+金属層を含む、方法。
  9. 不揮発性メモリ(NVM)領域及び複数の金属酸化物半導体(MOS)領域を含む基板のNVM領域にNVMトランジスタのゲートスタックを形成するステップであって、該ゲートスタックは、該基板の表面上に形成されるトンネル誘電体と該トンネル誘電体上に形成される電荷トラップ層とを含む、形成するステップと、
    前記NVMトランジスタの前記ゲートスタックの前記電荷トラップ層のhigh−k誘電体材料を含む複数層の電荷トラップ層と、前記複数のMOS領域のhigh−kゲート誘電体とを同時に形成するように、前記NVMトランジスタの前記ゲートスタック及び前記複数のMOS領域の上方にhigh−k誘電体材料を堆積させるステップと、
    ブロッキング誘電体を形成するように、前記NVMトランジスタの前記ゲートスタックにおける前記high−k誘電体材料の上方に酸化物を堆積させるステップと、
    前記NVMトランジスタの前記ゲートスタック及び前記複数のMOS領域の少なくとも1つのMOSトランジスタの上方に複数層の金属ポリシリコンゲートを同時に形成するように、前記ブロッキング誘電体及び前記複数のMOS領域の前記high−kゲート誘電体の上方に金属層及びポリシリコン層を堆積させ、かつ前記金属層及びポリシリコン層をパターニングするステップと、
    を含み、
    前記金属層は、前記NVMトランジスタ及び第1の種類のLVFETの、高仕事関数の複数層の金属ポリシリコンゲートを形成するP+金属層を含むか、又は、前記NVMトランジスタ及び第1の種類のLVFETの、低仕事関数の複数層の金属ポリシリコンゲートを形成するN+金属層を含む、方法。
  10. 不揮発性メモリ(NVM)領域及び複数の金属酸化物半導体(MOS)領域を含む基板のNVM領域にNVMトランジスタのゲートスタックを形成するステップであって、該ゲートスタックは、該基板の表面上に形成されるトンネル誘電体と該トンネル誘電体上に形成される電荷トラップ層とを含む、形成するステップと、
    前記NVMトランジスタの前記ゲートスタックの前記電荷トラップ層のhigh−k誘電体材料を含む複数層の電荷トラップ層と、前記複数のMOS領域のhigh−kゲート誘電体とを同時に形成するように、前記NVMトランジスタの前記ゲートスタック及び前記複数のMOS領域の上方にhigh−k誘電体材料を堆積させるステップと、
    ブロッキング誘電体を形成するように、前記NVMトランジスタの前記ゲートスタックにおける前記high−k誘電体材料の上方に酸化物を堆積させるステップと、
    前記NVMトランジスタの前記ゲートスタックの上方にダミーポリシリコンゲートと、前記複数のMOS領域のダミーポリシリコンゲートとを同時に形成するように、前記ブロッキング誘電体及び前記複数のMOS領域の前記high−kゲート誘電体の上方にポリシリコン層を堆積させ、かつ該ポリシリコン層をパターニングするステップと、
    前記ダミーポリシリコンゲートに隣接する側壁スペーサを形成するステップと、
    前記ダミーポリシリコンゲートを除去するステップと、
    を含む方法。
  11. 請求項10に記載の方法において、前記NVMトランジスタのゲートスタック及び前記複数のMOS領域の少なくとも1つのMOSトランジスタの上方に複数層の金属ゲートを同時に形成するように、前記ブロッキング誘電体及び前記ダミーポリシリコンゲートの除去で形成される開口部の前記high−kゲート誘電体の上方に第1の金属層及び第2の金属層を堆積させるステップをさらに含む、方法。
  12. 請求項11に記載の方法において、前記第1の金属層は、前記NVMトランジスタ及び第1の種類のLVFETの、高仕事関数の複数層の金属ゲートを形成するP+金属層を含む、方法。
JP2016545744A 2013-09-27 2014-09-08 high−k、金属ゲートCMOSプロセスフローへのメモリトランジスタの集積 Active JP6562518B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361883873P 2013-09-27 2013-09-27
US61/883,873 2013-09-27
US14/229,594 US8883624B1 (en) 2013-09-27 2014-03-28 Integration of a memory transistor into high-K, metal gate CMOS process flow
US14/229,594 2014-03-28
PCT/US2014/054502 WO2015047701A1 (en) 2013-09-27 2014-09-08 Integration of a memory transistor into high-k, metal gate cmos process flow

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2019133694A Division JP2019204964A (ja) 2013-09-27 2019-07-19 high−k、金属ゲートCMOSプロセスフローへのメモリトランジスタの集積

Publications (2)

Publication Number Publication Date
JP2016536807A JP2016536807A (ja) 2016-11-24
JP6562518B2 true JP6562518B2 (ja) 2019-08-21

Family

ID=51845734

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2016545744A Active JP6562518B2 (ja) 2013-09-27 2014-09-08 high−k、金属ゲートCMOSプロセスフローへのメモリトランジスタの集積
JP2019133694A Pending JP2019204964A (ja) 2013-09-27 2019-07-19 high−k、金属ゲートCMOSプロセスフローへのメモリトランジスタの集積

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2019133694A Pending JP2019204964A (ja) 2013-09-27 2019-07-19 high−k、金属ゲートCMOSプロセスフローへのメモリトランジスタの集積

Country Status (6)

Country Link
US (5) US8883624B1 (ja)
JP (2) JP6562518B2 (ja)
KR (1) KR20160064041A (ja)
CN (2) CN108493101B (ja)
TW (2) TWI637486B (ja)
WO (1) WO2015047701A1 (ja)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8883624B1 (en) 2013-09-27 2014-11-11 Cypress Semiconductor Corporation Integration of a memory transistor into high-K, metal gate CMOS process flow
US8916432B1 (en) * 2014-01-21 2014-12-23 Cypress Semiconductor Corporation Methods to integrate SONOS into CMOS flow
US20160172190A1 (en) * 2014-12-15 2016-06-16 United Microelectronics Corp. Gate oxide formation process
US9218978B1 (en) 2015-03-09 2015-12-22 Cypress Semiconductor Corporation Method of ONO stack formation
US9941300B2 (en) 2015-12-16 2018-04-10 Globalfoundries Inc. Structure and method for fully depleted silicon on insulator structure for threshold voltage modification
US9793286B2 (en) 2015-12-30 2017-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded HKMG non-volatile memory
US9842850B2 (en) 2015-12-30 2017-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. High-K-last manufacturing process for embedded memory with silicon-oxide-nitride-oxide-silicon (SONOS) memory cells
US9754955B2 (en) * 2015-12-30 2017-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. High-K-last manufacturing process for embedded memory with metal-oxide-nitride-oxide-silicon (MONOS) memory cells
US9831262B2 (en) 2015-12-30 2017-11-28 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded HKMG non-volatile memory
KR20180066785A (ko) 2016-12-09 2018-06-19 삼성전자주식회사 안티-퓨즈 소자 및 그 안티-퓨즈 소자를 포함한 메모리 소자
US10763115B2 (en) * 2017-06-16 2020-09-01 Nxp Usa, Inc. Substrate treatment method for semiconductor device fabrication
US10411026B2 (en) 2017-07-05 2019-09-10 Micron Technology, Inc. Integrated computing structures formed on silicon
US10510765B2 (en) * 2017-07-18 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and method for fabricating the same
US10242996B2 (en) * 2017-07-19 2019-03-26 Cypress Semiconductor Corporation Method of forming high-voltage transistor with thin gate poly
US10504912B2 (en) * 2017-07-28 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Seal method to integrate non-volatile memory (NVM) into logic or bipolar CMOS DMOS (BCD) technology
US10672783B2 (en) * 2017-08-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit and method for manufacturing the same
US20190103414A1 (en) * 2017-10-04 2019-04-04 Cypress Semiconductor Corporation Embedded sonos with a high-k metal gate and manufacturing methods of the same
JP2019102520A (ja) * 2017-11-29 2019-06-24 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN109166855B (zh) * 2018-08-28 2020-08-11 上海华虹宏力半导体制造有限公司 二比特分栅sonos器件制造工艺方法
TWI685978B (zh) 2019-01-04 2020-02-21 力晶積成電子製造股份有限公司 半導體元件及其製造方法
CN109950249B (zh) * 2019-03-20 2022-03-04 上海华虹宏力半导体制造有限公司 Sonos器件的制造方法
CN109950316B (zh) 2019-03-26 2020-03-20 湘潭大学 一种氧化铪基铁电栅场效应晶体管及其制备方法
CN110047750B (zh) * 2019-03-28 2021-07-27 上海华力微电子有限公司 一种防止ono刻蚀造成衬底损伤的方法
US11610820B2 (en) * 2019-07-24 2023-03-21 Infineon Technologies LLC Embedded SONOS and high voltage select gate with a high-K metal gate and manufacturing methods of the same
CN110416221B (zh) * 2019-07-31 2022-02-22 上海华力微电子有限公司 半导体器件的形成方法
US11017851B1 (en) * 2019-11-26 2021-05-25 Cypress Semiconductor Corporation Silicon-oxide-nitride-oxide-silicon based multi level non-volatile memory device and methods of operation thereof
KR20220031774A (ko) 2020-09-03 2022-03-14 삼성전자주식회사 반도체 소자 및 그의 제조 방법
US11751401B2 (en) * 2021-05-27 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method for manufacturing the same
US20230081072A1 (en) * 2021-09-15 2023-03-16 Infineon Technologies LLC Method of Integrating SONOS into HKMG Flow

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4434725C1 (de) * 1994-09-28 1996-05-30 Siemens Ag Festwert-Speicherzellenanordnung und Verfahren zu deren Herstellung
JP2783271B2 (ja) * 1995-01-30 1998-08-06 日本電気株式会社 半導体記憶装置
US6100120A (en) * 1999-05-11 2000-08-08 Advanced Micro Devices, Inc. Method of locally forming a high-k dielectric gate insulator
JP4096507B2 (ja) * 2000-09-29 2008-06-04 富士通株式会社 半導体装置の製造方法
US6479339B2 (en) * 2000-10-10 2002-11-12 Texas Instruments Incorporated Use of a thin nitride spacer in a split gate embedded analog process
KR100387259B1 (ko) * 2000-12-29 2003-06-12 주식회사 하이닉스반도체 반도체 소자의 제조 방법
EP1223663A1 (en) * 2001-01-10 2002-07-17 Koninklijke Philips Electronics N.V. Local supply generator for a digital CMOS integrated circuit having an analog signal processing circuitry
US6620687B2 (en) * 2001-03-08 2003-09-16 Horng-Huei Tseng Method of making non-volatile memory with sharp corner
US6709928B1 (en) * 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
US6563160B2 (en) * 2001-08-09 2003-05-13 International Business Machines Corporation High dielectric constant materials forming components of DRAM such as deep-trench capacitors and gate dielectric (insulators) for support circuits
US6586808B1 (en) * 2002-06-06 2003-07-01 Advanced Micro Devices, Inc. Semiconductor device having multi-work function gate electrode and multi-segment gate dielectric
US6912163B2 (en) * 2003-01-14 2005-06-28 Fasl, Llc Memory device having high work function gate and method of erasing same
WO2004112145A1 (ja) * 2003-06-10 2004-12-23 Fujitsu Limited パンチスルー耐性を向上させた半導体集積回路装置およびその製造方法、低電圧トランジスタと高電圧トランジスタとを含む半導体集積回路装置
KR100518583B1 (ko) * 2003-07-02 2005-10-04 삼성전자주식회사 반도체 메모리 소자 및 그 제조방법
US7390718B2 (en) * 2004-02-20 2008-06-24 Tower Semiconductor Ltd. SONOS embedded memory with CVD dielectric
US7091089B2 (en) * 2004-06-25 2006-08-15 Freescale Semiconductor, Inc. Method of forming a nanocluster charge storage device
US7091130B1 (en) * 2004-06-25 2006-08-15 Freescale Semiconductor, Inc. Method of forming a nanocluster charge storage device
JP2006059880A (ja) * 2004-08-17 2006-03-02 Fujitsu Ltd 半導体装置及びその製造方法
US20080096395A1 (en) * 2004-08-31 2008-04-24 Tadashi Terasaki Producing Method of Semiconductor Device
US20060043463A1 (en) * 2004-09-01 2006-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Floating gate having enhanced charge retention
KR100688575B1 (ko) * 2004-10-08 2007-03-02 삼성전자주식회사 비휘발성 반도체 메모리 소자
KR100655287B1 (ko) * 2004-11-11 2006-12-11 삼성전자주식회사 플로팅 게이트를 갖는 비휘발성 기억 소자의 형성 방법
US7315474B2 (en) * 2005-01-03 2008-01-01 Macronix International Co., Ltd Non-volatile memory cells, memory arrays including the same and methods of operating cells and arrays
US8125018B2 (en) * 2005-01-12 2012-02-28 Spansion Llc Memory device having trapezoidal bitlines and method of fabricating same
US7297598B2 (en) * 2005-01-28 2007-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Process for erase improvement in a non-volatile memory device
US7158420B2 (en) * 2005-04-29 2007-01-02 Macronix International Co., Ltd. Inversion bit line, charge trapping non-volatile memory and method of operating same
US7279740B2 (en) * 2005-05-12 2007-10-09 Micron Technology, Inc. Band-engineered multi-gated non-volatile memory device with enhanced attributes
US7576386B2 (en) * 2005-08-04 2009-08-18 Macronix International Co., Ltd. Non-volatile memory semiconductor device having an oxide-nitride-oxide (ONO) top dielectric layer
US7435646B2 (en) * 2005-08-22 2008-10-14 Freescale Semiconductor, Inc. Method for forming floating gates within NVM process
US7429767B2 (en) * 2005-09-01 2008-09-30 Micron Technology, Inc. High performance multi-level non-volatile memory device
US7531411B2 (en) * 2005-10-12 2009-05-12 Macronix International Co., Ltd. Apparatus and method for a non-volatile memory structure comprising a multi-layer silicon-rich, silicon nitride trapping layer
US7948799B2 (en) * 2006-05-23 2011-05-24 Macronix International Co., Ltd. Structure and method of sub-gate NAND memory with bandgap engineered SONOS devices
WO2008001458A1 (en) * 2006-06-30 2008-01-03 Fujitsu Microelectronics Limited Semiconductor device and semiconductor manufacturing method
KR100786707B1 (ko) * 2006-12-21 2007-12-18 삼성전자주식회사 불휘발성 메모리 장치 및 이의 제조 방법
US20080150002A1 (en) * 2006-12-22 2008-06-26 Jeong-Mo Hwang Simultaneous Formation of a Top Oxide Layer in a Silicon-Oxide-Nitride-Oxide-Silicon (SONOS) Transistor and a Gate Oxide in a Metal Oxide Semiconductor (MOS)
US7811886B2 (en) * 2007-02-06 2010-10-12 Freescale Semiconductor, Inc. Split-gate thin film storage NVM cell with reduced load-up/trap-up effects
US7512012B2 (en) * 2007-04-30 2009-03-31 Macronix International Co., Ltd. Non-volatile memory and manufacturing method and operating method thereof and circuit system including the non-volatile memory
KR100827450B1 (ko) * 2007-05-18 2008-05-07 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조 방법
US8680601B2 (en) * 2007-05-25 2014-03-25 Cypress Semiconductor Corporation Nonvolatile charge trap memory device having a deuterated layer in a multi-layer charge-trapping region
US8871595B2 (en) * 2007-05-25 2014-10-28 Cypress Semiconductor Corporation Integration of non-volatile charge trap memory devices and logic CMOS devices
US8614124B2 (en) * 2007-05-25 2013-12-24 Cypress Semiconductor Corporation SONOS ONO stack scaling
US8633537B2 (en) 2007-05-25 2014-01-21 Cypress Semiconductor Corporation Memory transistor with multiple charge storing layers and a high work function gate electrode
US8093128B2 (en) 2007-05-25 2012-01-10 Cypress Semiconductor Corporation Integration of non-volatile charge trap memory devices and logic CMOS devices
US20090001443A1 (en) * 2007-06-29 2009-01-01 Intel Corporation Non-volatile memory cell with multi-layer blocking dielectric
US7737488B2 (en) * 2007-08-09 2010-06-15 Macronix International Co., Ltd. Blocking dielectric engineered charge trapping memory cell with high speed erase
US20090050953A1 (en) * 2007-08-22 2009-02-26 Macronix International Co., Ltd. Non-volatile memory device and method for manufacturing the same
US7816727B2 (en) * 2007-08-27 2010-10-19 Macronix International Co., Ltd. High-κ capped blocking dielectric bandgap engineered SONOS and MONOS
US9337047B2 (en) * 2007-09-17 2016-05-10 Infineon Technologies Ag Semiconductor device and method of making semiconductor device
US7787303B2 (en) * 2007-09-20 2010-08-31 Cypress Semiconductor Corporation Programmable CSONOS logic element
US7820555B2 (en) * 2007-10-11 2010-10-26 International Business Machines Corporation Method of patterning multilayer metal gate structures for CMOS devices
US20090152621A1 (en) * 2007-12-12 2009-06-18 Igor Polishchuk Nonvolatile charge trap memory device having a high dielectric constant blocking region
US9431549B2 (en) * 2007-12-12 2016-08-30 Cypress Semiconductor Corporation Nonvolatile charge trap memory device having a high dielectric constant blocking region
US8722484B2 (en) 2008-01-14 2014-05-13 Tower Semiconductor Ltd. High-K dielectric stack and method of fabricating same
WO2009096083A1 (ja) * 2008-01-29 2009-08-06 Kyushu Institute Of Technology 浮遊ゲート型不揮発性メモリ装置及びその製造方法
US8088683B2 (en) * 2008-03-31 2012-01-03 Cypress Semiconductor Corporation Sequential deposition and anneal of a dielectic layer in a charge trapping memory device
JP2009267216A (ja) * 2008-04-28 2009-11-12 Toshiba Corp 不揮発性半導体記憶装置および不揮発性半導体記憶装置の製造方法
US8542514B1 (en) * 2008-09-30 2013-09-24 Cypress Semiconductor Corporation Memory structure having SRAM cells and SONOS devices
WO2010046873A1 (en) * 2008-10-23 2010-04-29 Nxp B.V. Multi-transistor memory cell
US9102522B2 (en) * 2009-04-24 2015-08-11 Cypress Semiconductor Corporation Method of ONO integration into logic CMOS flow
US8071453B1 (en) * 2009-04-24 2011-12-06 Cypress Semiconductor Corporation Method of ONO integration into MOS flow
JP5550286B2 (ja) * 2009-08-26 2014-07-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8530310B2 (en) * 2009-12-31 2013-09-10 Globalfoundries Singapore Pte. Ltd. Memory cell with improved retention
US8399310B2 (en) * 2010-10-29 2013-03-19 Freescale Semiconductor, Inc. Non-volatile memory and logic circuit process integration
US8409950B1 (en) * 2010-11-08 2013-04-02 Northrop Grumman Systems Corporation Method for integrating SONOS non-volatile memory into a sub-90 nm standard CMOS foundry process flow
US8598005B2 (en) * 2011-07-18 2013-12-03 Spansion Llc Method and manufacture for embedded flash to achieve high quality spacers for core and high voltage devices and low temperature spacers for high performance logic devices
US8946806B2 (en) * 2011-07-24 2015-02-03 Globalfoundries Singapore Pte. Ltd. Memory cell with decoupled channels
JP5825744B2 (ja) * 2011-09-15 2015-12-02 株式会社半導体エネルギー研究所 パワー絶縁ゲート型電界効果トランジスタ
US8890225B2 (en) * 2011-10-14 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for single gate non-volatile memory device having a capacitor well doping design with improved coupling efficiency
KR102352542B1 (ko) 2012-03-27 2022-01-18 롱지튜드 플래쉬 메모리 솔루션즈 리미티드 스플릿 질화물 메모리 층을 갖는 sonos 스택
EP3866199A1 (en) 2012-03-29 2021-08-18 Longitude Flash Memory Solutions Ltd. Method of ono integration into a logic cmos process
US8941177B2 (en) * 2012-06-27 2015-01-27 International Business Machines Corporation Semiconductor devices having different gate oxide thicknesses
JP6029989B2 (ja) * 2013-01-25 2016-11-24 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9082837B2 (en) * 2013-08-08 2015-07-14 Freescale Semiconductor, Inc. Nonvolatile memory bitcell with inlaid high k metal select gate
US9111867B2 (en) * 2013-08-30 2015-08-18 Freescale Semiconductor, Inc. Split gate nanocrystal memory integration
US8883624B1 (en) 2013-09-27 2014-11-11 Cypress Semiconductor Corporation Integration of a memory transistor into high-K, metal gate CMOS process flow

Also Published As

Publication number Publication date
JP2016536807A (ja) 2016-11-24
US20170278853A1 (en) 2017-09-28
KR20160064041A (ko) 2016-06-07
US9911747B2 (en) 2018-03-06
CN108493101A (zh) 2018-09-04
US20180166452A1 (en) 2018-06-14
US9721962B1 (en) 2017-08-01
US10784277B2 (en) 2020-09-22
JP2019204964A (ja) 2019-11-28
US9911746B1 (en) 2018-03-06
TW201513311A (zh) 2015-04-01
TWI637486B (zh) 2018-10-01
CN105340068A (zh) 2016-02-17
TWI697100B (zh) 2020-06-21
CN105340068B (zh) 2018-03-27
US8883624B1 (en) 2014-11-11
WO2015047701A1 (en) 2015-04-02
CN108493101B (zh) 2022-11-18
TW201843812A (zh) 2018-12-16

Similar Documents

Publication Publication Date Title
JP6562518B2 (ja) high−k、金属ゲートCMOSプロセスフローへのメモリトランジスタの集積
US9922988B2 (en) Embedded SONOS based memory cells
US9893172B2 (en) Methods to integrate SONOS into CMOS flow
TWI696246B (zh) 控制矽-氧化物-氮化物-氧化物-矽電晶體之阻擋氧化物厚度的方法、製造半導體裝置的方法、半導體裝置以及記憶體裝置
KR102631491B1 (ko) 고-k 금속 게이트를 갖는 임베디드 sonos 및 그 제조 방법
US10002878B2 (en) Complementary SONOS integration into CMOS flow
KR102072181B1 (ko) 비-휘발성 전하 트랩 메모리 디바이스들 및 로직 cmos 디바이스들의 집적
TWI761505B (zh) 製造半導體裝置的方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170728

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180828

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190129

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190313

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190521

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190620

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20190628

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190719

R150 Certificate of patent or registration of utility model

Ref document number: 6562518

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250