JP6207496B2 - レーザによる基板のダイシング及びプラズマエッチング用の多層マスク - Google Patents

レーザによる基板のダイシング及びプラズマエッチング用の多層マスク Download PDF

Info

Publication number
JP6207496B2
JP6207496B2 JP2014259567A JP2014259567A JP6207496B2 JP 6207496 B2 JP6207496 B2 JP 6207496B2 JP 2014259567 A JP2014259567 A JP 2014259567A JP 2014259567 A JP2014259567 A JP 2014259567A JP 6207496 B2 JP6207496 B2 JP 6207496B2
Authority
JP
Japan
Prior art keywords
material layer
mask material
mask
substrate
module
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014259567A
Other languages
English (en)
Other versions
JP2015097278A (ja
Inventor
ジェームズ エム ホルデン
ジェームズ エム ホルデン
ウェイシェン リー
ウェイシェン リー
ブラッド イートン
ブラッド イートン
トッド イーガン
トッド イーガン
サラブジート シン
サラブジート シン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2015097278A publication Critical patent/JP2015097278A/ja
Application granted granted Critical
Publication of JP6207496B2 publication Critical patent/JP6207496B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/16Composite materials, e.g. fibre reinforced
    • B23K2103/166Multilayered materials
    • B23K2103/172Multilayered materials wherein at least one of the layers is non-metallic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/30Organic material
    • B23K2103/42Plastics
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/50Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • B23K26/362Laser etching
    • B23K26/364Laser etching for making a groove or trench, e.g. for scribing a break initiation groove
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • B23K26/40Removing material taking account of the properties of the material involved
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • B23K26/40Removing material taking account of the properties of the material involved
    • B23K26/402Removing material taking account of the properties of the material involved involving non-metallic material, e.g. isolators
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Optics & Photonics (AREA)
  • Mechanical Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Dicing (AREA)
  • Laser Beam Processing (AREA)
  • Drying Of Semiconductors (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Description

本発明の実施形態は、半導体処理の分野に関し、特に、各基板が集積回路(IC)を上
に有する基板をダイシングするためのマスキング方法に関する。
関連技術の背景説明
半導体基板の処理において、典型的にはシリコン又は他の半導体材料からなる基板(ウ
ェハとも呼ばれる)上にICが形成される。一般的には、半導体、導体又は絶縁体のうち
のいずれかである種々の材料の薄膜層が、ICを形成するために使用される。これらの材
料は、様々な周知のプロセスを用いてドープされ、蒸着(堆積)され、エッチングされ、
これによって同時に複数のIC(例えば、メモリデバイス、論理デバイス、光起電デバイ
スなど)を同一基板上に同時に形成する。
デバイス形成に続いて、基板は、支持部材(例えば、フィルムフレーム全域に亘って引
き伸ばされた接着フィルム)に載置され、基板は梱包等のために互いに各々個々のデバイ
ス又は「ダイ」を分離するために「ダイシング」される。現在、最も人気のある2つのダ
イシング技術は、スクライビングとソーイングである。スクライビングの場合、ダイヤモ
ンドを先端に付けたスクライブが、予め形成されたスクライブラインに沿って基板表面を
横切って移動する。例えば、ローラによって圧力を印加すると、基板はスクライブライン
に沿って分離する。ソーイングの場合は、ダイヤモンドを先端に付けたのこぎりがストリ
ートに沿って基板を切断する。150μm厚未満のバルクシリコンの個片化などの薄い基
板の個片化の場合、従来のアプローチでは、悪いプロセス品質しか得られていない。薄い
基板からダイを個片化する際に直面する可能性のある課題のいくつかは、異なる層間にお
ける微小亀裂の形成又は層間剥離、無機誘電体層のチッピング、厳密なカーフ幅(切り口
幅)制御の保持、又は正確なアブレーション深さの制御を含めることができる。
プラズマダイシングも考えられるが、レジストのパターニングのための標準的なリソグ
ラフィ操作は、実行コストが桁違いに高くなる可能性がある。プラズマダイシングの実施
を妨げる可能性のあるもう一つの制限は、一般的に遭遇する金属(例えば、銅)のプラズ
マ処理は、ストリートに沿ってダイシングする際に、製品の問題又はスループットの限界
を作る可能性があることである。最終的に、プラズマダイシングプロセスのマスキングは
、とりわけ、基板の厚さ及び上面のトポグラフィ、プラズマエッチングの選択性、及び基
板の上面上に存在する材料からの選択的なマスクの除去に応じて、問題となる可能性があ
る。
概要
本発明の実施形態は、レーザスクライビング及びプラズマエッチングの両方を含むハイ
ブリッドダイシングプロセスのために半導体基板をマスキングする方法を含む。
一実施形態では、複数のICを有する半導体基板をダイシングする方法は、ICを覆い
、保護する複数の異なる材料層を含むマスクを、半導体基板上に形成することを含む。マ
スクは、レーザスクライビングプロセスによってパターニングされ、これによってIC間
の基板の領域を露出させるギャップをパターニングされたマスクに提供する。その後、基
板はパターニングされたマスク内のギャップを貫通してプラズマエッチングされ、これに
よってICをチップに個片化する。
別の一実施形態では、半導体基板をダイシングするシステムは、同一プラットフォーム
に結合されたフェムト秒レーザと、プラズマエッチングチャンバと、マスク堆積(蒸着)
モジュールを含む。複数のICを有する基板をダイシングするシステムは、多層マスクを
パターニングし、IC間の基板の領域を露出させるレーザスクライブモジュールと、レー
ザスクライブモジュールに物理的に結合され、基板のプラズマエッチングによってICを
個片化するプラズマエッチングモジュールと、レーザスクライビングされた基板をレーザ
スクライブモジュールからプラズマエッチングモジュールまで搬送するロボット搬送チャ
ンバと、スピンコーター又は化学蒸着(CVD)チャンバのいずれかを含むマスク形成モ
ジュール又は溶媒湿式洗浄モジュールの少なくとも一方とを含むことができる。更なる一
実施形態では、レーザスクライブは、540ナノメートル以下の波長と400フェムト秒
以下のパルス幅を有するフェムト秒レーザを含む。更なる一実施形態では、マスク形成モ
ジュールは、CVDカーボン層を堆積する化学蒸着(CVD)チャンバである。更なる一
実施形態では、プラズマエッチングチャンバは、SFと、CとCのうちの
少なくとも一方とに結合されている。
別の一実施形態では、複数のICを有する基板をダイシングする方法は、シリコン基板
の表側の上に可溶性材料層(例えば、ポリ(ビニルアルコール))を含む二層マスクを形
成することを含む。可溶性材料層の上には、不溶性材料層(例えば、フォトレジスト又は
ポリイミド(PI))がある。二層マスクは、基板の表側に配置されたICを覆い、保護
する。ICは、パッシベーション層(例えば、ポリイミド(PI))によって囲まれたバ
ンプを有する銅バンプ形成された上面を含む。バンプ及びパッシベーションの下の表面下
の薄膜は、低κ層間誘電体(ILD)層と銅配線の層を含む。二層マスク、パッシベーシ
ョン層、及び表面下の薄膜は、フェムト秒レーザスクライビングプロセスでパターニング
され、これによってIC間のシリコン基板の領域を露出させる。シリコン基板は、ディー
プシリコンプラズマエッチングプロセスによってギャップを通してエッチングされ、これ
によってICを個片化し、その後、二層マスクは湿式処理され、可溶性層を溶解し、不溶
性層をリフトオフする。
特定の一実施形態では、複数のICを含む半導体基板をダイシングする方法は、シリコ
ン基板の上に配置されたICを覆う水溶性マスク材料層をシリコン基板の上に形成する工
程であって、ICは二酸化ケイ素層、低κ材料層、及び銅層を含む薄膜スタックを含む工
程と、水溶性マスク材料層の上に非水溶性マスク材料層を形成する工程と、フォトレジス
ト層、低κ材料層及び銅層をフェムト秒レーザでパターニングし、これによってIC間の
シリコン基板の領域を露出させる工程と、ギャップを貫通してシリコン基板をエッチング
し、これによってICを個片化する工程であって、非水溶性マスク材料層は、シリコン基
板エッチングの少なくとも一部に対して、水溶性マスク材料層をプラズマへの曝露から保
護する工程を含む。更なる一実施形態では、二酸化ケイ素層、低κ材料層、及び銅層をフ
ェムト秒レーザでパターニングする工程は、低κ材料層及び銅層をアブレーション加工す
る前に、二酸化ケイ素層をアブレーション加工する工程を含み、シリコン基板をエッチン
グする工程は、水溶性マスク材料層を100℃未満の温度に維持しながら、SFと、C
及びCの少なくとも一方とのプラズマに基板を曝露する工程を含む。
本発明の実施形態は、添付図面の図において、限定ではなく例として示されている。
本発明の一実施形態に係る、ハイブリッドレーザアブレーション・プラズマエッチング個片化法を示すフロー図である。 本発明の一実施形態に係る、ダイシングされる基板上に多層マスクをスピンコーティングする方法を示すフロー図である。 本発明の一実施形態に係る、ダイシングされる基板に多層マスクを蒸着する方法を示すフロー図である。 本発明の一実施形態に係る、ウェハの薄化前に基板に多層マスクを塗布する方法を示すフロー図である。 本発明の一実施形態に係る、ウェハの薄化後に基板に多層マスクを塗布する方法を示すフロー図である。 本発明の一実施形態に係る、図1に示されるダイシング法の操作102A及び操作102Bに対応する複数のICを含む半導体基板の断面図を示す。 本発明の一実施形態に係る、図1に示されるダイシング法の操作103に対応する複数のICを含む半導体基板の断面図を示す。 本発明の一実施形態に係る、図1に示されるダイシング法の操作105に対応する複数のICを含む半導体基板の断面図を示す。 本発明の一実施形態に係る、図1に示されるダイシング法の操作107に対応する複数のICを含む半導体基板の断面図を示す。 本発明の実施形態に係る、複数のICを含む基板の上面及び表面下の薄膜の上に塗布される水溶性マスクの断面図を示す。 本発明の一実施形態に係る、多層マスクのインサイチュー塗布用の統合堆積モジュールを備えた基板のレーザ・プラズマダイシングのためのツールレイアウトのブロック図を示す。 本発明の一実施形態に係る、本明細書に記載のマスキング、レーザスクライビング、プラズマダイシング法のうちの1以上の操作の自動実行を制御する例示的なコンピュータシステムのブロック図を示す。
詳細な説明
各基板が複数のICを上に有する基板をダイシングするための方法及び装置を説明する
。以下の説明では、本発明の例示的な実施形態を説明するために、多数の特定の詳細(例
えば、フェムト秒レーザスクライビング条件及びディープシリコンプラズマエッチング条
件)が記載されている。しかしながら、本発明の実施形態は、これらの特定の詳細なしに
実施できることは当業者には明らかであろう。他の例において、周知の態様(例えば、I
C製造、基板薄化、テーピング等)は、本発明の実施形態を不必要に不明瞭にすることを
避けるために、詳細には説明されない。本明細書全体を通して、「一実施形態」への参照
は、その実施形態に関連して記載された特定の構成、構造、材料、又は特性が、本発明の
少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書全体を通し
て様々な箇所で「一実施形態では」というフレーズが出現するが、必ずしも本発明の同じ
実施形態に言及しているわけではない。更に、特定の構成、構造、材料、又は特性は、1
以上の実施形態において任意の適切な方法で組み合わせることができる。また、図に示さ
れる様々な例示的実施形態は単なる例示表現であり、必ずしも一定の縮尺で描かれていな
いことを理解すべきである。
用語「結合される」、「接続される」及びそれらの派生語は、本明細書内では構成要素
間の構造的関係を記述するために使用される場合がある。これらの用語は互いに同義語と
して意図されるものではないことを理解すべきである。むしろ、特定の実施形態では、「
接続される」は、2以上の要素が互いに直接物理的又は電気的に接触していることを示す
ために使用される場合がある。「結合される」は、2以上の要素が互いに直接的又は間接
的に(それらの間に他の介在要素を有して)物理的又は電気的に接触していること、及び
/又は2以上の要素が(例えば、因果関係のように)互いに協働又は相互作用することを
示すために使用される場合がある。
本明細書内で使用されるように用語「上に」、「下に」、「間に」、「表面に」は、1
つの材料層の他の材料層に対する相対位置を表す。このように、例えば、1つの層が別の
層の上に又は下に配置されるというのは、直接別の層に接触していてもよく、又は1以上
の介在層を有していてもよい。更に、2つの層の間に配置された1つの層は、2つの層と
直接接触していてもよく、又は1以上の介在層を有していてもよい。対照的に、第1層が
第2層の「表面に」ある場合は、第1層は第2層に接触している。また、1つの層の他の
層に対する相対位置は、基板の絶対的な姿勢を考慮することなく、基板に対して操作が相
対的に行われると仮定して設けられている。
一般的に、初めにレーザスクライブ、その後にプラズマエッチングを伴うハイブリッド
基板又は基板のダイシングプロセスが、ダイの個片化のために、多層マスクを用いて実施
される。レーザスクライブプロセスは、少なくとも2つの層、パッシベーション層、及び
表面下の薄膜デバイス層を含むパターニングされていない(すなわち、ブランケット)マ
スクを隣接するIC間のストリートに沿ってきれいに除去するために使用することができ
る。その後、レーザアブレーションプロセスは、基板の露出又は基板の部分的なアブレー
ションによって終了することができる。ハイブリッドダイシングプロセスのプラズマエッ
チング部分は、その後、チップを個片化又はダイシングするために、基板のバルクを貫通
して(例えば、バルクの単結晶シリコンを貫通して)エッチングする。
本発明の一実施形態によると、フェムト秒レーザスクライビングとプラズマエッチング
の組み合わせを使用して、半導体基板を個別化又は個片化されたICにダイシングする。
一実施形態では、フェムト秒レーザスクライビングは、もしも完全でないならば、本質的
に非平衡プロセスである。例えば、フェムト秒ベースのレーザスクライビングは、無視で
きる程度の熱損傷領域に局所化することができる。一実施形態では、レーザスクライビン
グは、超低κ膜を有する(すなわち、3.0未満の誘電率を有する)ICを個片化するの
に使用される。一実施形態では、レーザによる直接描画は、リソグラフィのパターニング
操作を削減し、マスキング材料をフォトリソグラフィーで使用されるようなフォトレジス
ト以外のものにでき、基板のバルクを貫通するプラズマエッチングを可能にする。一実施
形態では、エッチングされたトレンチの側壁の表面にエッチングポリマーを堆積させるこ
とによって、基板内への高い指向性を実現する実質的に異方性のエッチングが使用され、
これによってプラズマエッチングチャンバ内でダイシングプロセスを完了する。
図1は、本発明の一実施形態に係る、ハイブリッドレーザアブレーション・プラズマエ
ッチング個片化プロセス100を示すフロー図である。図4A〜図4Dは、本発明の一実
施形態に係る方法100の操作に対応する第1及び第2のIC425、426を含む基板
406の断面図を示す。
図1の操作102A及び対応する図4Aを参照すると、多層マスク402の第1マスク
材料402Aが、基板406の上に形成される。一般的に、基板406は、その上に形成
される薄膜デバイス層の製造プロセスに耐えるのに適した任意の材料から構成される。例
えば、一実施形態では、基板406は、IV族系材料(例えば、単結晶シリコン、ゲルマ
ニウム又はシリコン/ゲルマニウムが挙げられるが、これらに限定されない)である。別
の一実施形態では、基板406は、III−V族材料(例えば、発光ダイオード(LED
)の製造に使用されるIII−V族材料基板など)である。デバイス製造中に、基板40
6は、典型的には600μm〜800μmの厚さであるが、図4Aに示されるように、キ
ャリア(例えば、ダイシングフレーム(図示せず)の支持構造全域に亘って引き伸ばされ
、ダイ取り付け膜(DAF)408によって基板の裏面に付けられたバッキングテープ4
10)によって薄化された基板を今支持しながら、100μmまで、ときには50μmま
で薄化される場合もある。
実施形態では、第1及び第2のIC425、426は、シリコン基板406内に製造さ
れ、誘電体スタック内に入れられたメモリデバイス又は相補型金属酸化膜半導体(CMO
S)トランジスタを含む。複数の金属相互接続をデバイス又はトランジスタの上方、及び
取り囲む誘電体層内に形成することができ、IC425、426を形成するためにデバイ
ス又はトランジスタを電気的に結合するために使用することができる。ストリート427
を構成する材料は、IC425、426を形成するために用いるそれらの材料と類似又は
同一であることができる。例えば、ストリート427は、誘電材料、半導体材料、及びメ
タライゼーションの薄膜層を含むことができる。一実施形態では、ストリート427は、
IC425、426と同様のテストデバイスを含む。ストリート427の幅は、薄膜デバ
イス層スタック/基板界面で測定して、どこでも10μm〜200μmの間であることが
可能である。
実施形態では、多層マスク402は、第1マスク材料層402AをIC425、426
の上面と接触するように操作102Aで形成された二層である。多層マスク402は、I
C425、426の間で介在するストリート427も覆っている。操作102Bでは、第
2マスク材料層402Bが、第1マスク材料層402Aの上に配置される。更なる実施形
態では、追加の多層マスクを塗布することができる。第1マスク材料層402Aは、IC
425、426の上面から第2マスク材料層402Bを除去するための手段を提供し、一
方、第2マスク材料層402Bは、ハイブリッドレーザアブレーション・プラズマエッチ
ング個片化プロセス100(図1)の間、IC425、426の上面に(及び第1マスク
材料層402Aに)追加の保護を提供する。多層マスク402は、レーザスクライブによ
るレーザスクライビング操作103の前にはパターニングされていないので、ストリート
427の上に配置された多層マスク402の部分をアブレーション加工することによって
、スクライブラインの直接描画を行う。
図5は、IC426及びストリート427の上面と接触している第1マスク材料層40
2Aを含む例示的一実施形態の拡大断面図500を示す。図5に示されるように、基板4
06は、薄膜デバイス層が上に配置され、DAF408(図4A)と界面接続する底面5
02の反対側にある上面503を有する。一般的に、薄膜デバイス層材料としては、有機
材料(例えば、ポリマー)、金属、又は無機誘電体(例えば、二酸化ケイ素、窒化ケイ素
)を含むことができるが、これらに限定されない。図5に示される例示的な薄膜デバイス
層は、二酸化ケイ素層504、窒化ケイ素層505、銅配線層508と共に、それらの間
に配置される低κ(例えば、3.5未満)又は超低κ(例えば、3.0未満)の層間誘電
体層(ILD)507(例えば、炭素ドープ酸化物(CDO))を含む。IC426の上
面は、パッシベーション層511(典型的には、ポリイミド(PI)又は類似のポリマー
)によって囲まれたバンプ512(典型的には、銅)を含む。したがって、バンプ512
及びパッシベーション層511は、表面下のIC層を形成する薄膜デバイス層と共にIC
の上面を構成している。バンプ512は、パッシベーション層511の上面からバンプ高
さH延びており、例示的な実施形態では10μm〜50μmの間の範囲である。
第1マスク材料層402Aが第2マスク材料層402Bによって覆われているので、第
1マスク材料層402Aは、第2マスク材料層402Bをアンダーカットして、下地のパ
ッシベーション層511、バンプ512からリフトオフされることができる手段として、
又は第2マスク材料層402Bを剥離するために使用されるプロセスからパッシベーショ
ン層511及び/又はバンプ512を保護するバリアとして機能することができる。第1
マスク材料層402Aは、バンプ412を覆っているので、リフトオフは完全に多層マス
クを除去するだろう。そのため、第2材料の組成及び厚さは、(銅でできており、プラズ
マへ曝露すると、損傷を受け、酸化され、又はそうでなくとも汚染される可能性のある)
非常に高いバンプ512の上でさえ、マスクの剥離によって制限されることなく、プラズ
マエッチングプロセスに耐えるように自由に設計することができる。
図5を参照して、ストリート内では、ストリート427内の多層マスク402の最大厚
さTmaxは、一般的にアブレーションによってレーザがマスクを通してパターニングで
きる能力によって制限される。多層マスク402は、IC425、426及び/又は全く
ストリートパターンが形成されていないストリート427の縁部の上でははるかに厚くな
る可能性がある。このように、Tmaxは、レーザ出力と、レーザ波長に関連する光変換
効率との関数である。Tmaxがストリート427と関連しているので、ストリートの構
造トポグラフィ、ストリート幅、及び多層マスク402を塗布する方法は、スループット
要件に応じて、1以上のレーザパス内で下地の薄膜デバイス層と共にアブレーション可能
である厚さにTmaxを制限するように設計される場合がある。特定の実施形態では、多
層マスク402は、複数のレーザパスを要求する厚いマスクと共に、30μm未満、有利
には20μm未満のストリートマスク厚さTmaxを有する。特定の実施形態では、第1
マスク材料層402Aは、第2マスク材料層402Bよりも薄い。例示的な実施形態では
、第1マスク材料層402Aは、第2マスク材料層402Bの厚さの半分を超えない(例
えば、第1マスク材料層402Aは、ストリートマスク厚さTmaxの半分しか占めない
)。
図5に更に示されるように、(最も極端なトポグラフィを有する)バンプ512の上面
の上に見られる多層マスク402の最小厚みTminは、第2マスク材料層402Bの上
で後続のプラズマエッチング(例えば、図1の操作105)によって達成される選択性の
関数である。プラズマエッチングの選択性は、少なくとも、第2マスク材料層402Bの
材料/組成及び用いられるエッチングプロセスの両方に依存している。
酸化プラズマ洗浄、酸性エッチング液、及び他の多くの従来のマスク剥離プロセスは、
バンプ512及び/又はパッシベーション層511との相性が良くない場合があるので、
一実施形態では、第1マスク材料層402Aは、下地のパッシベーション層511及び/
又はバンプ512に選択的である溶媒内で可溶なポリマーである。更なる一実施形態では
、第1マスク材料層402Aは、少なくとも60℃で熱的に安定、好ましくは100℃で
安定、理想的には120℃で安定でもあり、これによって(例えば、プラズマ電力の印加
を介して)材料温度が上昇した場合に、後続の第2マスク層の形成又はプラズマエッチン
グプロセス中に過度の架橋を避ける。一般的に、過剰な架橋は、材料の溶解性に悪影響を
与え、多層マスク402の除去をより困難にする。
一実施形態では、第1マスク材料層402Aは、水溶性材料である。このような一実施
形態では、水溶性材料は、水溶性ポリマーを含む。本発明用の水溶性材料の選択は、熱安
定性の要件、基板へ/から材料を塗布/除去する機構、及びIC汚染の問題によって複雑
になる。十分な熱安定性を有する例示的な水溶性材料は、ポリ(ビニルアルコール)、ポ
リ(アクリル酸)、ポリ(メタクリル酸)、ポリ(アクリルアミド)、ポリ(エチレンオ
キサイド)等の何れかを含む。PVAを用いた例示的な実施形態では、熱安定性は、60
℃に対して確認され、温度が150℃に近付くにつれて溶解度は減少した。このように、
PVAの実施形態では、多層マスク402が除去されるまでの操作102A後の処理(す
なわち、ストリート427のプラズマエッチング)は、第1マスク層402Aを、有利に
は150℃未満、望ましくは100℃未満、理想的には80℃未満の温度に維持する。
別の一実施形態では、第1マスク材料層402Aは、パッシベーション層511及びバ
ンプ512に対して使用される材料と相性の良い任意の市販の水性又は炭化水素系湿式清
浄剤に可溶である。典型的なマスク材料は、十分な架橋が起こり、溶媒(例えば、イソプ
ロピルアルコール(IPA)、テトラメチルアンモニウムハイドロオキサイド(TMAH
)など)を必要とする場合、非感光性の有機ポリマー材料(例えば、上記のものの何れか
)を含む。
実施形態に応じて、第1マスク材料層402Aは、蒸着又はドライフィルムラミネート
として塗布されるパッシベーション層511及びバンプ512を覆うように、基板406
上に湿式塗布される。第1実施形態では、第1マスク材料層402Aは、単に基板上にス
プレーされる。更なる一実施形態では、第1マスク材料層402Aは、基板上にスピンコ
ーティングされる。
図2Aは、本発明の一実施形態に係る、ダイシングされる基板上に第1マスク材料層4
02Aをスピンコーティングするためのマスキング法200を示すフロー図である。操作
202では、基板をスピンコートシステム上にロードするか、又は統合プラットフォーム
のスピンコートモジュール内に搬送する。操作204では、ポリマー前駆体溶液が、パッ
シベーション層511及びバンプ512上で回転する。例示的な水溶性の第1マスク材料
層に対して、ポリマー前駆体溶液は、水溶液である。スピンオンPVA溶液によって実施
された実験は、50μmの高さ(H)でバンプを覆うことを証明した。
操作208では、湿ったコーティングが、例えば、ホットプレート上で乾燥又は焼成(
ベーク)され、基板は、レーザスクライブのためにアンロードされるか、又はレーザスク
ライブモジュールに真空中で搬送される。第1マスク材料層402Aが吸湿性である特定
の実施形態では、真空中の搬送は有利である。スピン及びディスペンスパラメータは、材
料、基板のトポグラフィ及び所望の第1マスク材料層の厚さに応じた選択事項である。ベ
ーク温度及び時間は、除去を困難にする過度の架橋を回避するように選択されるべきであ
る。例示的な乾燥温度は、材料に応じて、60℃〜150℃の範囲である。
第1マスク材料層402Aが(図2Aに示されるように)スピンコーティングされる例
示的な実施形態では、第2マスク材料層402Bもまた(操作210で)スピンコーティ
ングされる。このような実施形態では、第2マスク材料層402Bは、プラズマエッチン
グに適切な耐性を提供する任意の従来のポリマー材料(例えば、任意の公知のフォトレジ
スト、ポリイミド(PI)、ベンゾシクロブテン(BCB)などが挙げられるが、これら
に限定されない)が可能である。スピン及びディスペンスパラメータは再び、材料、基板
のトポグラフィ及び第2マスク材料層の所望の厚さに応じた選択事項(エッチング耐性な
どの関数のようなもの)である。操作212では、第1マスク材料層402Aの過剰な架
橋を回避するベーク温度及びベーク時間によって、第2マスク材料層402Bを乾燥させ
る。例示的な乾燥温度は、材料に応じて、60℃〜150℃の範囲である。その後、操作
220は、その後のスクライビングのために基板をアンロードすることによって、又は統
合プラットフォームのレーザスクライブ装置へ真空中で基板を搬送することによって、マ
スキング法200を完了する。
別の一実施形態では、第1及び第2マスク材料層402A、402Bのうちの少なくと
も一方が、蒸着によって形成される。図2Bは、本発明の一実施形態に係る、第2マスク
材料層402Bを塗布するための例示的なマスキング法2Bを示すフロー図である。操作
205では、第1マスク材料層402Aが、本明細書の他の箇所に記載された任意の方法
(例えば、スピンコート、スプレー、蒸着、ドライラミネート)によって形成される。操
作211では、第2マスク材料層402Bが、化学蒸着によって第1マスク材料層402
Aの上に形成される。例示的な実施形態では、低温化学蒸着プロセスが、CVDカーボン
層を形成するために用いられる。CVDカーボン層は、様々な割合で複数の結合状態を含
むことができるので、長期にわたる秩序に欠き、ゆえに一般的に「アモルファスカーボン
(非晶質炭素)」と呼ばれている。アモルファスカーボン材料は、米国カリフォルニア州
のアプラドマテリアルズ社(Applied Materials Inc.)から商号
Advanced Patterning Film(商標名)(APF)の下、市販さ
れている。特定の実施形態では、アモルファスカーボン層は、炭化水素系前駆体(例えば
、メタン(CH)、プロピレン(C)、プロピン(C)、プロパン(C
)、ブタン(C10)、ブチレン(C)、ブタジエン(C)、アセ
チレン(C)及びそれらの混合物が挙げられるが、これらに限定されない)を用い
てPECVDプロセスによって形成される。CVDカーボン材料は、窒素又は他の添加剤
も含むこともできる。第1マスク材料層402Aを架橋させる危険性がある場合には、低
温CVD法が好ましい。例えば、CVDカーボン層の堆積中に、第1マスク材料に応じて
、ウェハ温度は150℃未満に維持してもよいし、必要に応じて、更に100℃未満に維
持してもよい。適度なプラズマイオン密度があれば、第1マスク材料層402Aを増強し
、後続のプラズマストリートエッチングの間、1:20〜1:30の間のエッチング耐性
を提供するのに十分な品質のCVD膜に対して、基板の加熱はほとんど必要ない。第1マ
スク材料402Aが水溶性(例えば、PVA)である例示的な実施形態では、第2のマス
ク材料402Bは、100℃未満の温度で炭素前駆体ガスによって堆積されたアモルファ
スカーボンである。その後、操作220は、後続のスクライビングのために基板をアンロ
ードする、又は統合プラットフォームのレーザスクライブ装置へ真空中で基板を搬送する
ことによって、マスキング法200を完了する。
実施形態に応じて、マスキング法200又はマスキング法250のいずれかを、裏面研
削(BSG)プロセスの前に、又は裏面研削(BSG)プロセスに続けて実行することが
できる。スピンコーティングは、一般的に、従来の750μmの厚さを有する基板に対し
ては完成した技術であるので、マスキング法200を裏面研削の前に有利に実行すること
ができる。しかしながら、代替的に、マスキング法200は、例えば、回転可能なチャッ
ク上に薄化基板及びテープ付されたフレームの両方を支持することによって、裏面研削の
後に有利に実行することができる。
図3Aは、ウェハを薄化する前のダイシングされる基板に多層マスク402を塗布する
ための方法300を示すフロー図である。方法300は、操作355で、バンプ形成され
、パッシベーションされた基板を受け取ることから始まる。操作304で、少なくとも第
1マスク材料層402Aが形成される。更なる一実施形態では、第1マスク材料層402
A及び第2マスク材料層402Bの両方が形成される。したがって、操作304は、本明
細書の他の箇所に記載されるように、第1マスク材料層及び/又は第2マスク材料層のた
めに説明された形成法のいずれかを伴うことができる。操作360では、前面(表側)テ
ープが多層マスク402の少なくとも第1層の上に塗布される。任意の従来の前面テープ
(例えば、UVテープが挙げられるが、これに限定されない。)を多層マスク402の第
1層の上に塗布することができる。操作370において、基板は、例えば、基板406(
図5)の下面502を研削することによって、裏面から薄化される。操作375において
、裏面支持体411が薄化された基板に追加される。例えば、裏面テープ410が塗布さ
れ、その後、多層マスク402の少なくとも第1層が残留する基板から前面テープを取り
除くことができる。その後、方法300は、多層マスキングプロセスを完了するために、
又は本発明の一実施形態に係るハイブリッドレーザアブレーション・プラズマエッチング
個片化法300を継続するために操作103(図1)に戻る。
図3Bは、ウェハ薄化後にダイシングされる基板に多層マスク402を塗布するための
方法350を示すフロー図である。方法350は、操作355で、バンプ形成され、パッ
シベーションされた基板を受け取ることから始まる。操作360において、任意の従来の
前面テープ(例えば、UVテープが挙げられるが、これに限定されない。)がICの上に
塗布される。操作370において、基板は、例えば、図5に図示された基板406の下面
502を研削することによって、裏面から薄化される。操作375において、裏面支持体
411が薄化された基板に追加される。例えば、裏面テープ410が塗布され、その後、
水溶性マスク層から前面テープを取り除くことができる。その後、操作304で、少なく
とも第2マスク材料層402Bが(例えば、スピンコート、CVD、ドライフィルムラミ
ネーションなどによって)形成される。更なる一実施形態では、第1マスク材料層402
A及び第2マスク材料層402Bの両者が形成される。操作304は、本明細書の他の箇
所に説明されるように、第2マスク材料層及び/又は第1マスク材料層のために説明され
た形成法のいずれかを再び伴うことができる。その後、方法350は、ハイブリッドレー
ザアブレーション・プラズマエッチング個片化法300を継続するために、図1に戻る。
更なる一実施形態では、方法300及び350は共に、第1マスク材料層402Aを(
図3Aによって示されるように)裏面研削前に形成し、第2マスク材料層402Bを(図
3Aによって示されるように)裏面研削後に形成して、実施される。そのような一実施形
態では、基板は全厚みでありながら、スピンコート法によって第1マスク材料層402A
が塗布され、第2マスク材料層402Bは非スピンコート法(例えば、蒸着)によって薄
化された基板に塗布される。例えば、図3Aを参照すると、PVAの第1マスク材料層4
02Aは、操作360での前面テープ付けの前に操作304で塗布することができ、一方
、CVDカーボン第2マスク材料層402Bは、前面テープの除去操作375に続いて操
作304で塗布することができる。
ここで、方法100の操作103及び対応する図4Bに戻ると、多層マスク402は、
レーザスクライビングプロセスのアブレーションによってパターニングされ、表面下の薄
膜デバイス層へと延びるトレンチ412を形成し、IC425、426間の基板の領域を
露出させる。このように、もともとIC425、426間に形成されていたストリート4
27の薄膜材料をアブレーション加工するためにレーザスクライビングプロセスが用いら
れる。本発明の一実施形態によると、レーザベースのスクライビングプロセスによって多
層マスク402をパターニングすることは、図4Bに示されるように、IC425、42
6間の基板406の領域内に部分的にトレンチ414を形成することを含む。
図5に示される例示的な実施形態では、レーザスクライビングの深さDは、パッシベ
ーション511及び表面下の薄膜デバイス層の厚さT及び多層マスク402の厚さT
axに応じて、約5μm〜50μmの深さの範囲内にあり、有利には10μm〜20μm
の深さの範囲内にある。
一実施形態では、多層マスク402は、本明細書内でフェムト秒レーザと呼ばれるフェ
ムト秒範囲(つまり、10−15秒)のパルス幅(持続時間)を有するレーザによってパ
ターニングされる。パルス幅などのレーザパラメータの選択は、クリーンなレーザスクラ
イブによる切り口を達成するために、チッピング、微小亀裂、及び層間剥離を最小限にす
る成功したレーザスクライビング及びダイシングプロセスの開発には重要である可能性が
ある。フェムト秒域のレーザ周波数は、有利なことに、より長いパルス幅(例えば、ピコ
秒又はナノ秒)に対して熱損傷の問題を軽減する。理論に縛られないが、現在理解されて
いるように、フェムト秒エネルギー源は、ピコ秒光源に対して存在する低エネルギー再結
合メカニズムを回避し、ナノ秒光源よりも大きな熱非平衡性を提供する。ナノ秒又はピコ
秒レーザ光源を使用すると、ストリート427内に存在する様々な薄膜デバイス層材料は
、光学吸収及びアブレーションメカニズムの面で、かなり異なって振る舞う。例えば、二
酸化ケイ素などの誘電体層は、通常の条件下では市販されているレーザのすべての波長に
対して基本的に透明である。対照的に、金属、有機物(例えば、低κ材料)及びシリコン
は、非常に容易に光子に結合可能である(特に、ナノ秒ベース又はピコ秒ベースのレーザ
照射)。最適でないレーザパラメータが選択されると、無機誘電体、有機誘電体、半導体
、又は金属のうちの2以上を含む積層構造において、ストリート427のレーザ照射は、
不利なことに層間剥離を生ずる可能性がある。例えば、測定可能な吸収がない、高バンド
ギャップエネルギーの誘電体(例えば、約9eVのバンドギャップを有する二酸化ケイ素
)を貫通するレーザは、下地の金属又はシリコン層に吸収され、金属層又はシリコン層の
かなりの蒸発を引き起こす可能性がある。蒸発は高い圧力を発生させ、潜在的に深刻な層
間剥離及び微小亀裂を引き起こす可能性がある。フェムト秒ベースのレーザ照射プロセス
は、このような材料スタックのこのような微小亀裂又は層間剥離を回避又は軽減すること
が実証されている。
フェムト秒レーザベースのプロセスのためのパラメータは、無機・有機誘電体、金属、
及び半導体に対して実質的に同一のアブレーション特性を有するように選択することがで
きる。例えば、二酸化ケイ素の吸収係数/吸収率は非線形であり、有機誘電体、半導体、
及び金属の吸収係数/吸収率によって、より直線的になる場合がある。一実施形態では、
高強度及び短パルス幅フェムト秒ベースのレーザプロセスが、二酸化ケイ素層及び1以上
の有機誘電体、半導体、又は金属を含む薄膜層のスタックをアブレーション加工するため
に使用される。本発明の一実施形態によると、適切なフェムト秒ベースのレーザプロセス
は、通常、様々な材料の非線形相互作用をもたらす高いピーク強度(照度)によって特徴
付けられる。このような一実施形態では、フェムト秒レーザ光源は、約10フェムト秒〜
450フェムト秒の範囲内のパルス幅を有するが、好ましくは、50フェムト秒〜400
フェムト秒の範囲内である。
特定の実施形態では、レーザ照射は、広い又は狭い帯域の発光スペクトル用に、可視ス
ペクトル、紫外線(UV)スペクトル、及び/又は赤外線(IR)スペクトルの任意の組
み合わせに及ぶ。更にフェムト秒レーザアブレーションに対しては、特定の波長が他より
も優れたパフォーマンスを提供する場合がある。例えば、一実施形態では、近紫外又は紫
外範囲内の波長を有するフェムト秒レーザベースのプロセスは、近赤外又は赤外範囲内の
波長を有するフェムト秒レーザベースのプロセスよりもクリーンなアブレーションプロセ
スを提供する。特定の一実施形態では、半導体基板又は基板のスクライビングに適したフ
ェムト秒レーザは、約540ナノメートル以下の波長を有するレーザに基づくが、好まし
くは、250ナノメートル〜540ナノメートルの範囲内である。特定の一実施形態では
、540ナノメートル以下の波長を有するレーザに対して、パルス幅は400フェムト秒
以下である。しかしながら、代替の一実施形態では、デュアルレーザ波長(例えば、赤外
線レーザと紫外線レーザの組み合わせ)が使用される。
一実施形態では、レーザ及び関連する光経路は、作業面で約3μm〜15μmの範囲内
の焦点を提供するが、有利には、5μm〜10μmの範囲内である。作業面での空間ビー
ムプロファイルは、シングルモード(ガウシアン)であるか、又はトップハットプロファ
イルの形をしたビームを有することができる。一実施形態では、レーザ光源は、約300
kHz〜10MHzの範囲内のパルス繰り返しレートを有するが、好ましくは、約500
kHz〜5MHzの範囲内である。一実施形態では、レーザ光源は、作業面で約0.5μ
J〜100μJの範囲内のパルスエネルギーを送出するが、好ましくは約1μJ〜5μJ
の範囲内である。一実施形態では、レーザスクライビングプロセスは、ワークピース表面
に沿って約500mm/秒〜5m/秒の範囲内の速度で走るが、好ましくは、約600m
m/秒〜2m/秒の範囲内である。
スクライビングプロセスは、単一のパスのみ、又は複数のパスで実行可能であるが、有
利なことには2パスを超えない。レーザは、特定のパルス繰り返しレートの単一パルス列
又はパルスバーストの列のいずれかで印加することができる。一実施形態では、生成され
たレーザ光のカーフ幅は、約2μm〜15μmの範囲内であるが、シリコン基板のスクラ
イビング/ダイシングでは、デバイス/シリコン界面で測定されたときに、好ましくは約
6μm〜10μmの範囲内である。
図1及び図4Cに戻って、基板406は、パターニングされた多層マスク402内のト
レンチ412を通してエッチングされ、IC425、426を個片化する。本発明の一実
施形態によると、基板406をエッチングすることは、図4Cに示されるように、フェム
ト秒ベースのレーザスクライビングプロセスによって形成されたトレンチ412をエッチ
ングして、最終的に基板406を完全に貫通してエッチングすることを含む。
一実施形態では、基板406をエッチングすることは、異方性プラズマエッチングプロ
セス416を使用することを含む。一実施形態では、プラズマエッチングの全期間にわた
ってプラズマ曝露から第1マスク材料層402Aを保護する第2マスク材料層402Bと
共にスルー基板エッチングプロセスが使用される。代替の一実施形態では、エッチングが
完了する前に第1の多層マスク402Aがプラズマに曝露されるという点において、第2
マスク材料層402Bは、プラズマエッチング中に消費される。高出力で動作する高密度
プラズマ源をプラズマエッチング操作105に使用してもよい。典型的な出力は、3kW
〜6kWの間の範囲又はそれ以上であり、これによって毎分25μmよりも大きい基板4
06のエッチングレートを達成する。
例示的な一実施形態では、基本的に正確なプロファイル制御と事実上スカラップの無い
側壁を維持しながら、従来のシリコンのエッチングレートを約40%上回るエッチングレ
ートで単結晶シリコン基板又は基板406をエッチングするのに、ディープ異方性シリコ
ンエッチング(例えば、スルーシリコンビアエッチング)が使用される。多層マスク(特
に、第1マスク材料層402A)上での高出力の効果は、−10℃〜−15℃に冷却され
た静電チャック(ESC)を介して冷却電力を印加することを通して制御され、これによ
って第1マスク材料層402Aをプラズマエッチングプロセスの全期間を通して100℃
未満、好ましくは、70℃〜80℃の温度に維持する。このような温度では、第1マスク
材料層402Aの可溶性は有利に維持することができる。
特定の一実施形態では、プラズマエッチングは、複数のエッチングサイクルと時間の経
過と共に交互に配置された複数の保護ポリマー堆積サイクルを伴う。堆積:エッチングの
デューティサイクルは、例示的なデューティサイクルを約1:1として、変えることがで
きる。例えば、エッチングプロセスは、250ms〜750msの持続時間を有する堆積
サイクルと、250ms〜750msのエッチングサイクルを有することができる。堆積
サイクルとエッチングサイクルの間に、エッチングプロセスの化学物質(例えば、例示的
なシリコンエッチングの実施形態用にSFを用いる)を、堆積プロセスの化学物質(重
合Cガス(例えば、C又はCが挙げられるが、これらに限定されない
)を用いる)と入れ替える。当該技術分野で知られているように、更にプロセス圧力を、
エッチングサイクルと堆積サイクルの間に、特定のサイクルで各々が有利に働くように変
更することができる。
その後、ハイブリッドレーザアブレーション・プラズマエッチング個片化法300は、
操作107で、マスク層402の除去によって完了する。図4Dに示される例示的一実施
形態では、マスク除去操作107は、IC425、426に対して選択的に(例えば、パ
ッシベーション層511、バンプ512に対して選択的に)、及び第2マスク材料層40
2Bに対して選択的に、第1マスク材料層402Aを溶解する工程を伴う。これによって
、第2マスク材料層402Bは、リフトオフされる。第1マスク材料層402Aが水溶性
である一実施形態では、脱イオン水の加圧されたジェットによって、又は周囲温度又は加
熱された水浴中への基板の浸漬を介して、水溶性多層マスクは洗い流される。代替の実施
形態では、多層マスク402は、第1マスク材料層402A用に使用される特定の材料を
溶解させるための当該技術分野で公知の水溶液又は炭化水素溶媒溶液でリフトオフしても
よい。更に、図4Dに示されるように、個片化プロセス又はマスク除去プロセスのいずれ
も、ダイ取り付け膜908をパターニングする工程と、バッキングテープ910の上部を
露出させる工程を更に含むことができる。
単一の統合プラットフォーム600は、ハイブリッドレーザアブレーション・プラズマ
エッチング個片化プロセス100内の多くの又はすべての操作を実行するように構成する
ことができる。例えば、図6は、本発明の一実施形態に係る、基板のレーザ・プラズマダ
イシング用レーザスクライブ装置610と結合されたクラスタツール606のブロック図
を示す。図6を参照すると、クラスタツール606は、複数のロードロック604を有す
るファクトリインターフェース602(FI)に結合される。ファクトリインターフェー
ス602は、レーザスクライブ装置610を有する外部製造施設とクラスタツール606
との間をインタフェース接続するのに適した大気ポートであることが可能である。ファク
トリインターフェース602は、基板(又はそのキャリア)を格納ユニット(例えば、正
面開口式カセット一体型搬送・保管箱(FOUP))からクラスタツール606又はレー
ザスクライブ装置610のいずれか又はその両方へ搬送するためのアーム又はブレードを
備えたロボットを含むことができる。
レーザスクライブ装置610はまた、FI602に結合される。一実施形態では、レー
ザスクライブ装置610は、フェムト秒レーザを含む。フェムト秒レーザは、ハイブリッ
ドレーザ・エッチング個片化プロセス100のレーザアブレーション部を実行する。一実
施形態では、可動ステージもまた、レーザスクライブ装置610に含まれ、可動ステージ
は、フェムト秒ベースのレーザに対して基板又は基板(又はそのキャリア)を移動させる
ために構成されている。特定の実施形態では、フェムト秒レーザも移動可能である。
クラスタツール606は、基板の真空中での搬送のためのロボットアームを収容したロ
ボット搬送チャンバ650によってFIに結合された1以上のプラズマエッチングチャン
バ608を含む。プラズマエッチングチャンバ608は、ハイブリッドレーザ・エッチン
グ個片化プロセス100のプラズマエッチング部分を実行するのに適している。例示的な
一実施形態では、プラズマエッチングチャンバ608は更に、SFガス源と、C
とC源の少なくとも一方とに結合される。特定の一実施形態では、1以上のプラズ
マエッチングチャンバ608は、米国カリフォルニア州サニーベールのアプライドマテリ
アルズ(Applied Materials)から入手可能なApplied Cen
tura(商標名) Silvia(商標名) Etchシステムであるが、他の適当な
エッチングシステムも市販されている。一実施形態では、複数のプラズマエッチングチャ
ンバ608が統合プラットフォーム600のクラスタツール606部に含まれ、これによ
って個片化又はダイシングプロセスの高い製造スループットを可能にする。
クラスタツール606は、ハイブリッドレーザアブレーション・プラズマエッチング個
片化プロセス100内の機能を実行するのに適した他のチャンバを含むことができる。図
6に示される例示的な実施形態では、クラスタツール606は、マスク形成モジュール6
12と溶媒ウェットステーション614の両方を含むが、一方が他方無しで提供されても
よい。実施形態に応じて、マスク形成モジュール612は、スピンコーティングモジュー
ル又は化学蒸着(CVD)チャンバであることができる。スピンコーティングモジュール
として、回転可能なチャックは、キャリア(例えば、フレーム上に取り付けられたバッキ
ングテープ)上に取り付けられた薄化基板を、真空又は他の方法によってクランプするよ
うに構成される。更なる実施形態では、スピンコーティングモジュールは、水性溶液源に
流体結合される。CVDチャンバの実施形態では、マスク形成モジュール612は、CV
Dカーボン層を堆積するように構成される。低温膜堆積用に構成された任意の市販のCV
Dチャンバを、炭素源ガスに結合することができる。
ウェットステーション614の実施形態は、基板をプラズマエッチングした後に、少な
くとも第1マスク材料層(例えば、402A)を溶解する。ウェットステーション614
は、水、他の溶媒を分配するために、例えば加圧スプレージェットを含むことができる。
図7は、例えば、少なくとも1つのマイクロマシンアーチファクトを識別するタグから
の反射光を分析するために、本明細書内で議論された1以上のスクライビング法をマシン
に実行させるための命令セットを内部で実行することができるコンピュータシステム70
0を示す。例示的なコンピュータシステム700は、プロセッサ702、メインメモリ7
04(例えば、リードオンリーメモリ(ROM)、フラッシュメモリ、ダイナミックラン
ダムアクセスメモリ(DRAM)(例えば、シンクロナスDRAM(SDRAM)又はラ
ムバスDRAM(RDRAM)など)、スタティックメモリ706(例えば、フラッシュ
メモリ、スタティックランダムアクセスメモリ(SRAM)など)、及び二次メモリ71
8(例えば、データ記憶装置)を含み、これらはバス730を介して互いに通信する。
プロセッサ702は、1以上の汎用処理装置(例えば、マイクロプロセッサ、中央処理
装置など)を表す。より具体的には、プロセッサ702は、複合命令セットコンピューテ
ィング(CISC)マイクロプロセッサ、縮小命令セットコンピューティング(RISC
)マイクロプロセッサ、超長命令語(VLIW)マイクロプロセッサ等であることができ
る。プロセッサ702は、1以上の特殊目的処理装置(例えば、特定用途向け集積回路(
ASIC)、フィールドプログラマブルゲートアレイ(FPGA)、デジタル信号プロセ
ッサ(DSP)、ネットワークプロセッサなど)であることも可能である。プロセッサ7
02は、本明細書に記載の操作及び手順を実行するための処理ロジック726を実行する
ように構成される。
コンピュータシステム700は更に、ネットワークインターフェースデバイス708を
含むことができる。コンピュータシステム700は、ビデオディスプレイユニット710
(例えば、液晶ディスプレイ(LCD)又は陰極線管(CRT))、英数字入力装置71
2(例えば、キーボード)、カーソル制御装置714(例えば、マウス)、及び信号生成
装置716(例えば、スピーカ)も含むことができる。
二次メモリ718は、本明細書に記載の1以上の方法又は機能の何れかを具現化する1
以上の命令セット(例えば、ソフトウェア722)を格納するマシンアクセス可能な記憶
媒体(又は、より具体的には、コンピュータ可読記憶媒体)731を含むことができる。
ソフトウェア722はまた、コンピュータシステム700、メインメモリ704及びプロ
セッサ702(これらもまたマシン可読記憶媒体を構成している)によるその実行中に、
メインメモリ704内及び/又はプロセッサ702内に、完全に又は少なくとも部分的に
常駐することもできる。ソフトウェア722は更に、ネットワークインターフェースデバ
イス708を介してネットワーク720上で送信又は受信されることができる。
マシンアクセス可能な記憶媒体731は、パターン認識アルゴリズム、アーチファクト
形状データ、アーチファクト位置データ、又は粒子の輝きデータを格納するためにも使用
することができる。マシンアクセス可能な記憶媒体731は、例示的な一実施形態では単
一の媒体であることが示されているが、用語「マシン可読記憶媒体」は、1以上の命令セ
ットを格納する単一の媒体又は複数の媒体(例えば、集中型又は分散型データベース、及
び/又は関連するキャッシュ及びサーバ)を含むように解釈されるべきである。用語「マ
シン可読記憶媒体」はまた、マシンによる実行用命令セットを格納又はエンコードするこ
とができ、本発明の1以上の方法の何れかをマシンに実行させる任意の媒体を含むように
も解釈されるべきである。したがって、用語「マシン可読記憶媒体」は、固体メモリ、光
・磁気メディアを含むが、これらに限定されないように解釈されるべきである。
このように、各基板が複数のICを有する半導体基板をダイシングする方法が開示され
た。要約書に記載されていることを含む本発明の例示的な実施形態の上記説明は、網羅的
であること又は開示された正確な形態に本発明を限定することを意図していない。本発明
の特定の実施及び本発明に対する実施例は、例示の目的で本明細書内に記載されているが
、当業者が認識すると思われるように、様々な等価な修正形態が本発明の範囲内で可能で
ある。したがって、本発明の範囲は、請求項解釈の確立された原則に従って解釈されるべ
きである以下の特許請求の範囲によって完全に決定されるべきである。

Claims (24)

  1. 複数のICを含む基板をダイシングする方法であって、
    ウェハをダイシングするためのシステム内に基板を導入する工程であって、システムは、ロボット搬送チャンバに結合されたプラズマエッチングモジュールと、ロボット搬送チャンバに結合されたマスク形成モジュール又は溶液湿式洗浄モジュールのうちの少なくとも1つと、ロボット搬送チャンバをレーザスクライブモジュールと結合するファクトリーインターフェースとを含み、レーザスクライブモジュールは、ロボット搬送チャンバに直接結合されてはいない工程と、
    基板の上にICを覆い保護する多層マスクを形成する工程であって、多層マスクは、
    ICの上面の上に配置された第1マスク材料層と、
    第1マスク材料層の上に配置された第2マスク材料層を含む工程と、
    レーザスクライブモジュール内でレーザスクライビングプロセスによって多層マスクをパターニングし、これによってIC間の基板の領域を露出させるギャップをパターニングされたマスクに提供する工程と、
    基板をレーザスクライブモジュールからプラズマエッチングモジュールまでファクトリーインターフェースモジュール及びロボット搬送チャンバを介して搬送する工程と、
    プラズマエッチングモジュール内でパターニングされたマスク内でギャップを貫通して基板をプラズマエッチングして、これによってICを個片化する工程であって、第2マスク材料層は、エッチングプロセスの少なくとも一部に対して、プラズマへの曝露から第1マスク材料層を保護する工程と、
    第2マスク材料層の少なくとも一部を除去する工程と、
    第2マスク材料層の少なくとも一部を除去する工程の後に第1マスク材料層を除去する工程とを含む方法。
  2. 第2マスク材料層の少なくとも一部を除去する工程が、第1マスク材料層を除去する前に、第マスク材料層の少なくとも一部を露出させる工程を含む請求項1記載の方法。
  3. 第2マスク材料層の少なくとも一部を除去する工程が、プラズマエッチングモジュール内で第2マスク材料層をプラズマエッチングする工程を含む請求項1記載の方法。
  4. 第2マスク材料層をプラズマエッチングする工程が、SFと、C及びCのうちの少なくとも1つとを使用する工程を含む請求項3記載の方法。
  5. 第1マスク材料層を除去する工程が、第1マスク材料層を溶解する工程を含む請求項1記載の方法。
  6. 第1マスク材料層を溶解する工程が、水溶液内で溶解する工程を含む請求項5記載の方法。
  7. 第2マスク材料層の少なくとも一部を除去する工程が、第2マスク材料層をプラズマエッチングする工程を含み、第1マスク材料層を除去する工程が、第1マスク材料層を溶解する工程を含む請求項1記載の方法。
  8. 第1マスク材料層は水溶性ポリマーを含み、半導体基板をエッチングする工程は、第1
    マスク材料層を100℃未満に維持するディープトレンチエッチングプロセスによってトレンチをエッチングする工程を含む請求項1記載の方法。
  9. 多層マスクを形成する工程は、ポリ(ビニルアルコール)、ポリ(アクリル酸)、ポリ(メタクリル酸)、ポリ(アクリルアミド)、又はポリ(エチレンオキシド)のうちの少なくとも1つをICの上面に接触する第1マスク材料層として塗布する工程を含む請求項8記載の方法。
  10. 多層マスクを形成する工程は、第1マスク材料層の上に非水溶性ポリマーを塗布する工程を含む請求項1記載の方法。
  11. 非水溶性ポリマーを塗布する工程は、フォトレジスト及びポリイミドの少なくとも一方を塗布する工程を含む請求項10記載の方法。
  12. 多層マスクを形成する工程は、IC間のストリートの上に20μm以下、ICの上部バンプ面の上に少なくとも10μmの厚さで多層マスクを形成する工程を含む請求項1記載の方法。
  13. マスクをパターニングする工程は、540ナノメートル以下の波長と400フェムト秒以下のレーザパルス幅を有するフェムト秒レーザによってレーザスクライブモジュール内でパターンを直接描画する工程を含む請求項1記載の方法。
  14. 多層マスクを形成する工程は、
    ICの上面の上に第1マスク材料層の溶液をスピンコーティングする工程と、
    第1マスク材料層の上に、第2マスク材料層の溶液をスピンコーティングする工程、又は第2マスク材料層を蒸着する工程を含む請求項1記載の方法。
  15. 裏面研削プロセスによって基板を薄化する工程を含み、第1マスク材料層のスピンコーティングは、裏面研削後に実行される請求項14記載の方法。
  16. 第1マスク材料層の上に第2マスク材料層を蒸着する工程は、第1マスク材料層の上にアモルファスカーボン層を化学蒸着する工程を含む請求項12記載の方法。
  17. 複数のICを含むシリコン基板をダイシングする方法であって、
    ウェハをダイシングするためのシステム内にシリコン基板を導入する工程であって、システムは、ロボット搬送チャンバに結合されたプラズマエッチングモジュールと、ロボット搬送チャンバに結合されたマスク形成モジュール又は溶液湿式洗浄モジュールのうちの少なくとも1つと、ロボット搬送チャンバをレーザスクライブモジュールと結合するファクトリーインターフェースとを含み、レーザスクライブモジュールは、ロボット搬送チャンバに直接結合されてはいない工程と、
    シリコン基板上に水溶性マスク材料層を形成する工程であって、水溶性マスク材料層はシリコン基板上に配置されたICを覆い、ICは二酸化ケイ素層、低κ材料層及び銅層を含む薄膜スタックを含む工程と、
    水溶性マスク材料層の上に非水溶性マスク材料層を形成する工程と、
    レーザスクライブモジュール内でフォトレジスト層、低κ材料層及び銅層をフェムト秒レーザでパターニングして、IC間のシリコン基板の領域を露出させる工程と、
    基板をレーザスクライブモジュールからプラズマエッチングモジュールまでファクトリーインターフェースモジュール及びロボット搬送チャンバを介して搬送する工程と、
    プラズマエッチングモジュール内でギャップを貫通してシリコン基板をエッチングして、ICを個片化する工程であって、非水溶性マスク材料層は、シリコン基板エッチングの少なくとも一部においてプラズマへの曝露から水溶性マスク材料層を保護する工程と、
    非水溶性マスク材料層の少なくとも一部を除去する工程と、
    非水溶性マスク材料層の少なくとも一部を除去する工程の後に水溶性マスク材料層を除去する工程とを含む方法。
  18. 非水溶性マスク材料層の少なくとも一部を除去する工程が、水溶性マスク材料層を除去する前に水溶性マスク材料層の少なくとも一部を露出させる工程を含む請求項17記載の方法。
  19. 非水溶性マスク材料層の少なくとも一部を除去する工程が、非水溶性マスク材料層をプラズマエッチングする工程を含み、水溶性マスク材料層を除去する工程が、水溶性マスク材料層を溶解する工程を含む請求項17記載の方法。
  20. 二酸化ケイ素層、低κ材料層及び銅層をフェムト秒レーザでパターニングする工程は、低κ材料層及び銅層をアブレーション加工する工程の前に、二酸化ケイ素層をアブレーション加工する工程を含み、シリコン基板をエッチングする工程は、水溶性マスク材料層を100℃未満の温度に維持しながら、SFと、C及びCのうちの少なくとも1つとのプラズマに基板を曝露する工程を含む請求項17記載の方法。
  21. 複数のICを含む基板をダイシングするためのシステムであって、
    多層マスクをパターニングして、IC間の基板の領域を露出させるためのレーザスクライブモジュールと、
    ファクトリーインターフェースモジュールに結合されたロボット搬送チャンバと、
    レーザスクライブモジュールロボット搬送チャンバ結合するファクトリーインターフェースモジュールであって、レーザスクライブモジュールは、ロボット搬送チャンバに直接結合されてはいないファクトリーインターフェースモジュールと、
    ロボット搬送チャンバに物理的に結合され、基板のプラズマエッチングによってICを個片化するためのプラズマエッチングモジュールと、
    ロボット搬送チャンバに結合されたマスク形成モジュール又は溶液湿式洗浄モジュールのうちの少なくとも1つを含み、マスク形成モジュールは、スピンコーター又は化学蒸着(CVD)チャンバのいずれかを含むシステム。
  22. レーザスクライブは、540ナノメートル以下の波長と400フェムト秒以下のパルス幅を有するフェムト秒レーザを含む請求項21記載のシステム。
  23. マスク形成モジュールを含み、化学蒸着(CVD)チャンバは、CVDカーボン層を蒸着することができる請求項21記載のシステム。
  24. プラズマエッチングチャンバは、SFと、C及びCのうちの少なくとも1つとに結合される請求項21記載のシステム。
JP2014259567A 2011-06-15 2014-12-23 レーザによる基板のダイシング及びプラズマエッチング用の多層マスク Active JP6207496B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/161,427 2011-06-15
US13/161,427 US8557682B2 (en) 2011-06-15 2011-06-15 Multi-layer mask for substrate dicing by laser and plasma etch

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2014515838A Division JP5934788B2 (ja) 2011-06-15 2012-05-23 レーザによる基板のダイシング及びプラズマエッチング用の多層マスク

Publications (2)

Publication Number Publication Date
JP2015097278A JP2015097278A (ja) 2015-05-21
JP6207496B2 true JP6207496B2 (ja) 2017-10-04

Family

ID=47353998

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2014515838A Active JP5934788B2 (ja) 2011-06-15 2012-05-23 レーザによる基板のダイシング及びプラズマエッチング用の多層マスク
JP2014259567A Active JP6207496B2 (ja) 2011-06-15 2014-12-23 レーザによる基板のダイシング及びプラズマエッチング用の多層マスク

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2014515838A Active JP5934788B2 (ja) 2011-06-15 2012-05-23 レーザによる基板のダイシング及びプラズマエッチング用の多層マスク

Country Status (6)

Country Link
US (2) US8557682B2 (ja)
JP (2) JP5934788B2 (ja)
KR (2) KR102036708B1 (ja)
CN (2) CN103582935B (ja)
TW (2) TWI451487B (ja)
WO (1) WO2012173758A2 (ja)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8703581B2 (en) 2011-06-15 2014-04-22 Applied Materials, Inc. Water soluble mask for substrate dicing by laser and plasma etch
US8557682B2 (en) 2011-06-15 2013-10-15 Applied Materials, Inc. Multi-layer mask for substrate dicing by laser and plasma etch
US8557683B2 (en) 2011-06-15 2013-10-15 Applied Materials, Inc. Multi-step and asymmetrically shaped laser beam scribing
US8759197B2 (en) 2011-06-15 2014-06-24 Applied Materials, Inc. Multi-step and asymmetrically shaped laser beam scribing
US8598016B2 (en) 2011-06-15 2013-12-03 Applied Materials, Inc. In-situ deposited mask layer for device singulation by laser scribing and plasma etch
US9029242B2 (en) 2011-06-15 2015-05-12 Applied Materials, Inc. Damage isolation by shaped beam delivery in laser scribing process
US8969177B2 (en) * 2012-06-29 2015-03-03 Applied Materials, Inc. Laser and plasma etch wafer dicing with a double sided UV-curable adhesive film
US8859397B2 (en) 2012-07-13 2014-10-14 Applied Materials, Inc. Method of coating water soluble mask for laser scribing and plasma etch
US8845854B2 (en) * 2012-07-13 2014-09-30 Applied Materials, Inc. Laser, plasma etch, and backside grind process for wafer dicing
US9553021B2 (en) * 2012-09-03 2017-01-24 Infineon Technologies Ag Method for processing a wafer and method for dicing a wafer
JP6219565B2 (ja) * 2012-12-26 2017-10-25 株式会社ディスコ ウエーハの加工方法
WO2014126785A2 (en) * 2013-02-13 2014-08-21 Applied Materials, Inc. Water soluble film and uv-curable film hybrid mask for wafer dicing using laser scribing and plasma etch
WO2014159464A1 (en) * 2013-03-14 2014-10-02 Applied Materials, Inc. Multi-layer mask including non-photodefinable laser energy absorbing layer for substrate dicing by laser and plasma etch
US20140273401A1 (en) * 2013-03-14 2014-09-18 Wei-Sheng Lei Substrate laser dicing mask including laser energy absorbing water-soluble film
US9261791B2 (en) 2013-03-15 2016-02-16 Infineon Technologies Ag Photoresist application
US20150011073A1 (en) * 2013-07-02 2015-01-08 Wei-Sheng Lei Laser scribing and plasma etch for high die break strength and smooth sidewall
WO2015023287A1 (en) * 2013-08-15 2015-02-19 Applied Materials, Inc. Method of coating water soluble mask for laser scribing and plasma etch
US9105710B2 (en) * 2013-08-30 2015-08-11 Applied Materials, Inc. Wafer dicing method for improving die packaging quality
US9224650B2 (en) * 2013-09-19 2015-12-29 Applied Materials, Inc. Wafer dicing from wafer backside and front side
US20150079760A1 (en) * 2013-09-19 2015-03-19 Wei-Sheng Lei Alternating masking and laser scribing approach for wafer dicing using laser scribing and plasma etch
US9646951B2 (en) * 2013-12-10 2017-05-09 Semiconductor Components Industries, Llc Method of forming a semiconductor device and structure therefor
US9012305B1 (en) * 2014-01-29 2015-04-21 Applied Materials, Inc. Wafer dicing using hybrid laser scribing and plasma etch approach with intermediate non-reactive post mask-opening clean
US9299611B2 (en) * 2014-01-29 2016-03-29 Applied Materials, Inc. Method of wafer dicing using hybrid laser scribing and plasma etch approach with mask plasma treatment for improved mask etch resistance
JP6295094B2 (ja) * 2014-02-06 2018-03-14 株式会社ディスコ ウェーハの加工方法
US9076860B1 (en) * 2014-04-04 2015-07-07 Applied Materials, Inc. Residue removal from singulated die sidewall
US20150287638A1 (en) * 2014-04-04 2015-10-08 Jungrae Park Hybrid wafer dicing approach using collimated laser scribing process and plasma etch
US8932939B1 (en) * 2014-04-14 2015-01-13 Applied Materials, Inc. Water soluble mask formation by dry film lamination
US9112050B1 (en) * 2014-05-13 2015-08-18 Applied Materials, Inc. Dicing tape thermal management by wafer frame support ring cooling during plasma dicing
US9034771B1 (en) * 2014-05-23 2015-05-19 Applied Materials, Inc. Cooling pedestal for dicing tape thermal management during plasma dicing
US9159624B1 (en) * 2015-01-05 2015-10-13 Applied Materials, Inc. Vacuum lamination of polymeric dry films for wafer dicing using hybrid laser scribing and plasma etch approach
JP6055494B2 (ja) * 2015-01-27 2016-12-27 碁達科技股▲ふん▼有限公司 レーザーダイシング方法
US9633902B2 (en) * 2015-03-10 2017-04-25 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device that includes dividing semiconductor substrate by dry etching
JP6594153B2 (ja) * 2015-10-13 2019-10-23 株式会社ディスコ ウエーハの加工方法
DE102015118991A1 (de) * 2015-11-05 2017-05-11 Ev Group E. Thallner Gmbh Verfahren zur Behandlung von Millimeter- und/oder Mikrometer- und/oder Nanometerstrukturen an einer Oberfläche eines Substrats
JP6467592B2 (ja) * 2016-02-04 2019-02-13 パナソニックIpマネジメント株式会社 素子チップの製造方法および電子部品実装構造体の製造方法ならびに電子部品実装構造体
US9972575B2 (en) 2016-03-03 2018-05-15 Applied Materials, Inc. Hybrid wafer dicing approach using a split beam laser scribing process and plasma etch process
CN105789031A (zh) * 2016-03-11 2016-07-20 中国建筑材料科学研究总院 激光直写用掩膜及其刻蚀方法
GB201611652D0 (en) * 2016-07-04 2016-08-17 Spts Technologies Ltd Method of detecting a condition
JP6770858B2 (ja) * 2016-09-09 2020-10-21 株式会社ディスコ 分割方法
KR102644518B1 (ko) * 2017-01-06 2024-03-08 상라오 신위안 웨동 테크놀러지 디벨롭먼트 컴퍼니, 리미티드 태양 전지의 제조 방법
JP6861526B2 (ja) * 2017-02-02 2021-04-21 株式会社ディスコ ウエーハの加工方法
JP2018181902A (ja) * 2017-04-04 2018-11-15 株式会社ディスコ 加工方法
US10700012B2 (en) 2017-04-14 2020-06-30 Qualcomm Incorporated Porous silicon dicing
JP7042437B2 (ja) * 2017-09-07 2022-03-28 パナソニックIpマネジメント株式会社 素子チップの製造方法
US10879195B2 (en) * 2018-02-15 2020-12-29 Micron Technology, Inc. Method for substrate moisture NCF voiding elimination
JP2019169686A (ja) * 2018-03-26 2019-10-03 パナソニックIpマネジメント株式会社 素子チップの製造方法
JP7138297B2 (ja) * 2018-03-26 2022-09-16 パナソニックIpマネジメント株式会社 素子チップの製造方法
GB2572608A (en) * 2018-04-03 2019-10-09 Ilika Tech Ltd Laser processing method for thin film structures
TWI776026B (zh) * 2018-06-04 2022-09-01 美商帕斯馬舍門有限責任公司 切割晶粒附接膜的方法
CN109461717A (zh) * 2018-10-15 2019-03-12 上海华虹宏力半导体制造有限公司 一种晶圆及其形成方法、等离子体裂片方法
US11964343B2 (en) * 2020-03-09 2024-04-23 Applied Materials, Inc. Laser dicing system for filamenting and singulating optical devices

Family Cites Families (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4049944A (en) 1973-02-28 1977-09-20 Hughes Aircraft Company Process for fabricating small geometry semiconductive devices including integrated components
JPS52151560A (en) * 1976-06-11 1977-12-16 Nec Home Electronics Ltd Production of semiconductor device
JPS5868930A (ja) * 1981-10-20 1983-04-25 Fujitsu Ltd 半導体装置の製造方法
JPS6242426A (ja) * 1985-08-19 1987-02-24 Toshiba Corp 半導体素子の製造方法
JPH0364758A (ja) * 1989-08-02 1991-03-20 Hitachi Ltd フォトレジスト剥離方法
JP3165304B2 (ja) * 1992-12-04 2001-05-14 株式会社半導体エネルギー研究所 半導体装置の作製方法及び半導体処理装置
US5593606A (en) 1994-07-18 1997-01-14 Electro Scientific Industries, Inc. Ultraviolet laser system and method for forming vias in multi-layered targets
JPH09216085A (ja) 1996-02-07 1997-08-19 Canon Inc 基板の切断方法及び切断装置
JPH1027971A (ja) 1996-07-10 1998-01-27 Nec Corp 有機薄膜多層配線基板の切断方法
US6426484B1 (en) 1996-09-10 2002-07-30 Micron Technology, Inc. Circuit and method for heating an adhesive to package or rework a semiconductor die
US5920973A (en) 1997-03-09 1999-07-13 Electro Scientific Industries, Inc. Hole forming system with multiple spindles per station
JP3230572B2 (ja) 1997-05-19 2001-11-19 日亜化学工業株式会社 窒化物系化合物半導体素子の製造方法及び半導体発光素子
US6057180A (en) 1998-06-05 2000-05-02 Electro Scientific Industries, Inc. Method of severing electrically conductive links with ultraviolet laser output
JP2000294523A (ja) * 1999-04-01 2000-10-20 Sony Corp 半導体製造装置および半導体装置の製造方法
JP3677191B2 (ja) * 1999-03-15 2005-07-27 株式会社東芝 感光性ポリイミド用現像液、ポリイミド膜パターン形成方法、及び電子部品
US6562698B2 (en) 1999-06-08 2003-05-13 Kulicke & Soffa Investments, Inc. Dual laser cutting of wafers
JP4318353B2 (ja) * 1999-10-01 2009-08-19 パナソニック株式会社 基板の製造方法
JP2001110811A (ja) 1999-10-08 2001-04-20 Oki Electric Ind Co Ltd 半導体装置の製造方法
JP4387007B2 (ja) 1999-10-26 2009-12-16 株式会社ディスコ 半導体ウェーハの分割方法
JP2001144126A (ja) 1999-11-12 2001-05-25 Matsushita Electric Ind Co Ltd 半導体装置の製造方法および半導体装置
JP2001148358A (ja) 1999-11-19 2001-05-29 Disco Abrasive Syst Ltd 半導体ウェーハ及び該半導体ウェーハの分割方法
US6887804B2 (en) 2000-01-10 2005-05-03 Electro Scientific Industries, Inc. Passivation processing over a memory link
KR100830128B1 (ko) 2000-01-10 2008-05-20 일렉트로 싸이언티픽 인더스트리이즈 인코포레이티드 초단 펄스 폭을 가진 레이저 펄스의 버스트로 메모리링크를 처리하기 위한 레이저 시스템 및 방법
US6383931B1 (en) 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
WO2001074529A2 (en) 2000-03-30 2001-10-11 Electro Scientific Industries, Inc. Laser system and method for single pass micromachining of multilayer workpieces
EP1162794B1 (en) 2000-06-09 2014-02-26 Broadcom Corporation Gigabit switch with fast filtering processor
JP2002016123A (ja) * 2000-06-29 2002-01-18 Hitachi Ltd 試料処理装置および処理方法
DE10196418B4 (de) 2000-07-12 2010-07-22 Electro Scientific Industries, Inc., Portland Lasersystem zum Bearbeiten von Verbindungen eines IC-Bauelements, Verfahren zum Bearbeiten von Verbindungen eines IC-Bauelements und Verfahren zum Ausrichten eines Laserbearbeitungsstrahls auf eine Verbindung eines IC-Bauelements
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6676878B2 (en) 2001-01-31 2004-01-13 Electro Scientific Industries, Inc. Laser segmented cutting
US20020065900A1 (en) * 2000-10-02 2002-05-30 Applied Materials, Inc. Method and apparatus for communicating images, data, or other information in a defect source identifier
JP4109823B2 (ja) 2000-10-10 2008-07-02 株式会社東芝 半導体装置の製造方法
JP2002141259A (ja) * 2000-10-30 2002-05-17 Sharp Corp 半導体装置の製法
US6811680B2 (en) * 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US6759275B1 (en) 2001-09-04 2004-07-06 Megic Corporation Method for making high-performance RF integrated circuits
AU2002362491A1 (en) 2001-10-01 2003-04-14 Xsil Technology Limited Method of machining substrates
US6642127B2 (en) 2001-10-19 2003-11-04 Applied Materials, Inc. Method for dicing a semiconductor wafer
JP3910843B2 (ja) 2001-12-13 2007-04-25 東京エレクトロン株式会社 半導体素子分離方法及び半導体素子分離装置
JP4006994B2 (ja) 2001-12-18 2007-11-14 株式会社リコー 立体構造体の加工方法、立体形状品の製造方法及び立体構造体
US6706998B2 (en) 2002-01-11 2004-03-16 Electro Scientific Industries, Inc. Simulated laser spot enlargement
AU2003246348A1 (en) 2002-02-25 2003-09-09 Disco Corporation Method for dividing semiconductor wafer
KR100451950B1 (ko) 2002-02-25 2004-10-08 삼성전자주식회사 이미지 센서 소자 웨이퍼 소잉 방법
JP2003257896A (ja) 2002-02-28 2003-09-12 Disco Abrasive Syst Ltd 半導体ウェーハの分割方法
ATE316691T1 (de) 2002-04-19 2006-02-15 Xsil Technology Ltd Laser-behandlung
JP4544811B2 (ja) * 2002-05-09 2010-09-15 大日本印刷株式会社 エレクトロルミネッセント素子の製造方法
JP2004031526A (ja) 2002-06-24 2004-01-29 Toyoda Gosei Co Ltd 3族窒化物系化合物半導体素子の製造方法
US6582983B1 (en) 2002-07-12 2003-06-24 Keteca Singapore Singapore Method and wafer for maintaining ultra clean bonding pads on a wafer
JP4286497B2 (ja) 2002-07-17 2009-07-01 新光電気工業株式会社 半導体装置の製造方法
TW200403797A (en) * 2002-07-22 2004-03-01 Applied Materials Inc High temperature substrate transfer robot
JP3908148B2 (ja) 2002-10-28 2007-04-25 シャープ株式会社 積層型半導体装置
JP2004273895A (ja) 2003-03-11 2004-09-30 Disco Abrasive Syst Ltd 半導体ウエーハの分割方法
JP2004322168A (ja) 2003-04-25 2004-11-18 Disco Abrasive Syst Ltd レーザー加工装置
JP4231349B2 (ja) 2003-07-02 2009-02-25 株式会社ディスコ レーザー加工方法およびレーザー加工装置
US7482178B2 (en) * 2003-08-06 2009-01-27 Applied Materials, Inc. Chamber stability monitoring using an integrated metrology tool
JP4408361B2 (ja) 2003-09-26 2010-02-03 株式会社ディスコ ウエーハの分割方法
US7094613B2 (en) * 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process
US7128806B2 (en) 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
JP4471632B2 (ja) 2003-11-18 2010-06-02 株式会社ディスコ ウエーハの加工方法
JP2005203541A (ja) 2004-01-15 2005-07-28 Disco Abrasive Syst Ltd ウエーハのレーザー加工方法
US7459377B2 (en) 2004-06-08 2008-12-02 Panasonic Corporation Method for dividing substrate
US7804043B2 (en) * 2004-06-15 2010-09-28 Laserfacturing Inc. Method and apparatus for dicing of thin and ultra thin semiconductor wafer using ultrafast pulse laser
US7687740B2 (en) 2004-06-18 2010-03-30 Electro Scientific Industries, Inc. Semiconductor structure processing using multiple laterally spaced laser beam spots delivering multiple blows
US7507638B2 (en) * 2004-06-30 2009-03-24 Freescale Semiconductor, Inc. Ultra-thin die and method of fabricating same
JP4018088B2 (ja) 2004-08-02 2007-12-05 松下電器産業株式会社 半導体ウェハの分割方法及び半導体素子の製造方法
US7199050B2 (en) 2004-08-24 2007-04-03 Micron Technology, Inc. Pass through via technology for use during the manufacture of a semiconductor device
JP4018096B2 (ja) 2004-10-05 2007-12-05 松下電器産業株式会社 半導体ウェハの分割方法、及び半導体素子の製造方法
JP4288229B2 (ja) 2004-12-24 2009-07-01 パナソニック株式会社 半導体チップの製造方法
US7875898B2 (en) 2005-01-24 2011-01-25 Panasonic Corporation Semiconductor device
JP2006253402A (ja) 2005-03-10 2006-09-21 Nec Electronics Corp 半導体装置の製造方法
JP4478053B2 (ja) 2005-03-29 2010-06-09 株式会社ディスコ 半導体ウエーハ処理方法
JP4285455B2 (ja) 2005-07-11 2009-06-24 パナソニック株式会社 半導体チップの製造方法
JP4599243B2 (ja) 2005-07-12 2010-12-15 株式会社ディスコ レーザー加工装置
TWI295816B (en) * 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
US9138913B2 (en) 2005-09-08 2015-09-22 Imra America, Inc. Transparent material processing with an ultrashort pulse laser
US20070079866A1 (en) * 2005-10-07 2007-04-12 Applied Materials, Inc. System and method for making an improved thin film solar cell interconnect
JP4769560B2 (ja) 2005-12-06 2011-09-07 株式会社ディスコ ウエーハの分割方法
JP2007281339A (ja) * 2006-04-11 2007-10-25 Sharp Corp 半導体装置およびその製造方法
JP4372115B2 (ja) 2006-05-12 2009-11-25 パナソニック株式会社 半導体装置の製造方法、および半導体モジュールの製造方法
US8198566B2 (en) 2006-05-24 2012-06-12 Electro Scientific Industries, Inc. Laser processing of workpieces containing low-k dielectric material
US20070272666A1 (en) 2006-05-25 2007-11-29 O'brien James N Infrared laser wafer scribing using short pulses
JP4480728B2 (ja) 2006-06-09 2010-06-16 パナソニック株式会社 Memsマイクの製造方法
KR101262386B1 (ko) 2006-09-25 2013-05-08 엘지이노텍 주식회사 질화물 반도체 발광소자의 제조 방법
JP4544231B2 (ja) 2006-10-06 2010-09-15 パナソニック株式会社 半導体チップの製造方法
JP4840174B2 (ja) 2007-02-08 2011-12-21 パナソニック株式会社 半導体チップの製造方法
JP4840200B2 (ja) 2007-03-09 2011-12-21 パナソニック株式会社 半導体チップの製造方法
US7926410B2 (en) 2007-05-01 2011-04-19 J.R. Automation Technologies, L.L.C. Hydraulic circuit for synchronized horizontal extension of cylinders
WO2008142911A1 (en) 2007-05-18 2008-11-27 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US20090016853A1 (en) * 2007-07-09 2009-01-15 Woo Sik Yoo In-line wafer robotic processing system
JP4488037B2 (ja) 2007-07-24 2010-06-23 パナソニック株式会社 半導体ウェハの処理方法
JP2009034694A (ja) 2007-07-31 2009-02-19 Disco Abrasive Syst Ltd レーザ加工方法
US7989319B2 (en) 2007-08-07 2011-08-02 Semiconductor Components Industries, Llc Semiconductor die singulation method
US8012857B2 (en) 2007-08-07 2011-09-06 Semiconductor Components Industries, Llc Semiconductor die singulation method
TW200935506A (en) 2007-11-16 2009-08-16 Panasonic Corp Plasma dicing apparatus and semiconductor chip manufacturing method
US8614151B2 (en) 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact
US7859084B2 (en) 2008-02-28 2010-12-28 Panasonic Corporation Semiconductor substrate
WO2009126907A2 (en) 2008-04-10 2009-10-15 Applied Materials, Inc. Laser-scribing platform and hybrid writing strategy
KR101026010B1 (ko) 2008-08-13 2011-03-30 삼성전기주식회사 레이저 가공장치 및 레이저 가공방법
JP2010165963A (ja) 2009-01-19 2010-07-29 Furukawa Electric Co Ltd:The 半導体ウェハの処理方法
US10307862B2 (en) 2009-03-27 2019-06-04 Electro Scientific Industries, Inc Laser micromachining with tailored bursts of short laser pulses
US8642448B2 (en) 2010-06-22 2014-02-04 Applied Materials, Inc. Wafer dicing using femtosecond-based laser and plasma etch
AU2011350109A1 (en) * 2010-12-30 2013-07-18 Primal Fusion Inc. System and method for using a knowledge representation to provide information based on environmental inputs
US8557683B2 (en) 2011-06-15 2013-10-15 Applied Materials, Inc. Multi-step and asymmetrically shaped laser beam scribing
US8703581B2 (en) 2011-06-15 2014-04-22 Applied Materials, Inc. Water soluble mask for substrate dicing by laser and plasma etch
US8557682B2 (en) 2011-06-15 2013-10-15 Applied Materials, Inc. Multi-layer mask for substrate dicing by laser and plasma etch
US8598016B2 (en) 2011-06-15 2013-12-03 Applied Materials, Inc. In-situ deposited mask layer for device singulation by laser scribing and plasma etch

Also Published As

Publication number Publication date
KR20140066799A (ko) 2014-06-02
JP5934788B2 (ja) 2016-06-15
CN103582935A (zh) 2014-02-12
CN103582935B (zh) 2016-08-24
CN106229262A (zh) 2016-12-14
KR101463146B1 (ko) 2014-11-20
KR102036708B1 (ko) 2019-10-25
CN106229262B (zh) 2020-10-30
US20120322241A1 (en) 2012-12-20
WO2012173758A3 (en) 2013-04-04
KR20140041750A (ko) 2014-04-04
TWI451487B (zh) 2014-09-01
US20140011337A1 (en) 2014-01-09
TW201250806A (en) 2012-12-16
TWI543248B (zh) 2016-07-21
US8557682B2 (en) 2013-10-15
JP2014523109A (ja) 2014-09-08
JP2015097278A (ja) 2015-05-21
WO2012173758A2 (en) 2012-12-20
TW201434081A (zh) 2014-09-01

Similar Documents

Publication Publication Date Title
JP6207496B2 (ja) レーザによる基板のダイシング及びプラズマエッチング用の多層マスク
JP6223325B2 (ja) レーザスクライビング・プラズマエッチングによるデバイスの個片化用のインサイチュー蒸着マスク層
JP6113214B2 (ja) レーザ・プラズマエッチングによる基板のダイシング用水溶性マスク
US20140057414A1 (en) Mask residue removal for substrate dicing by laser and plasma etch
US20140273401A1 (en) Substrate laser dicing mask including laser energy absorbing water-soluble film
US9620379B2 (en) Multi-layer mask including non-photodefinable laser energy absorbing layer for substrate dicing by laser and plasma etch

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160315

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160613

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160812

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160915

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170117

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170413

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170615

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170710

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170808

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170905

R150 Certificate of patent or registration of utility model

Ref document number: 6207496

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250