JP6097754B2 - ニッケル含有膜を堆積させる方法、及びニッケルケイ化物膜を堆積させるald方法 - Google Patents

ニッケル含有膜を堆積させる方法、及びニッケルケイ化物膜を堆積させるald方法 Download PDF

Info

Publication number
JP6097754B2
JP6097754B2 JP2014532538A JP2014532538A JP6097754B2 JP 6097754 B2 JP6097754 B2 JP 6097754B2 JP 2014532538 A JP2014532538 A JP 2014532538A JP 2014532538 A JP2014532538 A JP 2014532538A JP 6097754 B2 JP6097754 B2 JP 6097754B2
Authority
JP
Japan
Prior art keywords
nickel
sih
reactor
film
precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014532538A
Other languages
English (en)
Other versions
JP2014534333A (ja
Inventor
ランサロット−マトラス クレマン
ランサロット−マトラス クレマン
ガティノウ ジュリアン
ガティノウ ジュリアン
ジェイ ジュルシク ジュニア ベンジャミン
ジェイ ジュルシク ジュニア ベンジャミン
Original Assignee
レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード filed Critical レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Publication of JP2014534333A publication Critical patent/JP2014534333A/ja
Application granted granted Critical
Publication of JP6097754B2 publication Critical patent/JP6097754B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD

Description

ホモレプティックなジアザブタジエンニッケル前駆体、その合成及びニッケル含有膜の蒸着へのその使用を開示する。
[関連出願の相互参照]
本出願は、2011年9月27日付けで出願された米国仮出願第61/539,759号(その内容全体が引用することにより本明細書の一部をなすものとする)の利益を主張するものである。
化学蒸着(CVD)及び原子層堆積(ALD)は、プロセス中のパラメータの微調整によるコンフォーマルな膜(金属、酸化物、窒化物等)の達成を可能にすることから、半導体デバイス用の薄膜を堆積させる技法として適用されている。膜成長は主に金属有機化合物(前駆体)の化学反応によって制御されるため、その特性及び反応プロセスの予測による最適な前駆体の開発が不可欠である。或る特定のタイプの膜へのその具体的な適用に基づいて要求される特性を達成するために、前駆体が開発されている。
CVDプロセス及びALDプロセスに分子として使用する前に、前駆体の幾つかの固有特性を考慮すべきである。第1に、液体形態及び/又は十分な蒸気圧が、収納容器から反応チャンバへの気相中の前駆体の容易な送達に必要とされる。第2に、貯蔵条件及び送達条件における長期的な熱安定性が要求される。不純物の膜への混入を回避するには、気相における熱安定性も要求される。第3に、前駆体を試料基板上で所望の膜へと容易に変換するには、アンモニア又は酸素等の反応ガスに対する強い反応性が要求される。前駆体設計工程において考慮すべき前駆体の別の重要な要件は、通常は堆積プロセス中の配位子に由来する膜中の不純物の制御である。
ケイ化物プロセスは、デバイスの小型化に応じて接触抵抗を低下させるために相補型金属酸化物半導体(CMOS)において不可欠であると考えられる。TiSi及びCoSiが接点材料として幅広く研究されている。しかしながら、これらの材料は65nm未満の技術ノードで深刻な問題を有することが報告されている。加えて、TiSiのシート抵抗は小型化に伴い急激に増大し、通常これは狭線効果(narrow line effect)と呼ばれる。CoSiは狭線効果に対して耐性を有するが、Si消費の増大は接合深さが減少したケイ化物の形成において重大な懸念である。
一方、NiSiは、その狭線効果に対する耐性、低いシリコン消費及び低い抵抗率のために接点材料の重要な候補となっている。NiSiは自己整合ケイ化物プロセスによって形成することができる。しかしながら、高アスペクト比コンタクトホールの段差被覆性が悪いため、物理蒸着(PVD)はナノスケール堆積に不適切となっている。したがって、原子層堆積(ALD)は、その3次元構造の優れたコンフォーマル性及び原子厚さ制御性から、この目的に有望な堆積法である。これまで、ニッケルALDに対して幾つかの研究が行われているが、それらは複雑なプロセス、高不純物含量又は低成長速度等の限界を示している。
また、ニッケル酸化物(NiO)は半導体産業において大きな注目を集めている。NiO薄膜の抵抗スイッチ特性は、次世代の不揮発性抵抗ランダムアクセスメモリ(ReRAM:Resistive Random Access Memory)デバイスへの潜在用途を示している。
Heindirk tom Dieckは、ジアニオン形態(DAD(II))の2つのDAD配位子が、式Ti(IV)(iPr−DAD(II)及びTi(IV)(tBu−DAD(II)に示されるように+IV酸化状態のチタンに配位することを報告している(非特許文献1)。Ti(IV)(tBu−DAD(II)を用いたCVDも報告されている(非特許文献2)。
ニッケルが0酸化状態を有するNi(0)(R−DAD)等のホモレプティックなニッケル(0)分子の合成が既に報告されている(非特許文献3)。2つの異なる方法がこれらの分子の調製に用いられた。第1の方法は、ニッケル(0)出発物質Ni(COD)と2当量の対応する中性ジアザブタジエンとを反応させることによる配位子交換に基づく。第2の方法は、対応する中性ジアザブタジエンの存在下でニッケル(+II)出発物質NiBr(DME)をナトリウムで還元することからなる。
ニッケルが(+II)酸化状態を有するNi(+II)(R−DAD)等のホモレプティックなニッケル(+II)分子の合成も既に報告されている(非特許文献4)。この場合、ニッケル(+II)出発物質Ni(+II)Cl・CHCNを、1当量の新たに切削したリチウム金属と反応させた対応するジアザブタジエンから予め調製した2当量のリチウムジアザジエニルと反応させた。
Winter et al.は、Ni(tBu−DAD)及び無水1,1−ジメチルヒドラジンを用いた500nm厚の熱SiO上へのNiNのALD堆積を報告している(特許文献1)。自己限定的な膜成長は、0.7A/サイクルという一定の成長速度から明らかなように4.0秒以上のパルス長、ただし225℃〜240℃の温度でのみ達成された。
Hanは、Ni(iPr−DAD)を用いたシリコンウエハ上へのNi膜のCVD堆積を報告している(特許文献2)。オージェ分光分析から、得られた膜がおよそ10%の炭素を含有することが明らかになった。
国際公開第2012/027357号 国際公開第2012/067439号
Heindirk tom Dieck at al., Inorganica Chimica Acta, 177, 1990, Pages 191-197 S. Van Der Ven et al., J. De Physique IV, Colloque C3, supplement au Journal de Physique 11, 3, 1993 H. Tom Dieck Z. Naturforsch. 36b, 814-822, 1981 T.J. Knisley Organometallics, 2011
CVDモード又はALDモードでのニッケル含有膜(純ニッケル、ニッケル酸化物又はニッケルケイ化物)の堆積は、好適な前駆体のアベイラビリティが低いことから未だ課題を抱えている(高いC、N又はO含量)。出願人らは、いずれの無酸素前駆体も、無酸素反応ガスを用いた250℃未満又は150℃未満の温度でのCVD又はALD(熱モード又はプラズマモード)における純ニッケル膜の堆積を可能にはしないと考える。現在利用可能なニッケル前駆体は過度に安定であるため、低温での堆積は可能ではない。
無酸素プロセスにおけるCVD又はALDに好適なニッケル含有前駆体が依然として必要とされている。これらの用途に望ましいニッケル含有前駆体の特性は、i)液体形態又は低融点固体、ii)高揮発性、iii)取扱及び送達時の分解を回避するのに十分な熱安定性、並びにiv)CVD/ALDプロセスにおける適切な反応性である。同時に、低温での堆積を可能にするには、熱安定性が過度に高くてもいけない。0酸化状態の金属前駆体が、その酸化型と比較してより低い熱安定性を有することが知られている。
表記法及び命名法
或る特定の略語、記号及び用語を以下の明細書及び特許請求の範囲全体を通して使用する。
本明細書で使用される不定冠詞「a」又は「an」は、1つ又は複数を意味する。
本明細書で使用される「独立して」という用語は、R基の説明との関連で使用される場合、対象のR基が同じ又は異なる下付き文字又は上付き文字を有する他のR基に対して独立して選択されるだけでなく、同じR基の任意の付加的な種に対しても独立して選択されることを意味するものと理解されたい。例えば式MR (NR(4−x)(式中、xは2又は3である)において、2つ又は3つのR基は互いに同一であるか、又はR若しくはRと同一であってもよいが、そうである必要はない。さらに、特に指定のない限り、異なる式に使用される場合のR基の価数は互いに独立することを理解されたい。
本明細書で使用される「アルキル基」という用語は、炭素原子及び水素原子のみを含有する飽和官能基を指す。さらに、「アルキル基」という用語は直鎖、分岐又は環状アルキル基を指す。直鎖アルキル基の例としては、メチル基、エチル基、プロピル基、ブチル基等が挙げられるが、これらに限定されない。分岐アルキル基の例としては、t−ブチルが挙げられるが、これに限定されない。環状アルキル基の例としては、シクロプロピル基、シクロペンチル基、シクロヘキシル基等が挙げられるが、これらに限定されない。
本明細書で使用される「アリール基」という用語はフェニル、ベンジル、トリル、o−キシロール等の芳香族分子から誘導される配位子を指す。
本明細書で使用される場合、略語「Me」はメチル基を指し、略語「Et」はエチル基を指し、略語「Pr」はn−プロピル基を指し、略語「iPr」はイソプロピル基を指し、略語「Bu」はブチル(n−ブチル)を指し、略語「tBu」はtert−ブチルを指し、略語「sBu」はsec−ブチルを指し、略語「COD」はシクロオクタジエンを指し、略語「THF」はテトラヒドロフランを指し、略語「DME」はジメトキシエタンを指す。
元素周期表による元素の一般的な略語が本明細書中で使用される。元素がこれらの略語によって言及される場合もあることを理解されたい(例えば、Niはニッケルを指し、Siはシリコン指し、Cは炭素を指す等)。
本明細書で使用される略語「DAD」は、一般構造R−N=CR−CR=N−R(式中、R〜Rは各々独立してH;C1〜C6直鎖、分岐若しくは環状アルキル基若しくはアリール基;C1〜C6直鎖、分岐若しくは環状アルキルアミノ基、例えばNRR’(式中、R及びR’は独立してH、又はC1〜C6直鎖、分岐若しくは環状アルキル基若しくはアリール基から選択される);C1〜C6直鎖、分岐若しくは環状フルオロアルキル基(ここで、置換基の一部又は全てがFである、すなわち部分的に又は完全にフッ素化されている);又はアルコキシ置換基、例えばOR(式中、RはH、又はC1〜C6
直鎖、分岐若しくは環状アルキル基若しくはアリール基から選択される)から選択される)を有するα−ジイミンである、1,4−ジアザブタ−1,3−ジエン配位子を指す。本明細書で使用される「R−DAD」は、R及びRが指定の「R」であり、R及びRがHであるDAD配位子を指す(例えば、iPr−DADはiPr−N=CH−CH=N−iPrである)。
DAD配位子は、各々が中心元素(M)とDAD配位子との間の結合モードを決定する3つの酸化状態の1つから選択することができる。X線蛍光分光法及び/又はX線結晶構造決定及び/又は磁気モーメント決定を、酸化状態の決定に用いることができる。更なる理解のために、DAD配位子の一般構造を3つの異なる酸化状態:i)中性、ii)モノアニオン性及びiii)ジアニオン性によって下記に表す。下記に示すように、ジアザブタジエン配位子における二重結合の位置が配位子の酸化状態に応じて変化することが当業者には認識されるであろう:
i)中性DAD(0)
ii)モノアニオン性DAD(−I)
iii)ジアニオン性DAD(−II)
iv)中性M結合を有する中性DAD(0)
v)モノアニオン性M結合を有するモノアニオン性DAD(−I)
vi)ジアニオン性M結合を有するジアニオン性DAD(−II)
本明細書ではR−N=CR−CR=N−R(すなわち2つの二重結合を有する)として直鎖形態で記載されるが、参照のDAD配位子は中性、モノアニオン性又はジアニオン性であり得る。
ニッケル含有膜を堆積させる方法を開示する。ニッケルビスジアザブタジエン前駆体Ni(nPrN=CH−CH=NnPr)及び/又はNi(iPrN=CH−CH=NiPr)を、少なくとも1つの基板が中に配置された反応器に導入する。ニッケルビスジアザブタジエン前駆体の少なくとも一部を少なくとも1つの基板上に堆積させ、ニッケル含有膜を形成する。開示の方法は以下の態様の1つ又は複数を含み得る:
ニッケルビスジアザブタジエン前駆体がNi(nPrN=CH−CH=NnPr)である;
上記方法が約20℃〜約600℃の温度で行われる;
上記方法が約100℃〜約400℃の温度で行われる;
上記方法が約0.1Pa〜約10Paの圧力で行われる;
上記方法が約2.5Pa〜約10Paの圧力で行われる;
堆積工程が化学蒸着(CVD)、原子層堆積(ALD)、プラズマCVD、プラズマALD、パルスCVD、減圧CVD、準大気圧CVD、常圧CVD、ホットワイヤCVD、ホットワイヤALD及び超臨界流体堆積からなる群から選択される;
反応ガスを、ニッケルビスジアザブタジエン前駆体の導入と同時に又は別々に(at an alternate time)反応器に導入する;
反応ガスが還元剤である;
還元剤がN、H;SiH;Si;Si;NH;(CHSiH;(CSiH;(CH)SiH;(C)SiH;フェニルシラン;N;N(SiH;N(CH)H;N(C)H;N(CHH;N(CH;N(CH;N(C;(SiMeNH;(CH)HNNH;(CHNNH;フェニルヒドラジン;B;9−ボラビシクロ[3,3,1]ノナン;ジヒドロベンゾフラン;ピラゾリン;トリメチルアルミニウム;ジメチル亜鉛;ジエチル亜鉛;そのラジカル種;及びそれらの混合物からなる群から選択される;
反応ガスが酸化剤である;並びに、
酸化剤がO;O;HO;H;NO;NO;NO;カルボン酸;そのラジカル種;及びそれらの混合物からなる群から選択される;
ニッケル含有膜がニッケル(Ni)、ニッケルケイ化物(NiSi)、ニッケル窒化物(NiN)、ニッケル炭化物(NiC)、ニッケル炭窒化物(NiNC)及びニッケル酸化物(NiO)からなる群から選択される。
ニッケルケイ化物膜を堆積させるALD方法も開示する。Ni(nPrN=CH−CH=NnPr)又はNi(iPrN=CH−CH=NiPr)から選択される少なくとも1つのニッケルビスジアザブタジエン前駆体を、少なくとも1つの基板が中に配置された反応器に導入する。ニッケルビスジアザブタジエン前駆体の少なくとも一部を、少なくとも1つの基板上に堆積させ、ニッケル含有層を形成する。少なくとも1つのシリコン含有前駆体を反応器に導入する。シリコン含有前駆体の少なくとも一部を、ニッケル含有層上に堆積させ、ニッケルケイ化物膜を形成する。開示の方法は以下の態様の1つ又は複数を含み得る:
厚さがおよそ5nm〜およそ100nmの範囲のニッケルケイ化物膜を堆積させる方法を繰り返す;
シリコン含有前駆体がシラン;クロロシラン;ジクロロシラン;トリクロロシラン;N(SiH;式Si2x+2−y(式中、X=F、Cl、Br又はIであり、x=2〜3であり、y=1〜8である)を有するシラン;又は式SiR (NR 4−x(式中、x=0〜3であり、各々のRは独立してH又はC1〜C6アルキル基であり、各々のRは独立してC1〜C6アルキル基である)を有するアミノシラン;及びそれらの混合物からなる群から選択される;
反応ガスを、ニッケルビスジアザブタジエン前駆体の導入と同時に又は別々に反応器に導入する;
反応ガスを、シリコン含有前駆体の導入と同時に又は別々に反応器に導入する;
反応ガスがN、H;SiH;Si;Si;NH;(CHSiH;(CSiH;(CH)SiH;(C)SiH;フェニルシラン;N;N(SiH;N(CH)H;N(C)H;N(CHH;N(CH;N(CH;N(C;(SiMeNH;(CH)HNNH;(CHNNH;フェニルヒドラジン;B;9−ボラビシクロ[3,3,1]ノナン;ジヒドロベンゾフラン;ピラゾリン;トリメチルアルミニウム;ジメチル亜鉛;ジエチル亜鉛;そのラジカル種;及びそれらの混合物からなる群から選択される;並びに、
ニッケルケイ化物膜をアニーリングする。
本発明の性質及び目的の更なる理解のために、添付の図面と併せて、以下の詳細な説明について言及するものとする。
Ni(iPrN=CH−CH=NiPr)の常圧熱重量分析及び真空熱重量分析を示すグラフである。 Ni(tBuN=CH−CH=NtBu)の常圧熱重量分析及び真空熱重量分析を示すグラフである。 Ni(iPrN=CH−CH=NiPr)の等温蒸発を示すグラフである。
ニッケルビスジアザブタジエン(Ni(R−DAD))前駆体を開示する。この前駆体は、適当な熱安定性のためにニッケル含有膜の堆積をより低い温度で可能にし得る。前駆体は、標的温度での厚さ及び組成を制御した膜の堆積に使用することができる。
開示のニッケルビスジアザブタジエン(Ni(R−DAD))前駆体は、以下の式(A):
(式中、R及びRは各々、iPr又はnPrであり、R及びRはHである)を有する。
開示のニッケルビスジアザブタジエン前駆体は、ニッケルの酸化状態が0であるために他のニッケル含有前駆体よりも低い熱安定性を有する。これにより、従来技術のニッケル含有前駆体よりも低い温度でのニッケル含有膜の堆積が可能となる。また、無酸素前駆体によって250℃未満、好ましくは150℃未満の温度で無酸素反応ガスを用いたCVD又はALD(熱モード又はプラズマモード)での純Ni膜の堆積が可能となる。開示のニッケルビスジアザブタジエン前駆体はホモレプティックであり、一段階での合成を可能にし、より低い合成コストが可能となる。ニッケル元素が窒素としか結合しないことは、他の元素不純物の膜への混入の減少を助ける。開示のニッケルビスジアザブタジエン前駆体は、薄いニッケル含有膜の堆積に使用することができ、ここで、ニッケル含有膜はニッケル(Ni)、ニッケルケイ化物(NiSi)、ニッケル窒化物(NiN)、ニッケル炭化物(NiC)、ニッケル炭窒化物(NiNC)、ニッケル酸化物(NiO)又は任意の他のニッケル含有膜である。
開示のニッケルビスジアザブタジエン前駆体は市販されていないが、非特許文献3(その全体が引用することにより本明細書の一部をなすものとする)に公開されている方法に従って合成することができる。より具体的には、1モル当量のNi(COD)を2モル当量以上のジアザジエン配位子と反応させ、開示のニッケルビスジアザブタジエン前駆体を生成することができる。合成の更なる詳細は以下の実施例に提示する。ジアザブタジエン配位子を除く全ての反応物質が市販されている。
関連のジアザブタジエン配位子も非特許文献3に公開されている方法に従って調製することができる。より具体的には、ジアザブタジエン配位子は、1モル当量の関連のグリオキサル(O=CH−CH=O、O=CH−CMe=O、O=C(CF)CH=O等)を2モル当量以上のアミン(RNH)と反応させ、関連のジアザブタジエン(RN=CH−CH=NR、RN=CH−CMe=NR、RN=C(CF)CH=NR等)を生成することによって合成することができる。
蒸着プロセスを用いてニッケル含有層を基板上に形成する方法も開示する。該方法は半導体デバイス、光起電デバイス、LCD−TFTデバイス又はフラットパネル型のデバイスの製造に有用であり得る。
ニッケル含有膜は、上で論考した少なくとも1つの開示のNi(R−DAD)前駆体を、少なくとも1つの基板が中に配置された反応器に導入することによって堆積させることができる。開示のNi(R−DAD)前駆体の少なくとも一部を少なくとも1つの基板上に堆積させ、ニッケル含有膜を形成する。
開示のNi(R−DAD)前駆体は、当業者に既知の任意の堆積法を用いた薄いニッケル含有膜の堆積に使用することができる。好適な堆積法の例としては、従来の化学蒸着(CVD)若しくは原子層堆積(ALD)、又はプラズマ[プラズマ支援化学蒸着(PECVD)又はプラズマ支援原子層堆積(PEALD)]、導入スキームの調整[パルス化化学蒸着(PCVD)]、反応圧力の調整[減圧化学蒸着(LPCVD)、低大気圧CVD(SACVD)、常圧CVD(APCVD)]、ホットワイヤ化学蒸着(HWCVD;catCVDとしても知られる;ホットワイヤが堆積プロセスの触媒として働く)、ホットワイヤ原子層堆積(HWALD)若しくは超臨界流体を用いた堆積、若しくはこれらの組合せ等の技法を用いた蒸着(vapor coating)に関連する他のタイプの堆積が挙げられるが、これらに限定されない。一代替形態では、特に急速な成長、コンフォーマル性、プロセス指向及び一方向膜が要求される場合、熱CVD堆積が好ましい。別の代替形態では、特に厄介な表面(例えばトレンチ、ホール、ビア)上に堆積させる膜の優れたコンフォーマル性が要求させる場合、熱ALD堆積プロセスが好ましい。
開示のNi(R−DAD)前駆体は純粋な(neat)形態で、又はエチルベンゼン、キシレン、メシチレン、デカン、ドデカン等の好適な溶媒とブレンドして供給することができる。開示の前駆体は溶媒中に様々な濃度で存在し得る。
1つ又は複数の純粋なNi(R−DAD)前駆体又はブレンドを、チューブ及び/又は流量計等の従来の手段によって蒸気形態で反応器に導入する。蒸気形態は、純粋な前駆体又はブレンドを直接蒸発、蒸留等の従来の蒸発工程によって、又はバブリングによって、又はXu et alの国際公開第2009/087609号に開示されているような昇華装置を用いて蒸発させることにより生成することができる。純粋な前駆体又はブレンドを液体状態で蒸発装置に供給し、そこで蒸発させた後、反応器に導入することができる。代替的には、純粋な前駆体又はブレンドを、キャリアガスを前駆体若しくはブレンドの入った容器に通すか、又はキャリアガスを前駆体若しくはブレンド中にバブリングすることによっ
て蒸発させることができる。キャリアガスはAr、He、N及びそれらの混合物を含み得るが、これらに限定されない。また、キャリアガスによるバブリングによって、純粋な前駆体又はブレンド中に存在する溶存酸素を全て除去することができる。次いで、キャリアガス及び前駆体を蒸気として反応器に導入する。
必要に応じて、開示の前駆体/ブレンドの容器を、前駆体/ブレンドがその液相に存在し、十分な蒸気圧を有するようになる温度まで加熱してもよい。容器を例えばおよそ0℃〜およそ150℃の範囲の温度で維持してもよい。蒸発する前駆体の量を制御する既知の方法で容器の温度を調節することができることが当業者には認識される。
反応器は、堆積法を行うデバイス内の任意のエンクロージャ又はチャンバ、例えば、限定されるものではないが、平行板型反応器、低温壁型反応器、高温壁型反応器、単一ウエハ反応器、マルチウエハ反応器、又は前駆体を反応させ、層を形成するのに好適な条件下の他のタイプの堆積システムであり得る。
反応器は概して、薄膜を上に堆積させる1つ又は複数の基板を含有する。1つ又は複数の基板は、半導体デバイス、光起電デバイス、フラットパネルデバイス又はLCD−TFTデバイスの製造に使用される任意の好適な基板であり得る。好適な基板の例としては、シリコン基板、シリカ基板、シリコン窒化物基板、シリコンオキシ窒化物基板、タングステン基板又はこれらの組合せが挙げられるが、これらに限定されない。さらに、タングステン又は貴金属(例えば白金、パラジウム、ロジウム又は金)を含む基板を使用することができる。基板は、先の製造工程によって異なる材料の1つ又は複数の層が既に上に堆積していてもよい。
反応器内の温度及び圧力は、基板上へのNi(R−DAD)前駆体の少なくとも一部の蒸着に好適な条件に保持される。言い換えると、蒸発した前駆体をチャンバに導入した後、チャンバ内の条件を蒸発した前駆体の少なくとも一部が基板上に堆積し、ニッケル含有膜を形成するようなものとする。例えば、反応器内の圧力を堆積パラメータによって要求されるように約0.1Pa〜約10Pa、より好ましくは約2.5Pa〜約10Paに保持することができる。同様に、反応器内の温度を約20℃〜約600℃、好ましくは約100℃〜約400℃に保持することができる。
反応器の温度は、基板ホルダーの温度の制御及び/又は反応器壁の温度の制御によって制御することができる。基板の加熱に使用されるデバイスは当該技術分野で既知である。反応器壁は、所望の膜を十分な成長速度並びに所望の物理的状態及び組成で得るのに十分な温度に加熱される。反応器壁を加熱することができる非限定的な例示的温度範囲としては、およそ20℃〜およそ600℃が挙げられる。プラズマ堆積プロセスを利用する場合、堆積温度はおよそ20℃〜およそ350℃の範囲であり得る。代替的には、熱プロセスを行う場合、堆積温度はおよそ200℃〜およそ600℃の範囲であり得る。
開示の前駆体に加えて、反応ガスを反応器に導入してもよい。反応ガスは酸化剤、例えばO;O;HO;H;O・又はOH・等の酸素含有ラジカル;NO;NO;NO;ギ酸、酢酸、プロピオン酸等のカルボン酸;NO、NO又はカルボン酸のラジカル種;及びそれらの混合物の1つであり得る。酸化剤はO、O、HO、H、O・又はOH・等のその酸素含有ラジカル及びそれらの混合物からなる群から選択されるのが好ましい。
代替的には、反応ガスは還元剤、例えばH、NH、SiH、Si、Si、(CHSiH、(CSiH、(CH)SiH、(C)SiH、フェニルシラン、N、N(SiH、N(CH)H、N(
)H、N(CHH、N(CH、N(CH、N(C、(SiMeNH、(CH)HNNH、(CHNNH、フェニルヒドラジン、N含有分子、B、9−ボラビシクロ[3,3,1]ノナン、ジヒドロベンゾフラン、ピラゾリン、トリメチルアルミニウム、ジメチル亜鉛、ジエチル亜鉛、そのラジカル種及びそれらの混合物の1つであり得る。還元剤はH、NH、SiH、Si、Si、SiHMe、SiHEt、N(SiH、その水素ラジカル又はそれらの混合物であるのが好ましい。
反応ガスは、反応ガスをそのラジカル形態へと分解するためにプラズマによって処理することができる。プラズマによる処理の際にNを還元剤として利用してもよい。例えば、プラズマは約50W〜約500W、好ましくは約100W〜約200Wの範囲の電力で発生させることができる。プラズマは発生させてもよく、又は反応器自体に存在していてもよい。代替的には、プラズマは概して反応器から離れて、例えば遠隔設置されたプラズマシステム内に位置し得る。かかるプラズマ処理に好適な方法及び装置が当業者には認識されるであろう。
チャンバ内の蒸着条件により、Ni(R−DAD)前駆体及び/又は反応ガスが基板上にニッケル含有膜を形成することが可能になる。一部の実施の形態では、出願人らは反応ガスのプラズマ処理が、反応ガスに開示の前駆体と反応させるのに必要なエネルギーを与えることができると考える。
堆積が望まれる膜のタイプに応じて、第2の前駆体を反応器に導入してもよい。第2の前駆体は別の元素源、例えばシリコン、銅、プラセオジム、マンガン、ルテニウム、チタン、タンタル、ビスマス、ジルコニウム、ハフニウム、鉛、ニオブ、マグネシウム、アルミニウム、ランタン又はこれらの混合物を含む。第2の前駆体を利用する場合、得られる基板上に堆積した膜は少なくとも2つの異なる元素を含有し得る。
一代替形態では、第2の前駆体はシリコン含有前駆体、例えばシラン;クロロシラン;ジクロロシラン;トリクロロシラン;N(SiH;式Si2x−2−y(式中、X=F、Cl、Br又はIであり、x=2〜3であり、y=0〜6である)を有するシラン;又は式SiR (NR 4−x(式中、x=0〜3であり、各々のRは独立してH又はC1〜C6アルキル基であり、各々のRは独立してC1〜C6アルキル基である)を有するアミノシラン;及びこれらの組合せであり得る。例示的なシランとしては、ジシラン、トリシラン、SiCl及びSiHClが挙げられる。例示的なアミノシランとしては、ビス(ジエチルアミノ)シラン[SiH(NEt]及びジイソプロピルアミノシラン[SiH(NiPr)]が挙げられる。Ni(R−DAD)前駆体とシリコン含有前駆体との組合せによって、低抵抗率(およそ15μohm・cm〜およそ20μohm・cm)、低Si消費及び低温度収支(temperature budget)を有するNiSi膜が生成する。さらに、Si中でのNiの拡散が容易に制御される。NiSi膜はCMOSデバイスにおいてソース、ドレイン及びゲートの接点として使用することができる。
Ni(R−DAD)前駆体及び反応ガスは反応器に同時に(化学蒸着)、順次に(原子層堆積)又はこれらの異なる組合せで導入することができる。前駆体の導入と反応ガスの導入との間に反応器を不活性ガスでパージしてもよい。代替的には、反応ガス及び前駆体を混合して反応ガス/前駆体混合物を形成した後、反応器に混合物形態で導入することができる。別の例は、反応ガスを連続的に導入し、少なくとも1つのNi(R−DAD)前駆体をパルス状に導入することである(パルス化化学蒸着)。
蒸発した前駆体及び反応ガスは、反応器へと順次に又は同時に(例えばパルス化CVD
)パルス化することができる。各々の前駆体パルスは約0.01秒〜約10秒、代替的には約0.3秒〜約3秒、代替的には約0.5秒〜約2秒の範囲の期間にわたって持続し得る。別の実施形態では、反応ガスを反応器へとパルス化してもよい。かかる実施形態では、各々のガスのパルスは約0.01秒〜約10秒、代替的には約0.3秒〜約3秒、代替的には約0.5秒〜約2秒の範囲の期間にわたって持続し得る。
特定のプロセスパラメータに応じて、堆積を様々な時間で行うことができる。概して、所要の特性を有する膜を生成するために、堆積を所望される又は必要な期間継続することができる。典型的な膜厚は、具体的な堆積プロセスに応じて数オングストロームから数百ミクロンまで様々であり得る。堆積プロセスは、所望の膜を得るため必要に応じて何度行ってもよい。
非限定的な一例示的CVD式プロセスでは、開示のNi(R−DAD)前駆体の気相及び反応ガスを同時に反応器に導入する。これら2つが反応し、結果としてニッケル含有薄膜が形成される。この例示的CVDプロセスにおいて反応ガスをプラズマで処理する場合、例示的CVDプロセスは例示的PECVDプロセスとなる。反応ガスをチャンバへ導入する前又は導入した後にプラズマで処理することができる。
非限定的な一例示的ALD式プロセスでは、開示のNi(R−DAD)前駆体の気相を反応器に導入し、そこで好適な基板と接触させる。次いで、反応器のパージ及び/又は排気によって過剰な前駆体を反応器から除去することができる。還元剤(例えばH)を反応器に導入し、そこで吸着した前駆体と自己制御式に反応させる。反応器のパージ及び/又は排気によって過剰な還元剤を全て反応器から除去する。所望の膜がニッケル膜である場合、この二段階プロセスによって所望の膜厚を得ることができ、又は所要の厚さの膜が得られるまでこれを繰り返すことができる。所望の膜厚が、得られる膜のタイプ及び半導体デバイス、光起電デバイス、LCD−TFTデバイス又はフラットパネル型デバイス内でのその位置によって異なることが当業者には認識されるであろう。
代替的には、所望の膜が2つの元素を含有する場合、上記の二段階プロセスに続いて第2の前駆体の蒸気を反応器に導入することができる。第2の前駆体は、堆積させる膜中の所望の第2の元素に基づいて選択される。反応器への導入の後、第2の前駆体と基板とを接触させる。反応器のパージ及び/又は排気によって過剰な第2の前駆体を全て反応器から除去する。還元剤を再び反応器に導入して第2の前駆体と反応させてもよい。反応器のパージ及び/又は排気によって過剰な還元剤を反応器から除去する。所望の膜厚が達成された場合、プロセスを終わらせることができる。しかしながら、より厚い膜が所望される場合、四段階プロセス全体を繰り返してもよい。Ni(R−DAD)前駆体、第2の前駆体及び反応ガスの供給を交互に行うことによって、所望の組成及び厚さの膜を堆積させることができる。所望の膜厚が、得られる膜のタイプ及び半導体デバイス、光起電デバイス、LCD−TFTデバイス又はフラットパネル型デバイス内でのその位置によって異なることが当業者には認識されるであろう。
この例示的ALDプロセスにおいて反応ガスをプラズマで処理する場合、例示的ALDプロセスは例示的PEALDプロセスとなる。反応ガスをチャンバへ導入する前又は導入した後にプラズマで処理することができる。
上で論考したプロセスによって得られるニッケル含有膜は、純ニッケル(Ni)、ニッケル窒化物(NiN)、ニッケル炭化物(NiC)、ニッケル炭窒化物(NiCN)、ニッケルケイ化物(NiSi)又はニッケル酸化物(Ni)膜(ここで、k、l、m及びnは、包括的に1〜6の範囲の整数である)を含み得る。適切なNi(R−DAD)前駆体、任意の第2の前駆体及び反応ガス種の最善の(judicial)選択によって、
所望の膜組成を得ることができることが当業者には認識されるであろう。
所望の膜厚を得るには、膜を熱アニーリング、炉アニーリング、高速熱アニーリング、UV硬化若しくは電子ビーム硬化及び/又はプラズマガス曝露等の更なる処理に供することができる。これらの付加的な処理工程を行うために利用するシステム及び方法が当業者には認識される。例えば、ニッケル含有膜を不活性雰囲気、H含有雰囲気、N含有雰囲気、O含有雰囲気又はこれらの組合せの下で、およそ200℃〜およそ1000℃の範囲の温度に、およそ0.1秒〜およそ7200秒の範囲の時間にわたって曝露することができる。最も好ましくは、温度はH含有雰囲気下、3600秒間では400℃である。得られる膜が含有する不純物がより少ないことから、密度が改善され、結果としてリーク電流が改善され得る。アニーリング工程は、堆積プロセスを行うのと同じ反応チャンバ内で行うことができる。代替的には、基板を反応チャンバから取り出し、アニーリング/フラッシュアニーリングプロセスを別の装置で行ってもよい。上記の後処理方法のいずれも、とりわけ熱アニーリングは、ニッケル含有膜の炭素汚染及び窒素汚染の低減に効果的であることが見出されている。これにより膜の抵抗率が改善される傾向がある。
アニーリングの後、開示のいずれかのプロセスによって堆積させたニッケル含有膜は、室温でおよそ7μohm・cm〜およそ70μohm・cm、好ましくはおよそ7μohm・cm〜およそ20μohm・cm、より好ましくはおよそ7μohm・cm〜およそ12μohm・cmのバルク抵抗率を有する。室温は時期に応じておよそ20℃〜およそ28℃である。バルク抵抗率は体積抵抗率としても知られる。バルク抵抗率が通常およそ50nm厚のNi膜に対して室温で測定されることが当業者には認識されるであろう。バルク抵抗率は通常、電子伝達機構の変化によって膜厚の減少とともに増大する。またバルク抵抗率は温度の上昇とともに増大する。
以下の非限定的な実施例は、本発明の実施形態を更に例示するために提示するものである。しかしながら、実施例は、全てを包括するものであることを意図するものではなく、本明細書中に記載される本発明の範囲を限定することを意図するものでもない。
実施例1:Ni(iPrN=CH−CH=NiPr)の合成
Ni(iPrN=CH−CH=NiPr)を、非特許文献3に公開された方法に従って調製した。
乾燥窒素下の100mL容のシュレンクフラスコに、1.0g(3.63mmol)のNi(COD)をジエチルエーテル(10mL)とともに導入した。グリオキサル−ビス(イソプロピルイミン)1.02g(7.27mmol)を室温でゆっくりと添加し、一晩反応させた。溶液は即座に暗赤色に変わった。次いで、溶媒を真空下で除去し、赤色の液体を得た。145℃、300mTorrでの蒸留によって、NMRのHシフトがNi(iPrN=CH−CH=NiPr)の構造に相当する暗赤色の液体900mgが得られた(収率73%)。
実施例2:Ni(tBuN=CH−CH=NtBu)の合成
Ni(tBuN=CH−CH=NtBu)を、非特許文献3に公開された方法に従って調製した。
乾燥窒素下の100mL容のシュレンクフラスコに、1.0g(3.63mmol)のNi(COD)をジエチルエーテル(10mL)とともに導入した。グリオキサル−ビス(tertioブチルイミン)1.22g(7.27mmol)を室温でゆっくりと添加し、一晩反応させた。溶液は即座に暗赤色に変わった。次いで、溶媒を真空下で除去し
、赤色の固体を得た。130℃、300mTorrでの蒸留によって、NMRのHシフトがNi(tBuN=CH−CH=NtBu)の構造に相当する暗赤色の固体670mgが単離された(収率55%)。
実施例3:Ni(iPrN=CH−CH=NiPr)及びNi(tBuN=CH−CH=NtBu)の熱重量分析(TGA)
図1及び図2に、Ni(iPrN=CH−CH=NiPr)及びNi(tBuN=CH−CH=NtBu)の常圧及び真空でのTGA及び示差熱分析(DTA)をそれぞれ示す(常圧TGA及び常圧DTAの結果を実線で示し、真空TGA及び真空DTAの結果を破線で示し、TGAの結果はグラフの左上部分から始まって右下へと向かい、DTAの結果はグラフの中央左手から始まる)。
図から明らかなように、Ni(iPr−DAD)はNi(tBu−DAD)よりも揮発性である。Ni(nPr−DAD)のTGAはNi(iPr−DAD)と同様である。Ni(iPr−DAD)は常圧TGA条件で11%の残留物、真空TGA条件でおよそ0%の残留物を生成する。Ni(nPr−DAD)は常圧TGA条件で13%の残留物、真空TGA条件で0.5%未満の残留物を生成する。Ni(tBu−DAD)は常圧TGA条件で11%の残留物、真空TGA条件で1%の残留物を生成する。Ni(iPr−DAD)2及びNi(nPr−DAD)2はどちらも液体であり、堆積チャンバへの送達を固体であるNi(tBu−DAD)の送達よりも容易にする。最後に、Ni(iPr−DAD)[130℃で1Torr]及びNi(nPr−DAD)[135℃で1Torr]の蒸気圧は、Ni(tBu−DAD)[155℃で1Torr]よりも良好である。さらに、100℃及び130℃で6日間のNi(iPr−DAD)2の安定性試験から、100℃で非常に良好な安定性(NMR+TGA)が示されたが、130℃では幾らかの分解が示された。
図3に、130℃〜200℃の範囲の温度でのNi(iPrN=CH−CH=NiPr)の等温蒸発を示す。残留物量は130℃で非常に少なく、本条件での熱安定性の幾らかの良好な証拠が示される。残留物量は150℃及び200℃で11%まで徐々に増大し、分子の比較的低い熱安定性がニッケル膜の低温堆積に好適であり得ることが再び示される。
実施例4:Ni(iPrN=CH−CH=NiPr)又はNi(tBuN=CH−CH=NtBu)を用いた薄いNi膜の予測的(Prophetic)堆積
Ni(iPrN=CH−CH=NiPr)を実施例1に記載のように合成した。とりわけNi膜を堆積させる一方法を説明する以下の実施例を用いてかかる膜が得られることが期待される。
ニッケル分子をキャニスタに入れる。十分な蒸気を供給するために、加熱したキャニスタ内に窒素を流入させることによってニッケル分子の蒸気を反応炉に移す。水素を堆積システムに導入し、ALDスキームにおいてウエハの表面に堆積したニッケル前駆体の部分と反応させる(前駆体蒸気の導入は十分に長い不活性ガスパージで区切られる)。水素(H)は最適な分子と考えられるが、任意のタイプの還元剤を選択することができる。Ni膜が得られる。分析結果から、ニッケル分子の蒸気の導入時間を延長するとALDモードに典型的な飽和モードが得られることが示される。
実施例5:Ni(iPrN=CH−CH=NiPr)又はNi(tBuN=CH−CH=NtBu)及びSiH(NEtを用いた薄いNiSi膜の予測的堆積
Ni(iPrN=CH−CH=NiPr)を実施例1に記載のように合成した。とりわけNiSi膜を堆積させる一方法を説明する以下の実施例を用いてかかる膜が得られる
ことが期待される。
ニッケル分子をキャニスタに入れる。十分な蒸気を供給するために、加熱したキャニスタ内に窒素を流入させることによってニッケル分子の蒸気を反応炉に移す。反応器内の条件及び基板の選択は、基板上へのニッケル分子の吸着又は化学吸着に好適である。反応器をパージし、水素を堆積システムに導入し、基板の表面上に堆積したニッケル前駆体の部分と反応させて、Ni含有層を得る。水素(H)は最適な分子と考えられるが、任意のタイプの還元剤を選択することができる。十分な蒸気を供給するために、加熱したキャニスタ内に窒素を流入させることによってSiH(NEtの蒸気を反応炉に移す。反応器内の条件は、SiH(NEt蒸気の一部とNi含有層とを反応させ、Ni含有層及びSi含有層を形成するのに好適である。反応器をパージし、水素を導入し、基板上のNi含有層及びSi含有層の組合せと反応させて、NiSi膜を得る。
本発明の性質を説明するために本明細書中に記載及び例示されている詳細、材料、工程及び部材の配列の多くの更なる変更は、添付の特許請求の範囲に表される本発明の原理及び範囲内で当業者が行うことができることが理解される。よって、本発明は、上記に挙げられる実施例及び/又は添付の図面における具体的な実施形態に限定されることが意図されるものではない。

Claims (17)

  1. ニッケル含有膜を堆積させる方法であって、
    Ni(nPrN=CH−CH=NnPr)を、少なくとも1つの基板が中に配置された反応器に導入することと、
    前記ニッケル含有膜を形成するように、前記Ni(nPrN=CH−CH=NnPr)の少なくとも一部を前記少なくとも1つの基板上に堆積させることと、
    を含む、方法。
  2. 20℃〜600℃の温度で行われる、請求項1に記載の方法。
  3. 0.1Pa〜10Paの圧力で行われる、請求項1又は2に記載の方法。
  4. 前記堆積工程が化学蒸着(CVD)、原子層堆積(ALD)、プラズマCVD、プラズマALD、パルスCVD、減圧CVD、準大気圧CVD、常圧CVD、ホットワイヤCVD、ホットワイヤALD及び超臨界流体堆積からなる群から選択される、請求項1〜3のいずれか一項に記載の方法。
  5. 反応ガスを、前記Ni(nPrN=CH−CH=NnPr)の導入と同時に又は別々に前記反応器に導入することを更に含み、前記Ni(nPrN=CH−CH=NnPr)の少なくとも一部を前記少なくとも1つの基板上に堆積させ、前記反応ガスと前記Ni(nPrN=CH−CH=NnPr)とを反応させることによって前記ニッケル含有膜を形成する、請求項1〜4のいずれか一項に記載の方法。
  6. 前記反応ガスが還元剤である、請求項5に記載の方法。
  7. 前記還元剤がN、H;SiH;Si;Si;NH;(CHSiH;(CSiH;(CH)SiH;(C)SiH;フェニルシラン;N;N(SiH;N(CH)H;N(C)H;N
    (CHH;N(CH;N(CH;N(C;(SiMeNH;(CH)HNNH;(CHNNH;フェニルヒドラジン;B;9−ボラビシクロ[3,3,1]ノナン;ジヒドロベンゾフラン;ピラゾリン;トリメチルアルミニウム;ジメチル亜鉛;ジエチル亜鉛;そのラジカル種;及びそれらの混合物からなる群から選択される、請求項6に記載の方法。
  8. 前記反応ガスが酸化剤である、請求項5に記載の方法。
  9. 前記酸化剤がO;O;HO;H;NO;NO;NO;カルボン酸;そのラジカル種;及びそれらの混合物からなる群から選択される、請求項8に記載の方法。
  10. 前記ニッケル含有膜がニッケル(Ni)、ニッケルケイ化物(NiSi)、ニッケル窒化物(NiN)、ニッケル炭化物(NiC)、ニッケル炭窒化物(NiNC)及びニッケル酸化物(NiO)からなる群から選択される、請求項1〜5のいずれか一項に記載の方法。
  11. ニッケルケイ化物膜を堆積させるALD方法であって、
    Ni(nPrN=CH−CH=NnPr)を、少なくとも1つの基板が中に配置された反応器に導入することと、
    ニッケル含有層を形成するように、前記Ni(nPrN=CH−CH=NnPr)の少なくとも一部を前記少なくとも1つの基板上に堆積させることと、
    少なくとも1つのシリコン含有前駆体を前記反応器に導入することと、
    前記ニッケルケイ化物膜を形成するように、前記シリコン含有前駆体の少なくとも一部を前記ニッケル含有層上に堆積させることと、
    を含む、ALD方法。
  12. 厚さが5nm〜100nmの範囲の前記ニッケルケイ化物膜を堆積させる方法を繰り返すことを更に含む、請求項11に記載の方法。
  13. 前記シリコン含有前駆体がシラン;クロロシラン;ジクロロシラン;トリクロロシラン;N(SiH;式Si2x+2−y(式中、X=F、Cl、Br又はIであり、x=2〜3であり、y=1〜8である)を有するシラン;又は式SiR (NR 4−x(式中、x=0〜3であり、各々のRは独立してH又はC1〜C6アルキル基であり、各々のRは独立してC1〜C6アルキル基である)を有するアミノシラン;及びそれらの混合物からなる群から選択される、請求項11又は12に記載の方法。
  14. 反応ガスを、前記Ni(nPrN=CH−CH=NnPr)の導入と同時に又は別々に前記反応器に導入することを更に含む、請求項11〜13のいずれか一項に記載の方法。
  15. 反応ガスを、前記シリコン含有前駆体の導入と同時に又は別々に前記反応器に導入することを更に含む、請求項11〜14のいずれか一項に記載の方法。
  16. 前記反応ガスがN、H;SiH;Si;Si;NH;(CHSiH;(CSiH;(CH)SiH;(C)SiH;フェニルシラン;N;N(SiH;N(CH)H;N(C)H;N(CHH;N(CH;N(CH;N(C;(SiMeNH;(CH)HNNH;(CHNNH;フェニルヒドラジン;B;9−ボラビシクロ[3,3,1]ノナン;ジヒドロベンゾフラン;ピラゾリン;トリメチルアルミニウム;ジメチル亜鉛;ジエチル亜鉛;そのラジカル種;及びそれらの
    混合物からなる群から選択される、請求項14又は15に記載の方法。
  17. 前記ニッケルケイ化物膜をアニーリングすることを更に含む、請求項11〜16のいずれか一項に記載の方法。
JP2014532538A 2011-09-27 2012-09-27 ニッケル含有膜を堆積させる方法、及びニッケルケイ化物膜を堆積させるald方法 Active JP6097754B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161539759P 2011-09-27 2011-09-27
US61/539,759 2011-09-27
PCT/IB2012/055171 WO2013046157A1 (en) 2011-09-27 2012-09-27 Nickel bis diazabutadiene precursors, their synthesis, and their use for nickel containing film depositions

Publications (2)

Publication Number Publication Date
JP2014534333A JP2014534333A (ja) 2014-12-18
JP6097754B2 true JP6097754B2 (ja) 2017-03-15

Family

ID=47116148

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014532538A Active JP6097754B2 (ja) 2011-09-27 2012-09-27 ニッケル含有膜を堆積させる方法、及びニッケルケイ化物膜を堆積させるald方法

Country Status (4)

Country Link
US (1) US9206507B2 (ja)
JP (1) JP6097754B2 (ja)
KR (1) KR20140085461A (ja)
WO (1) WO2013046157A1 (ja)

Families Citing this family (229)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI577824B (zh) 2013-06-06 2017-04-11 應用材料股份有限公司 使用二氮丁二烯基前驅物沉積含錳膜之方法
JP5770806B2 (ja) * 2013-10-02 2015-08-26 田中貴金属工業株式会社 化学蒸着法によるSi基板上へのニッケル薄膜、及び、Si基板上へのNiシリサイド薄膜の製造方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR101936162B1 (ko) * 2014-06-13 2019-01-08 주식회사 유피케미칼 액체 전구체 조성물, 이의 제조 방법, 및 상기 조성물을 이용한 막의 형성 방법
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR20160062675A (ko) 2014-11-25 2016-06-02 (주)마이크로켐 신규 니켈-비스베타케토이미네이트 전구체 및 이를 이용한 니켈 함유 필름 증착방법
JP6465699B2 (ja) * 2015-03-06 2019-02-06 株式会社Adeka ジアザジエニル化合物、薄膜形成用原料、薄膜の製造方法及びジアザジエン化合物
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6675159B2 (ja) * 2015-06-17 2020-04-01 株式会社Adeka 新規な化合物、薄膜形成用原料及び薄膜の製造方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10797238B2 (en) 2016-01-26 2020-10-06 Arm Ltd. Fabricating correlated electron material (CEM) devices
US20170237001A1 (en) * 2016-02-17 2017-08-17 Arm Ltd. Fabrication of correlated electron material devices comprising nitrogen
US20170213960A1 (en) * 2016-01-26 2017-07-27 Arm Ltd. Fabrication and operation of correlated electron material devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
TWI736631B (zh) 2016-06-06 2021-08-21 韋恩州立大學 二氮雜二烯錯合物與胺類的反應
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) * 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR20180034798A (ko) 2016-09-28 2018-04-05 삼성전자주식회사 유전막 형성 방법 및 반도체 장치의 제조 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
TWI758363B (zh) * 2016-12-06 2022-03-21 美商應用材料股份有限公司 用於ald及cvd薄膜沉積之釕前驅物及其用法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11396698B2 (en) * 2017-01-07 2022-07-26 Applied Materials, Inc. ALD process for NiO film with tunable carbon content
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) * 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
WO2018187781A2 (en) * 2017-04-07 2018-10-11 Applied Materials, Inc. Metal precursors with modified diazabutadiene ligands for cvd and ald applications and methods of use
US10106893B1 (en) 2017-04-07 2018-10-23 Applied Materials, Inc. Iridium precursors for ALD and CVD thin film deposition and uses thereof
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR101962355B1 (ko) * 2017-09-26 2019-03-26 주식회사 한솔케미칼 열적 안정성 및 반응성이 우수한 기상 증착 전구체 및 이의 제조방법
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
SG11202008268RA (en) 2018-03-19 2020-10-29 Applied Materials Inc Methods for depositing coatings on aerospace components
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TW202010746A (zh) * 2018-06-30 2020-03-16 美商應用材料股份有限公司 含錫之前驅物及沉積含錫薄膜之方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11732353B2 (en) 2019-04-26 2023-08-22 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
EP4056731A4 (en) * 2019-11-06 2023-08-30 Creative Coatings Co., Ltd. FILM FORMING METHOD AND FILM FORMING DEVICE
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN112647059B (zh) * 2020-12-14 2021-10-22 江南大学 一种利用原子层沉积技术快速生长NixC薄膜的方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4419386A (en) 1981-09-14 1983-12-06 Gordon Roy G Non-iridescent glass structures
US4377613A (en) 1981-09-14 1983-03-22 Gordon Roy G Non-iridescent glass structures
NL8301652A (nl) 1983-05-10 1984-12-03 Philips Nv Werkwijze voor het aanbrengen van magnesiumfluoridelagen en antireflectieve lagen verkregen met deze werkwijze.
US4718929A (en) 1983-10-21 1988-01-12 Corning Glass Works Vapor phase method for making metal halide glasses
US5051278A (en) 1989-07-10 1991-09-24 Eastman Kodak Company Method of forming metal fluoride films by the decomposition of metallo-organic compounds in the presence of a fluorinating agent
US5165960A (en) 1991-07-29 1992-11-24 Ford Motor Company Deposition of magnesium fluoride films
US5271956A (en) 1991-12-30 1993-12-21 Eastman Kodak Company Method of forming ternary metal fluoride films by the decomposition of metallo-organic compounds in the presence of a fluorinating agent
DE4234998C2 (de) 1992-10-16 2000-11-16 Michael Denk Cyclische Amide des Siliciums und des Germaniums
DE4316883C2 (de) 1993-05-19 1996-01-25 Michael Dr Denk Silylen, Verfahren zur Herstellung von Silylen oder Carben sowie die Verwendung des Silylens
US5656338A (en) 1994-12-13 1997-08-12 Gordon; Roy G. Liquid solution of TiBr4 in Br2 used as a precursor for the chemical vapor deposition of titanium or titanium nitride
US6037003A (en) 1996-10-16 2000-03-14 President And Fellows Of Harvard College Chemical vapor deposition of aluminum oxide
JP2002527528A (ja) 1998-10-21 2002-08-27 プレジデント・アンド・フェロウズ・オブ・ハーバード・カレッジ アルカリ土類金属を含有する材料を形成するための液体化合物
KR20010080412A (ko) 1998-11-12 2001-08-22 조이스 브린톤 향상된 스텝 커버리지를 갖는 확산 장벽 물질
US6303718B1 (en) 1999-09-17 2001-10-16 Bayer Aktiengesellschaft Composition based on fluorine-containing metal complexes
WO2001066816A1 (en) 2000-03-03 2001-09-13 President And Fellows Of Harvard College Liquid sources for cvd of group 6 metals and metal compounds
KR100815009B1 (ko) 2000-09-28 2008-03-18 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 산화물, 규산염 및 인산염의 증기를 이용한 석출
KR100727372B1 (ko) 2001-09-12 2007-06-12 토소가부시키가이샤 루테늄착체, 그 제조방법 및 박막의 제조방법
KR100996816B1 (ko) 2002-03-28 2010-11-25 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 이산화규소 나노라미네이트의 증기증착
EP1543177A1 (en) 2002-07-12 2005-06-22 President And Fellows Of Harvard College Vapor deposition of tungsten nitride
US6960675B2 (en) 2003-10-14 2005-11-01 Advanced Technology Materials, Inc. Tantalum amide complexes for depositing tantalum-containing films, and method of making same
WO2005097484A1 (ja) 2004-03-31 2005-10-20 Konica Minolta Holdings, Inc. 透明導電性フィルム、透明導電性フィルムの製造方法及び有機エレクトロルミネッセンス素子
DE102004027842A1 (de) 2004-06-08 2006-01-12 Institut für Neue Materialien Gemeinnützige GmbH Abrieb- und kratzfeste Beschichtungen mit niedriger Brechzahl auf einem Substrat
JP2006124743A (ja) * 2004-10-27 2006-05-18 Mitsubishi Materials Corp 有機金属化学蒸着用有機ニッケル化合物及び該化合物を用いたニッケル含有膜の製造方法
KR100618879B1 (ko) 2004-12-27 2006-09-01 삼성전자주식회사 게르마늄 전구체, 이를 이용하여 형성된 gst 박막,상기 박막의 제조 방법 및 상변화 메모리 소자
WO2006107121A1 (en) * 2005-04-07 2006-10-12 Korea Research Institue Of Chemical Technology Volatile nickel aminoalkoxide complex and deposition of nickel thin film using same
DE102005033579A1 (de) 2005-07-19 2007-01-25 H.C. Starck Gmbh Verfahren zur Herstellung dünner Hafnium- oder Zirkonnitrid-Schichten
US7713876B2 (en) 2005-09-28 2010-05-11 Tokyo Electron Limited Method for integrating a ruthenium layer with bulk copper in copper metallization
JP4980679B2 (ja) 2005-11-11 2012-07-18 東ソー株式会社 チタン錯体、それらの製造方法、チタン含有薄膜及びそれらの形成方法
DE102006000823A1 (de) 2006-01-05 2007-07-12 H. C. Starck Gmbh & Co. Kg Wolfram- und Molybdän-Verbindungen und ihre Verwendung für die Chemical Vapour Deposition (CVD)
KR100695168B1 (ko) 2006-01-10 2007-03-14 삼성전자주식회사 상변화 물질 박막의 형성방법, 이를 이용한 상변화 메모리소자의 제조방법
US20080032064A1 (en) 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
JP5248508B2 (ja) 2006-09-22 2013-07-31 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ルテニウム含有膜の堆積方法
EP2511280A1 (en) 2006-11-02 2012-10-17 Advanced Technology Materials, Inc. Germanium amidinate complexes useful for CVD/ALD of metal thin films
KR101275799B1 (ko) 2006-11-21 2013-06-18 삼성전자주식회사 저온 증착이 가능한 게르마늄 전구체를 이용한 상변화층형성방법 및 이 방법을 이용한 상변화 메모리 소자의 제조방법
US20080141937A1 (en) 2006-12-19 2008-06-19 Tokyo Electron Limited Method and system for controlling a vapor delivery system
TWI379438B (en) 2007-03-02 2012-12-11 Miin Jang Chen Zinc-oxide-based semiconductor light-emitting device and method of fabricating the same
KR100902595B1 (ko) 2007-09-19 2009-06-11 주식회사 동부하이텍 씨모스 이미지 센서 및 그 제조 방법
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
US20090274930A1 (en) 2008-04-30 2009-11-05 Guardian Industries Corp. Alkaline earth fluoride coatings deposited via combustion deposition
US8636845B2 (en) 2008-06-25 2014-01-28 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal heterocyclic compounds for deposition of thin films
EP2478571A4 (en) 2009-09-18 2014-03-19 Air Liquide SOLAR CELL WITH ENHANCED PERFORMANCE
WO2012027357A2 (en) 2010-08-24 2012-03-01 Wayne State University Thermally stable volatile precursors
JP5779823B2 (ja) * 2010-11-17 2015-09-16 ユーピー ケミカル カンパニー リミテッド ジアザジエン系金属化合物、これの製造方法及びこれを利用した薄膜形成方法
US8241596B2 (en) * 2010-12-31 2012-08-14 Mks Instruments, Inc. High-efficiency, hot trap apparatus and method
US20140235054A1 (en) 2011-09-27 2014-08-21 L'Air Liquide, Société Änonyme pour I'Etude et I'Exploitation des Procédés Georges Glaude Tungsten diazabutadiene precursors, their synthesis, and their use for tungsten containing film depositions
US9236292B2 (en) * 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)

Also Published As

Publication number Publication date
JP2014534333A (ja) 2014-12-18
US20140242298A1 (en) 2014-08-28
US9206507B2 (en) 2015-12-08
KR20140085461A (ko) 2014-07-07
WO2013046157A1 (en) 2013-04-04

Similar Documents

Publication Publication Date Title
JP6097754B2 (ja) ニッケル含有膜を堆積させる方法、及びニッケルケイ化物膜を堆積させるald方法
JP2014534952A (ja) タングステンジアザブタジエン分子、その合成及びタングステン含有膜の堆積へのその使用
JP5746034B2 (ja) 薄膜堆積のためのニオブおよびバナジウムの有機金属前駆体
JP6193260B2 (ja) ニッケル含有膜堆積用ニッケルアリルアミジナート前駆体
US9416443B2 (en) Method for the deposition of a ruthenium containing film using arene diazadiene ruthenium(0) precursors
JP2013503849A (ja) ゲルマニウム含有フィルムの堆積のための二ハロゲン化ゲルマニウム(ii)先駆物質
US10023462B2 (en) Niobium-Nitride film forming compositions and vapor deposition of Niobium-Nitride films
US9121093B2 (en) Bis-ketoiminate copper precursors for deposition of copper-containing films and methods thereof
JP2006241137A (ja) 揮発性金属β−ケトイミナート錯体
JP6317370B2 (ja) コバルト含有化合物、その合成及びコバルト含有膜の堆積におけるその使用
JP2014527517A (ja) ヘテロレプティックピロールカルバルドイミン前駆体
WO2013015947A2 (en) Heteroleptic (allyl)(pyrroles-2-aldiminate) metal-containing precursors, their synthesis and vapor deposition thereof to deposit metal-containing films
US9719167B2 (en) Cobalt-containing film forming compositions, their synthesis, and use in film deposition
US9518075B2 (en) Group 5 cyclopentadienyl transition metal-containing precursors for deposition of group 5 transition metal-containing films
JP2016513085A (ja) コバルト含有化合物、その合成及びコバルト含有膜の堆積におけるその使用
US20210032275A1 (en) Cyclic germanium silylamido precursors for ge-containing film depositions and methods of using the same
US11286564B2 (en) Tin-containing precursors and methods of depositing tin-containing films
US9738971B2 (en) Vapor deposition methods to form group 8-containing films
KR101428443B1 (ko) 헤테로렙틱 피롤카르브알디민 전구체
KR20160062675A (ko) 신규 니켈-비스베타케토이미네이트 전구체 및 이를 이용한 니켈 함유 필름 증착방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150917

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160722

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160831

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161013

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20161130

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170118

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170201

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170220

R150 Certificate of patent or registration of utility model

Ref document number: 6097754

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250