JP5502095B2 - 高歩留まりナノインプリント・リソグラフィ・テンプレートの製造 - Google Patents

高歩留まりナノインプリント・リソグラフィ・テンプレートの製造 Download PDF

Info

Publication number
JP5502095B2
JP5502095B2 JP2011533182A JP2011533182A JP5502095B2 JP 5502095 B2 JP5502095 B2 JP 5502095B2 JP 2011533182 A JP2011533182 A JP 2011533182A JP 2011533182 A JP2011533182 A JP 2011533182A JP 5502095 B2 JP5502095 B2 JP 5502095B2
Authority
JP
Japan
Prior art keywords
layer
porous
template
imprint lithography
lithography template
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011533182A
Other languages
English (en)
Other versions
JP2012507140A (ja
Inventor
フレッチャー,エドワード・ビイ
シュ,フランク・ワイ
リウ,ウェイジュン
ワン,フェン
メネゼス,マーロン
セリニディス,コスタ
Original Assignee
モレキュラー・インプリンツ・インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by モレキュラー・インプリンツ・インコーポレーテッド filed Critical モレキュラー・インプリンツ・インコーポレーテッド
Publication of JP2012507140A publication Critical patent/JP2012507140A/ja
Application granted granted Critical
Publication of JP5502095B2 publication Critical patent/JP5502095B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0017Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor for the production of embossing, cutting or similar devices; for the production of casting means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249978Voids specified as micro
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/268Monolayer with structurally defined element

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

本発明は、高歩留まりナノインプリント・リソグラフィ・テンプレートおよびその製造に関する。
ナノ加工は、約100ナノメートル以下のフィーチャを有するきわめて小さな構造の加工を含む。ナノ加工がかなり大きい効果を有する1つの用途は、集積回路の処理である。半導体処理産業は、基板上に形成される単位面積当たりの回路を増やしながら高い生産歩留まりを目指す努力をし続けており、したがって、ナノ加工はますます重要になってきている。ナノ加工は、形成される構造物の最小フィーチャ寸法を縮小し続けながらより優れたプロセス制御を提供する。ナノ加工が利用されてきた他の開発分野には、バイオテクノロジー、光学技術、機械システムなどがある。
1つの態様において、インプリント・リソグラフィ・テンプレートは、少なくとも約0.4nmの平均孔径を有する多数の孔を画定する多孔質材料を含む。多孔質材料は、ケイ素と酸素を含む。多孔質材料の屈折率は、約1.4〜約1.5であり、溶融シリカ(その密度:ρfused silica)を基準にした多孔質材料(その密度:ρporous)の相対密度(ρporous/ρfused silica)に対するヤング率(E,GPa)の比率は、少なくとも約10:1である。
実施態様は、次の特徴のうちの1つ以上を含んでもよい。例えば、多孔質材料のヤング率は、少なくとも約2GPa、少なくとも約5GPa、少なくとも約10GPa、または少なくとも約20GPaでよい。溶融シリカを基準にした多孔質材料の相対密度は、少なくとも約50%または少なくとも約65%でよい。多孔質材料は、SiOx を含み、1≦x≦2.5でよい。孔は、実質的に閉じていてもよく相互接続されてもよい。相互接続された孔は、多孔質材料内にチャネルを形成してもよい。
場合によって、テンプレートは、さらに、基層とキャップ層を有し、多孔質材料は、基層とキャップ層の間に層を形成する。キャップ層は、多孔質でよい。キャップ層は、突出部がキャップ層の表面から突出するようにエッチングまたはパターニングされてもよい。基層は、溶融シリカを含んでもよい。多孔質材料内の応力は、圧縮力を無効にしてもよい。多孔質材料(即ち、多孔質層)の多孔率は、不均一または非対称でもよい。多孔質材料は、不均一な多孔率勾配を有してもよい。不均一な多孔質層は、多孔質層の形成中に1つまたは複数のパラメータを変更することによって達成されてもよい。変更されるパラメータは、蒸着プロセス・パラメータでもよい。蒸着プロセスは、原子層蒸着を含んでもよい。場合によって、インプリント・リソグラフィ・テンプレートは、基層と多孔質層の間に1つまたは複数の層(例えば、付着層)を含んでもよい。
多孔質層(例えば、基層とキャップ層の間)の多孔率は、約0.1%〜約60%(例えば、約1%〜約20%、または約5%〜約15%)の範囲でもよい。場合によって、多孔質層の多孔率は、少なくとも約10%、または少なくとも約20%でよい。キャップ層の多孔率は、約0.1%〜約20%(例えば、約1%〜約20%、または約3%〜約15%)の範囲でよい。
テンプレートは、さらに、キャップ層に付着された封止層を含んでもよい。封止層は、封止層と接するヘリウム・ガスを透過し、ヘリウムより大きい化学種を実質的に透過しない。封止層は、酸化ケイ素を含んでもよい。封止層は、多孔質層とキャップ層の間に配置されてもよい。封止層は、共形かつ/または厚さが同じでよい。封止層の厚さは、約10nm未満、約5nm未満、約3nm未満、または孔半径の約2倍でよい。場合によっては、封止層は、離型剤と相互作用するように選択されてもよい。
別の態様では、インプリント・リソグラフィ・テンプレートを形成する段階は、インプリント・リソグラフィ・テンプレートの表面に多孔質材料層を形成する段階を含む。多孔質層は、少なくとも約0.4nmの平均孔径を有する多数の孔を画定する。多孔質材料は、酸素とケイ素を含む。多孔質材料の屈折率は、約1.4〜約1.5であり、溶融シリカを基準にした多孔質材料の相対密度(ρporous/ρfused silica)に対するヤング率(E,GPa)の比率は、少なくとも約10:1である。
いくつかの実施態様では、多孔質層上に第2層が形成されてもよい。場合によって、多孔質層は、パターン層を形成するためにエッチングされてもよい。多孔質層を形成する段階は、多孔質層をエッチングする段階を含んでもよい。多孔質層を形成する段階は、プラズマ強化化学蒸着などの蒸着工程を含んでもよい。多孔質層の多孔率は、実質的に均一でもよく不均一でもよい。例えば、エッチングされる層の一部分が、その層の他の部分より多孔率が低くなるように、多孔率は非対称性でもよく、多孔率勾配が不均一でもよい。
インプリント・リソグラフィ・テンプレートの表面と多孔質層の間にエッチング停止層が形成されてもよい。多孔質層の表面に封止層が形成されてもよい。封止層の表面にキャップ層が形成されてもよい。あるいは、多孔質層上にキャップ層が形成され、キャップ層上に封止層が形成されてもよい。場合によって、多孔質層は、エッチングされてパターン層が形成される。インプリント・リソグラフィ・テンプレートの表面と多孔質層の間にマーカー領域が形成されてもよい。マーカー領域は、基層上の薄膜光学計測マーカーとして働いてもよい。場合によって、多孔質層に膜厚計測用の凹部を作成するために、多孔質層の形成中に基層の一領域がマスクされてもよい。場合によっては、多孔質層(例えば、中間多孔質層または多孔質キャップ層)が、例えば化学機械的平坦化プロセスを使用して研磨されてもよい。場合によっては、多孔質層または基層にメサがエッチングされてもよい。
別の態様では、インプリント・リソグラフィ・テンプレート上に層を形成する段階は、真空チャンバ内に多数の孔を画定するインプリント・リソグラフィ・テンプレートを位置決めする段階と、チャンバを1回目に排気する段階と、チャンバを第1の不活性ガスでパージする段階と、チャンバを2回目に排気する段階とを含む。次に、チャンバは、第2の不活性ガスで飽和されてもよい。ケイ素含有ガスと1つまたは複数の他のガスがチャンバに導入されてもよく、インプリント・リソグラフィ・テンプレートの表面にケイ素含有層を残すようにプラズマ工程が行われてもよい。この工程は、ケイ素含有層が多孔質層上に付着される前に、インプリント・リソグラフィ・テンプレートの多孔質層の孔を不活性ガスで実質的に満たす。多孔質層の孔が不活性ガスで満たされた状態で、ケイ素含有層を形成するために使用される反応物が、多孔質層中に拡散し孔を塞ぎ、多孔質層の化学的および物理的性質を変化させないようにする。したがって、多孔質層は、実質的に均一のままであり、ケイ素含有層の近くでより稠密にならない。
1つの態様では、インプリント・リソグラフィ・テンプレートは、第1層と第2層を含む。第2層は、インプリント・リソグラフィ・テンプレートのパターン層である。第1層と第2層の間に2つ以上の中間層が位置決めされる。中間層の少なくとも1つは多孔質層であり、中間層の少なくとも1つは、多孔質中間層に働く力を小さくするように構成された応力除去層である。別の態様では、インプリント・リソグラフィ・テンプレートは、第1層、第2層、および第1層と第2層の間に位置決めされた中間層を含む。第2層は、インプリント・リソグラフィ・テンプレートのパターン層であり、中間層は、パターニングされた第2層に働く力を軽減するように構成される。別の態様では、インプリント・リソグラフィ・テンプレートは、第1層と、第1層上に1つまたは複数の層を含む。1つまたは複数の層の少なくとも1つは、多孔質である。第1層上の層によって生成された力に対抗するために、テンプレートの裏側に応力除去層が位置決めされてもよい。
いくつかの実施態様では、第1層は基層であり、第2層は最上層である。最上層は、キャップ層でもよい。応力除去層は、圧縮力を提供し、この圧縮力は、多孔質中間層に働く引張力を軽減する。他の実施態様では、応力除去層は、引張力を提供し、引張力は、多孔質中間層に働く圧縮力を軽減する。場合によっては、分離する際のテンプレートの湾曲など、静的状態と動的状態で多孔質中間層に圧縮応力に対する中立の状態が維持される。
多孔質中間層が、2つの応力除去層の間に位置決めされてもよく、応力除去層が、2つの多孔質中間層の間に位置決めされてもよく、またはこれらの任意の組み合わせでよい。応力除去層は、金属、金属酸化物、金属窒化物または金属炭化物を含んでもよい。場合によって、応力除去層は、多孔質(即ち、溶融シリカより多孔率が高いかまたは稠密でない)である。
一態様では、インプリント・リソグラフィ・テンプレートは、第1層、第2層、およびインプリント・リソグラフィ・テンプレートの第1層と第2層の間に位置決めされた中間層を含む。中間層は、中間層と第2層の物理的特性の違いに基づいて第2層の厚さの評価を可能にするように構成される。
いくつかの実施態様では、第1層は、基層であり、第2層は、最上層またはキャップ層である。中間層は、エッチング停止層でもよい。中間層は、金属、金属酸化物、金属炭化物または金属窒化物を含んでもよい。中間層は、最上層に応力除去を提供してもよい。物理的特性は、透過率または反射率などの光学特性でよい。場合によって、中間層は非連続的である。即ち、中間層は、1つまたは複数の個別の領域(例えば、マーカー領域)を含んでもよい。中間層の厚さは、約30nm未満、約20nm未満、約10nm未満、約5nm未満、約3nm未満でよい。したがって、中間層は、不連続の場合でも、第2層に著しい乱れを導入しないことがある。場合によって、第2層は、実質的に滑らかな表面を形成するように研磨される。マーカー領域が使用されるとき、その領域は、インプリント・リソグラフィ・テンプレートのメサまたはパターン部分が占有する領域の外側にあってもよい。
本明細書に述べる態様および実施態様は、前述の以外の仕方で組み合わされてもよい。以下の詳細な説明、図面および特許請求の範囲から、他の態様、特徴および利点が明らかになるであろう。
リソグラフィ・システムの単純化された側面図である。 パターン層が上に位置決めされた図1に示された基板の単純化された側面図である。 基板とテンプレートの間に閉じ込められたガス・ポケットの側面図である。 多孔質層を有するテンプレートの側面図である。 非対称的多孔質層を有するテンプレートの図である。 単体多孔質テンプレートの図である。 基層のない多孔質テンプレートの図である。 封止キャップ層を有する多孔質テンプレートの図である。 封止多孔質層を有する多孔質テンプレートの図である。 多孔質層の孔の詰まりが少ない状態で多孔質層上にキャップ層を形成する方法のフローチャートである。 多孔質層の詰まりが少ない状態の多孔質層上のキャップ層の形成を示す図である。 多孔質層と関連した引張応力を有するテンプレートの側面図である。 多孔質層と除去層を有するテンプレートの側面図である。 多孔質層と複数の除去層とを有するテンプレートの側面図である。 多孔質層と複数の除去層とを有するテンプレートの側面図である。 複数の多孔質層と複数の除去層を有するテンプレートの側面図である。 モールドの反対側に応力除去層が追加されたナノインプリント・リソグラフィ・テンプレート上の応力の減少を示す図である。 モールドの反対側に応力除去層が追加されたナノインプリント・リソグラフィ・テンプレート上の応力の減少を示す図である。 エッチング停止層を有するナノインプリント・リソグラフィ・テンプレートを示す図である。 計測マーカー用としてマーカー領域を有するナノインプリント・リソグラフィ・テンプレートの図である。 計測マーカー用としてマーカー領域を有するナノインプリント・リソグラフィ・テンプレートの図である。 基板と多孔質中間層を有するテンプレートとの間のインプリント・レジストの広がりを示す写真である。 基板と多孔質中間層を有するテンプレートとの間のインプリント・レジストの広がりを示す写真である。 基板と多孔質層のないテンプレートとの間のインプリント・レジストの広がりを示す写真である。 基板と多孔質層のないテンプレートとの間のインプリント・レジストの広がりを示す写真である。 基板と多孔質層のないテンプレートとの間のインプリント・レジストの広がりを示す写真である。 多孔質テンプレート内へインプリント・レジストの素早いウィッキングを示す写真である。 多孔質テンプレート内へインプリント・レジストの素早いウィッキングを示す写真である。 多孔質層とキャップ層を有するテンプレート内へインプリント・レジストの遅いウィッキングを示す写真である。 多孔質層とキャップ層を有するテンプレート内へインプリント・レジストの遅いウィッキングを示す写真である。 テンプレートと接して小滴が広がるときの小滴間の隙間の充填を示す写真である。 テンプレートと接して小滴が広がるときの小滴間の隙間の充填を示す写真である。 テンプレートと接して小滴が広がるときの小滴間の隙間の充填を示す写真である。 テンプレートと接して小滴が広がるときの小滴間の隙間の充填を示す写真である。
今日使用されている例示的なナノ加工技術は、一般に、インプリント・リソグラフィと呼ばれる。例示的なインプリント・リソグラフィ・プロセスは、米国特許出願公開第2004/0065976号、米国特許出願公開第2004/0065252号、および米国特許第6,936,194号などの多数の公開文献に詳細に記載されており、これらはすべて参照により本明細書に組み込まれる。
前述の米国特許出願と特許のそれぞれに開示されたインプリント・リソグラフィ技術は、成形可能(重合性)層にレリーフ・パターンを形成することと、そのレリーフ・パターンに対応するパターンを下の基板に転写することを含む。パターニング工程を容易にする望ましい位置決めを得るために、基板は運動ステージに結合されてもよい。パターニング工程は、基板から離間されたテンプレートと、テンプレートと基板の間で塗布された成形可能液体とを使用する。成形可能液体は、成形可能液体と接触するテンプレートの表面の形状に合致するパターンを有する硬質層を形成するように凝固される。凝固後、テンプレートは硬質層から分離され、その結果テンプレートと基板が離間される。次に、基板と凝固層は、凝固層のパターンに対応するレリーフ像を基板に転写する追加の工程にかけられる。
図1を参照すると、基板12上にレリーフ・パターンを形成するために使用されるリソグラフィ・システム10が示される。インプリント・リソグラフィ・スタックは、基板12と、基板に付着された1つまたは複数の層(例えば、付着層)を含んでもよい。基板12は、基板チャック14に結合されてもよい。図示されたように、基板チャック14は、真空チャックである。しかしながら、基板チャック14は、真空式、ピン型、溝型、電磁気式など、またはこれらの組み合わせを含むがこれらに限定されない任意のチャックでよい。例示的なチャックは、米国特許第6,873,087号に記載されており、この特許は、参照により本明細書に組み込まれる。
基板12と基板チャック14は、さらに、ステージ16によって支持されてもよい。ステージ16は、x軸、y軸及びz軸に関する動きを提供してもよい。ステージ16、基板12および基板チャック14は、台(図示せず)上で位置決めされてもよい。
テンプレート18は、基板12から離間されている。テンプレート18は、基板12の方に突出するメサ20を有してもよく、メサ20はパターニング面22を有する。さらに、メサ20は、モールド20と呼ばれることがある。テンプレート18および/またはモールド20は、溶融シリカ、石英、シリコン、有機重合体、シロキサン重合体、ホウケイ酸ガラス、フルオロカーボン重合体、金属、硬化サファイアなど、またはこれらの組み合わせを含むがこれらに限定されない材料から形成されてもよい。図示されたように、パターニング面22は、複数の離間した凹部24および/または突出部26によって画定されたフィーチャを有するが、本発明の実施形態は、そのような構成に限定されない。パターニング面22は、基板12上に形成されるパターンの基礎を形成する任意の元本パターンを画定してもよい。
テンプレート18は、チャック28に結合されてもよい。チャック28は、真空式、ピン型、溝型、電磁気式および/または他の類似のチャック型として構成されてもよいが、これらに限定されない。例示的なチャックは、さらに、米国特許第6,873,087号に記載されており、この特許は、参照により本明細書に組み込まれる。さらに、チャック28は、インプリント・ヘッド30に結合され、その結果、チャック28および/またはインプリント・ヘッド30が、テンプレート18を移動させるように構成されてもよい。
システム10は、さらに、流体分注システム32を有してもよい。流体分注システム32は、基板12上に重合性材料34を付着させるために使用されてもよい。重合性材料34は、液滴分注、回転塗布、浸せき塗布、化学蒸着(CVD)、物理蒸着(PVD)、薄膜蒸着、厚膜蒸着など、またはこれらの組み合わせの技術を使用して基板12上に位置決めされてもよい。重合性材料34は、設計検討事項により、モールド20と基板の12の間に所望の体積が画定される前および/または後に、基板12上に配置されてもよい。重合性材料34は、米国特許第7,157,036号と米国特許公開第2005/0187339号に記載されたような成分を含んでもよく、これらの文献は両方とも、参照により本出願に組み込まれる。
図1と図2を参照すると、システム10は、さらに、経路42に沿ってエネルギー40を導くように結合されたエネルギー源38を含んでもよい。インプリント・ヘッド30とステージ16は、テンプレート18と基板12を経路42に重ね合わせて位置決めするように構成されてもよい。システム10は、ステージ16、インプリント・ヘッド30、流体分注システム32、エネルギー源38、またはこれらの組み合わせと通信するプロセッサ54によって調整されてもよく、またメモリ56に記憶されたコンピュータ可読プログラムで動作してもよい。
インプリント・ヘッド30、ステージ16またはこれらの両方は、モールド20と基板の12の間の距離を変化させて、これらの間に重合性材料34が実質的に満たされる所望の体積を画定する。例えば、インプリント・ヘッド30は、モールド20が重合性材料34と接触するようにテンプレート18に力を加えてもよい。所望の体積が重合性材料34で実質的に満たされた後、エネルギー源38は、エネルギー40(例えば、広帯域紫外線放射)を生成して、基板12の表面44とモールド20のパターニング面22の形状に合致するように重合性材料34を凝固させかつ/または架橋して、基板12上にパターン層46を画定する。パターン層46は、残余層48と、突出部50と凹部52として示された複数のフィーチャを有してもよく、突出部50は厚さt1 を有し、残余層48は厚さt2を有する。
前述のシステムと方法は、さらに、米国特許第6,932,934号、米国特許公開第2004/0124566号、米国特許公開第2004/0188381号および米国特許公開第2004/0211754号で言及されているインプリント・リソグラフィ方法およびシステムで実施されてもよく、これらの文献はすべて、参照により本明細書に組み込まれる。
重合性材料が液滴分注法またはスピンコーティング法によって基板に塗布されるナノインプリント工程では、テンプレートが重合性材料と接触した後で、テンプレートの凹部にガスが閉じ込められることがある。重合性材料が液滴分注法によって基板に塗布されるナノインプリント工程では、また、基板上(例えば、インプリント用スタック上)に分注された重合性材料またはインプリント・レジストの液滴間にガスが閉じ込められることがある。即ち、液滴が広がるとときに液滴間の隙間領域にガスが閉じ込められることがある。
ガスの漏れと溶解速度は、重合性材料が基板上に連続層を形成することができる速度またはテンプレートが重合性材料と接触した後で重合性材料がテンプレート・フィーチャを満たすことができる速度を制限することがあり、それによりナノインプリント工程の歩留まりが制限される。例えば、基板またはテンプレートが、基板とテンプレート間に閉じ込められたガスを実質的に透過しないことがある。場合によっては、基板またはテンプレートに付着された重合体層が、ガスで飽和され、その結果、インプリント用スタックとテンプレート間のガスが、飽和重合体層に実質的に入ることができず、テンプレートと基板の間に閉じ込められたままになることがある。テンプレートと基板間に閉じ込められたままのガスは、パターン層内の欠損を満たすことがある。
図3は、基板12とテンプレート18の間のパターン層46内のガス(または、ガス・ポケット)60を示す。ガス60は、空気、窒素、二酸化炭素、ヘリウムなどを含んでよいが、これらに限定されない。基板12とテンプレート18の間のガス60は、パターン層46に形成されたフィーチャのパターン歪み、パターン層46に形成されたフィーチャの忠実性低下、パターン層46全体にわたる残余層48の厚さの不均一さなどの原因になることがある。
インプリント・リソグラフィ工程では、基板とテンプレート間に閉じ込められたガスは、重合性材料、基板またはテンプレートを通って漏れることがある。任意の媒体を通って漏れるガスの量は、閉じ込められたガスと媒体との接触面積によって影響を受けることがある。閉じ込められたガスと重合性材料との接触面積は、閉じ込められたガスと基板またはテンプレートとの間の接触面積より小さいことがある。例えば、基板上の重合性材料の厚さは、約1μmより小さいか、または約100nmより小さいことがある。場合によっては、重合性材料は、インプリント処理前にガスで飽和されるのに十分なガスを吸収することがあり、その結果、閉じ込められたガスが、重合性材料に実質的に入ることができなくなる。これと対照的に、閉じ込められたガスと基板またはテンプレートとの接触面積が、比較的大きいことがある。
媒体のガス透過率は、P=DxSと表わすことができ、ここで、Pは透過率、Dは拡散係数、Sは溶解度である。ガス輸送プロセスでは、ガスは、媒体の表面に吸着し、媒体内に濃度勾配ができる。この濃度勾配は、媒体中のガスの拡散の駆動力として働くことがある。ガスの溶解度と拡散係数は、例えば、媒体の集積密度によって変化することがある。媒体の集積密度を調整すると、拡散係数が変化し、それにより媒体の透過率が変化することがある。
多層膜に関して、有効透過率は、F. PengらのJ. Membrane Sci. 222 (2003) 225-234と、A. Ranjit PrakashらのSensors and Actuators B 113 (2006) 398-409とに記載された電気回路類似物などの抵抗モデルから計算されてもよく、これらの文献は、参照により本明細書に組み込まれる。材料の蒸気の透過し難さは、透過抵抗(permeance resistance)RP として定義される。層厚l1とl2および対応する透過率P1とP2を有する2層複合膜の場合、透過抵抗は、次のように定義されることがある。
Figure 0005502095
ここで、Δρ は膜両側の圧力差、Jはフラックス、Aは面積である。抵抗モデルは、次のようになる。
p=R1+R2 (2)
材料1と2両方の断面積が同じとき、式(2)は、次のように書き直されることがある。
Figure 0005502095
気体は、関連した分子径(kinetic diameter)を有すると考えられる。分子径は、気体輸送特性に関する気体原子または分子の大きさの概念を提供する。参照により本明細書に組み込まれるD.W.Breck「Zeolite Molecular Sieves - Structure, Chemistry, and Use」John Wiley & Sons, New York, 1974, p.636は、ヘリウム(0.256nm)、アルゴン(0.341nm)、酸素(0.346nm)、窒素(0.364nm)、およびその他の一般的な気体の分子径を列挙している。
いくつかのインプリント・リソグラフィ工程では、テンプレートと、基板またはインプリントとの間の空気を、ヘリウム・ガスと実質的に置き換えるためにヘリウム・パージが使用される。インプリント・リソグラフィ工程でのヘリウム環境と空気環境との比較を単純にするために、空気中の酸素とシリカとの極性相互作用は、空気を純粋アルゴンとしてモデル化することによって無視されてもよい。ヘリウムとアルゴンは両方とも不活性ガスであり、またアルゴンは酸素と類似の分子径を有する。しかしながら、ヘリウムとアルゴンは、酸素と違って、(例えば、テンプレートまたは基板中の)溶融シリカまたは石英と化学的に相互作用しない。
内部空洞(溶解性部位(solubility sites))と、溶解性部位を接続する構造的チャネルによって、ガスは媒体を透過することができる。ガスは、溶解性部位内に保持されてもよい。内部空洞のサイズと、ガスの大きさ(または、分子径)に対するチャネル径が、ガスが媒体中を透過する速度に影響を及ぼす。
溶融シリカの個々の隙間の溶解性部位のサイズは、J.F.Shackelford「Gas solubility in glasses - principles and structural implications」J. Non-Cryst. Solids 253(1999): 231-241Jによって対数正規分布に従うことが示されており、この文献は、参照によって本明細書に組み込まれる。隙間直径分布(モード=0.181nm;平均=0.196nm)と、ヘリウムとアルゴンの分子径とによって示されたように、ヘリウムに有効な溶融シリカ溶解性部位の数は、アルゴンに有効な溶解性部位の数を超える。隙間部位(interstitial site)の総数は、1m3当たり2.2×1028であると推定され、ヘリウム溶解性部位は1m3当たり2.3×1027個、アルゴン溶解性部位は1m3当たり1.1×1026個である。ヘリウムの溶解性部位間の平均距離は0.94nmであると考えられ、アルゴンの溶解性部位間の平均距離は2.6nmであると考えられる。これらの溶解性部位を接続する構造チャネルは、約0.3nmの直径を有する6員Si−Oリングの螺旋構成と同様であると考えられる。表1は、溶融シリカにおけるヘリウムとアルゴンの透過率に影響を及ぼすいくつかのパラメータの一覧である。
Figure 0005502095
参照により本明細書に組み込まれるBoikoら「Migration Paths of Helium in σ-Quartz and Vitreous Silica from Molecular Dynamics Data」Glass Physics and Chemistry 29(2003): 42-48は、非晶質またはガラス質シリカ中のヘリウムの挙動について述べている。 溶解性部位内で、ヘリウム原子は、間隙容積によって許容される振幅で振動する。原子は、チャネル内を隙間から隙間まで通り、チャネルは、隙間より直径が小さくてもよい。
表1に列挙されたパラメータは、溶融シリカのアルゴン透過率が室温できわめて低いか無視してもよいことを示す(即ち、アルゴンの分子径が、溶融シリカのチャネル・サイズより大きい)。酸素と窒素の分子径は、アルゴンの分子径より大きいので、空気は、溶融シリカを実質的に透過できないことがある。他方、ヘリウムは、溶融シリカ中に拡散し浸透することがある。したがって、ナノインプリント・プロセスに周囲空気ではなくヘリウム環境が使用されるとき、テンプレートと基板間に閉じ込められたヘリウムは、溶融シリカ・テンプレートを透過できることがある。
類似の材料の相対多孔率は、材料の密度の比率として定義されてもよい。例えば、溶融シリカ(密度ρfused silica=2、2g/cm3)に対するスピンオンガラス(SOG)(密度ρSOG=1.4g/cm3)の相対多孔率は、100%x(ρSOG/ρfusede silica)、即ち64%として計算される。溶融シリカは、酸素シリコン結合を有する他の材料の基準材料ととして使用されてもよい。インプリント・リソグラフィ・テンプレートに多孔質層を形成するために使用される材料には、溶融シリカを基準にした材料の相対密度が少なくとも約50%または少なくとも約65%にすると、材料中のガスの移動を可能にするのに適した多孔率が提供される。
場合によっては、材料の多孔率と孔径を大きくするために、テンプレートまたは基板の一部分を形成するために使用される材料にポロゲン(porogens)が添加されてもよい。ポロゲンには、例えば、ノルボルネン(norbornene)、α−テルピネン、ポリエチレンオキシド、ポリエチレンオキシド/ポリプロピレンオキシド共重合体など、およびこれらの組み合わせのような、蒸発することがある有機化合物がある。ポロゲンは、例えば、線形または星型でよい。ポロゲンと処理条件は、例えば約2nm未満の平均孔径を有する微孔低k多孔質層を形成するように選択されてもよく、それにより一連のガスに関して溶解性部位の数が増大する。さらに、ポロゲンの導入と高い多孔率によって、ガス溶解性部位を接続する構造チャネルが拡大することがある。孔径が約0.4nm以上の場合、低k膜のヘリウム透過率は、ガラス質溶融シリカのヘリウム透過率より大きい。
基板12とテンプレート18間に画定された容積からガス60を除去する1つの方法には、テンプレート18を介したガス60の吸収がある。場合によっては、図4に示されたように、テンプレート18は、基層62上に形成された1つまたは複数の層を含むように改良されてもよい。例えば、第1層64は、基層62上に形成されてもよく、第2層63が、第1層64上に形成されてもよい。テンプレートが、基層62、第1層64および第2層63を含むとき、第1層は、中間層と呼ばれることがあり、第2層は、キャップ層と呼ばれることがある。テンプレートが、基層62と3つ以上の追加の層を含むとき、最上層は、キャップ層と呼ばれることがあり、基層とキャップ層の間の層は、中間層と呼ばれることがある。
テンプレート18に関して前述したように、基層62は、溶融シリカ、石英、シリコン、有機高分子、シロキサン重合体、ホウケイ酸ガラス、フルオロカーボン重合体、金属、硬化サファイアなど、またはこれらの任意の組み合わせを含むがこれらに限定されない材料から形成されてもよい。キャップ層、1つまたは複数の中間層、またはこれらの任意の組み合わせは、多孔質層でよい。本明細書で使用されるとき、「多孔質層」は、溶融シリカより疎かつ/または多孔質の層を指す。
本明細書で使用されるとき、キャップ層の厚さは、残余層の厚さと見なされる(即ち、突出部の高さを含まない)。ガスは、キャップ層の突出部がない部分により迅速に拡散し、ヘリウム透過率が全体的に高くなることがある。したがって、薄い残余層を有するキャップ層ほど、ガスがキャップ層を通って隣りの(例えば、多孔質)層に素早く拡散することができる。この拡散速度は、テンプレートの表面領域の突出部がない部分に少なくともある程度依存する。中間層とキャップ層は、プラズマ強化化学蒸着などの蒸着工程によって形成されてもよい。中間層とキャップ層を形成するためのプロセス変量の範囲は、下の表2に列挙される。
Figure 0005502095
キャップ層と中間層の多孔率は、基板12とテンプレートの間に閉じ込められたガス60がキャップ層を通り中間層に輸送されるのを促進するように選択されてもよい。例えば、キャップ層は、微孔質、メソ多孔質、またはこれらの組み合わせでよい。即ち、キャップ層の孔は、直径2nm未満(微孔質)または直径2nm〜50nm(メソ多孔質)でよい。中間層は、微孔質、メソ多孔質、またはマクロ多孔質でよい。即ち、中間層の孔は、直径2nm未満(微孔質)、直径2nm〜50nm(メソ多孔質)、直径50nmを超えても(マクロ多孔質)でよい。場合によって、中間層は、異なる多孔率を有する領域を有してもよい。例えば、中間層は、微孔質領域とメソ多孔質領域を有してもよい。多孔質層は、米国特許出願第60/989,681号および第60/991、954号に記載されている。
多孔質キャップ層または多孔質中間層の孔のサイズは、実質的に均一でもよく、所望の分布を有してもよい。孔は、実質的に閉じた状態から完全に相互接続された状態の範囲でよい。場合によっては、キャップ層に関して、孔径または平均孔径は、少なくとも約0.4nm、少なくとも約0.5nm、または約2nm未満(例えば、約1nm未満、約0.4nm〜約1nmの範囲、または約0.4nm〜約0.8nmの範囲)である。中間層に関して、孔径または平均孔径は、少なくとも約0.4nmまたは少なくとも約0.5nm(例えば、約1nm以下、約2nm以下、約15nm以下、約30nm以下、約40nm以下、約50nm以下、または約50nm超)でよい。
SiOxのキャップ層(厚さ約10nmと透過率P1)を有するテンプレート18に関して、テンプレート透過率は、1つまたは複数の中間層の多孔率と孔径を選択することによって調整されてもよい。厚さ310nmを有する多層複合インプリント用スタックの有効透過率に対する中間層の透過率と厚さの影響を表3に示す。
Figure 0005502095
表3は、中間層の厚さだけを大きくすると、中間層の透過率だけを高めるよりも有効透過率が高くなることがあることを示す。即ち、310nmの全厚さを有し、厚さ100nm、200nmまたは300nmの中間層と厚さ10nmのキャップ層を有する複合インプリント用スタックでは、有効透過率は、中間層厚が200nm増えると、それぞれ1.5P1から2.8P1に30.1P1にと20倍になる。厚さ300nmの中間層と厚さ10nmのキャップ層では、中間層の透過率が100P1から1000P1に10倍になると、有効透過率が23.8P1から30.1P1に高くなる。
場合によっては、図5に示されたように、インプリント・リソグラフィ・テンプレートは、基層と第1層を含んでもよい。第1層は多孔質層でよい。第1層は、パターニングされてもよく、キャップ層と見なされてもよい。図5を参照すると、多孔質層61を基層62上に形成してもよい。多孔質層61の多孔率は、図5に示されたように不均一または非対称でもよく、実質的に均一でもよい。多孔質層61は、キャップ層でよい。場合によって、多孔質層61は、層の密度が層の上面(使用中にインプリント・レジストと接する表面)に近いほど高くなるように、孔65の分布によって示された多孔率勾配を有してもよい。多孔率勾配は、平均孔径、孔径分布および/または孔密度の変化を含んでもよい。この勾配は、多孔質層内に直接エッチングされるフィーチャの機械的強度を高め、同時に多孔質層中へのガスの拡散を可能にすることができる。即ち、キャップ層の上側近くの多孔率が低い(例えば、突出部と突出部近くで多孔率が低い)と、パターン部分の機械的強度が、キャップ層の上側近くよりも高い多孔率を有するキャップ層よりも高くなることがある。場合によって、多孔質層61は、層の突出部と凹部を形成するためにエッチングされる部分に実質的に均一な密度を有してもよい。多孔質層61は、微孔質領域、メソ多孔質領域、マクロ多孔質領域、または任意の組み合わせを有してもよい。
図6に示されたように、テンプレート18は、キャップ層の上側近くの機械的強度を維持しながらガスの効率的拡散を可能にするように選択された多孔率と平均孔径を有する一体構造として形成されてもよい。例えば、有機高分子、無機材料(例えば、炭化ケイ素、ドープト・シリカ、VYCOR(登録商標))など、またはこれらの任意の組み合わせから作成されたテンプレートは、ガラス状溶融シリカより低い集積密度を有し、したがって高いガス(例えば、ヘリウム)透過率を有することがある。テンプレート18は、実質的に単一多孔質層から成る。多孔質層は、基層に付着されない。テンプレート18は、滑らかでもよくパターン形成されてもよい。テンプレート18は、図6に示されたように非対称的な多孔質層でもよく、対称的な多孔質層でもよい。
図7に示されたように、テンプレート18は、第1層64と第2層63を有してもよい。第1層64は、多孔質層でよい。第2層63は、キャップ層でよい。図6のテンプレート18と同じように、第1層は、基層に付着されない。第2層63は、多孔質材料中への重合性材料の浸透を抑制してもよい。第2層63は、また、望ましい表面特性、機械的特性などをテンプレートに与えてもよい。テンプレート18は、滑らかでもよく、パターン形成されてもよい。第1層64は、非対称多孔質層でよい。
微孔質層は、インプリント・リソグラフィ用途で有利なことがある。例えば、微孔質層は、閉じ込められたガスを孔を介して拡散させるのに十分大きいが、重合性流体や他の物質が孔を透過するのを抑制するのに十分小さい孔を有してもよい。微孔質キャップ層は、ひび割れ、挫屈または離層なしに繰り返し使用に耐えることができる機械的強度を有してもよい。パターニングされた微孔質層は、エッチング・フィーチャ内にパターニングされたメソ多孔質とマクロ多孔質層より滑らかな側壁と小さな隙間欠陥を有してもよい。
場合によって、テンプレートの表面(例えば、キャップ層や他の多孔質層の)にある孔は、封止されていない場合、重合性流体や他の物質がテンプレートに浸透することを可能にし、これにより、インプリント処理工程中に孔の詰まりまたは追加の応力が生じることがある。テンプレートの表面近くの孔が十分に小さい場合は、重合性流体や他の物質が孔に浸透するのを防ぐために孔の封止が不要なことがある。しかしながら、場合によっては、重合性流体や他の物質によるテンプレートの不都合な浸透、詰まり、充填などを防ぐために、実質的に連続的で順応性で極めて薄いガス浸透性膜を作成する薄膜付着方法を使用して、露出した孔を(例えば、孔の少ない酸化ケイ素層で)密閉または充填すると有利である。孔の封止は、化学蒸着(CVD)、原子層蒸着(ALD)、プラズマ支援原子層蒸着(PA−ALD)、パルスプラズマ強化化学蒸着(パルスPECVD)、分子層蒸着(MLD)、物理蒸着(PVD)などの蒸気を利用した膜蒸着法、浸漬塗布やスピンコーティングなどの溶液を利用した膜付着法、プラズマ処理を含むがこれらに限定されないいくつかの方法によって達成されてもよい。PA−ALDは、参照により本明細書に組み込まれる米国特許出願公開第US2007/0190777に記載されている。パルスPECVDは、参照によって本明細書に組み込まれる米国特許出願公開第2008/0199632号に記載されている。
封止層蒸着方法と膜組成の選択は、テンプレートの突出部と凹部のサイズおよび/または形状、多孔質膜の露出した孔の直径、封止層の所望の透過率と機械的特性、離型剤と相互作用する封止層の能力などを含むいくつかの要素に依存することがある。
図8Aは、基層62、第1の中間層64、キャップ層63および封止層59を有する多孔質テンプレート18を示す。封止層59は、金属酸化物、窒化物、炭化物、酸窒化物、酸炭化物、またはオルガノシランやポリキシシレンなどの重合体を含むがこれらに限定されない材料から作成されてもよい。多孔質層の表面上の封止層59の厚さは、約10nm未満、約5nm未満、約3nm未満、または場合によっては孔半径の約2倍でよい。場合によって、孔封止蒸着方法は、多孔質層の表面に対する封止層59の反応と成長を実質的に制限するように選択されてもよい。特定の事例では、封止層反応物が、多孔質層内に数ナノメートル浸透するようにされてもよい。
封止層59の孔径は、隣接多孔質層内へのガスの拡散を促進するために、インプリント環境のガスの分子径より大きくてもよい。封止層59の孔径は、ヘリウムが封止層内に拡散できるように、約2nm未満、約0.8nm未満、または約0.6nm未満でよい。封止層59は、ヘリウム、酸素、窒素または二酸化炭素より大きな原子または分子が、封止層内に拡散できないように選択されてもよい。封止層59を形成するために使用される材料は、ピラニア(piranha)、希塩基、オゾンまたはプラズマ・クリーニング処理を含むナノインプリント・リソグラフィ工程での反復使用に耐えるように選択されてもよい。場合によって、封止層59は、除去または交換されるように意図された非永久または犠牲層であるように選択されてもよい。
図8Bは、基層62、多孔質中間層64、封止層59およびキャップ層63を有する多孔質テンプレート18を示す。封止層は、ヘリウムが通過するのに十分に大きいがキャップ層の蒸着中に気相または液相の反応種が多孔質層に浸透するのを実質的に阻止するのに十分な小さい孔を有することが好ましい。封止層59は、約1nm〜約10nm、すなわち孔半径の約5倍未満、孔半径の約3倍未満、または孔半径の約2倍の厚さを有してもよい。封止層59は、例えば、酸化ケイ素(SiOx)を含んでもよい。場合によっては、表面孔を連続的な膜で完全に封止するのではなく、封止層プロセスを使用して多孔質層の開孔径を小さくして、孔の直径によってキャップ層成分が多孔質層中に浸透(例えば、拡散)するのを防いでもよい。
キャップ層の下(例えば、キャップ層と多孔質層の間)に封止層があるので、キャップ層から多孔質層への移行がなくなり、また孔に詰まる汚染物質が多孔質層中に浸透するのが防止される。例えば、封止層59は、多孔質層64内にキャップ層63を形成する際に存在する反応種の浸透を抑制する。多孔質層の浸透と孔詰まりは、多孔質層と例えばキャップ層の間の境界近くの多孔質層の密度を高め、これにより、エッチングの際に境界の場所を確認するのが難しくなる。キャップ層の下に封止層があるので、境界の完全性が維持され、キャップ層中のフィーチャの必要なエッチング深さの不明瞭さが減少するかまたは実質的になくなる。したがって、フィーチャの最下部とその下の多孔質層の間にキャップ層材料がほとんどなく有利なので、多孔質層上に封止層を付着させることにより、エッチング処理が可能になる。この距離は、図8Bにdで示される。
一例では、基層上に多孔質層が付着される。多孔質層上に薄い(例えば、5nm)稠密な孔封止層が形成され、封止層上に稠密なキャップ層(95nm)が形成される。稠密コーティングの全厚さは、100nmである。キャップ層が、90nmの深さまでエッチングされた場合は、d=10nmであり、10nmの稠密膜が、フィーチャの底を下にある多孔質膜から分離する。封止層がない状態では、数ナノメートルの多孔質層が閉鎖されていることがあり、また膜密度プロファイルが深さと共に変化することがあり、これらはすべて、フィーチャが下の多孔質層まで既知の距離で均一な稠密膜に存在するようにフィーチャをキャップ層内にエッチングする距離を決定するのを難しくする。いつかの孔封止方法には、ALD、PA−ALDおよびパルスPECVD、ならびに本明細書で述べた他の方法がある。封止層だけでなくキャップ層を形成するためにALDなどの方法を使用すると、歩留まりが制限され、生産コストが増大する。
本明細書に記載したように、孔封止層は、封止層の屈折率がキャップ層の屈折率と異なる場合にキャップ層の光学的な厚さ測定を可能にする。例えば、キャップ層は、封止層上に付着され、次に封止層から既知の測定可能距離まで研磨されてもよい。
場合によって、より多孔質の層の付着に使用される温度より低い温度、等しい温度または高い温度では、あまり多孔質でない封止層とキャップ層が、より多孔質の層(例えば、中間層)上に付着されてもよい。あまり多孔質でない層は、その下のより多孔質の層に使用される温度より高い温度で付着されてもよいが、あまり多孔質でない層の付着中の温度作用が、より多孔質の層の孔径、孔径分布、孔相互接続などに望ましくない変化を引き起こす場合に、あまり多孔質でない層をより多孔質の層の付着温度と等しいかそれより低い温度で付着させることが望ましい場合がある。
多孔質キャップ層または多孔質中間層を形成するために使用される材料は、ピラニア、希塩基、またはプラズマ・クリーニング処理を含むナノインプリント・リソグラフィ工程における反復使用に耐えるように選択されてもよい。場合によって、多孔質キャップ層または多孔質中間層は、限定用途に設計されてもよく、洗浄工程に耐える能力を必要としないことがある。基層とキャップ層への中間層の付着は、例えば、インプリント・リソグラフィ工程で形成されたパターン層からテンプレートを分離するのに必要な力の少なくとも約3倍でよい。多孔質材料の選択で考慮される材料特性には、基層への付着、熱膨張率、熱伝導率、屈折率、ならびに紫外線光透過および吸収度がある。例えば、低い紫外線吸光度を有する材料は、インプリント・レジストの近くに有害量の熱を発生させることなく、紫外線がテンプレートのキャップ層または中間層まで透過してインプリント・レジストを重合させることを可能にする。特定の実施形態では、多孔質材料のヤング率は、例えば、少なくとも約2GPa、少なくとも約5GPa、少なくとも約10GPa、または少なくとも約20GPaでよい。
いくつかの用途では、所有コストを満足させるまでに数百または数千ものインプリントを行うためにテンプレートが必要とされる。したがって、多孔質層に使用される材料は、ひび割れ、挫屈または離層なしにこの数のインプリントに耐えるために十分な機械的強度を有しなければならない。特定の相対密度および屈折率と共に特定のヤング率を有する多孔質材料を使用して、充填時間の短縮、製造工程での高歩留まり、および同時にインプリント処理工程中に存在する機械力に耐える能力を含む予期しない利点を有する多孔質層を形成することがある。望ましい特性のこの組み合わせにより、工程寿命が長くなりまたテンプレート欠陥が減少する。
溶融シリカを基準にしたシリコンと酸素を含む多孔質材料の相対密度に対するヤング率の比率は、インプリント・リソグラフィ・テンプレートにおいて多孔質材料が多孔質層として働く能力のインジケータである。望ましい歩留まりと耐久性を提供する多孔質ケイ素および酸素含有材料は、溶融シリカを基準にしたその材料の相対密度に対するヤング率の比率が、少なくとも約10:1、少なくとも約20:1、または少なくとも約30:1でよい。
インプリント・リソグラフィ・テンプレートと関連した光学プロセスは、例えば、光学式テンプレート・パターン検査を含む。光学プロセスを促進するために、多孔質層の屈折率は、同一テンプレート上のテンプレート内の他の層(例えば、キャップ層、封止層)の屈折率と類似してもよく、その結果、望ましくない光学的作用(例えば、光の屈曲と関連ひずみ)が、測定工程と検査工程を含む工程で減少する。溶融シリカの屈折率は、1.46である。基部として溶融シリカが使用されるときは、インプリント・リソグラフィ・テンプレートの他の層は、溶融シリカの屈折率に近い屈折率を有することが望ましいことがある。インプリント・リソグラフィ・テンプレート内の他の層との光学適合性が高い場合、インプリント・リソグラフィ・テンプレート内の多孔質層の屈折率は、約1.4〜約1.5でよい。
多孔質層(例えば、多孔質中間層)は、酸化ケイ素、陽極酸化アルミニウム(AAO)、オルガノシラン、オルガノシリカ、有機シリケート、有機高分子、無機高分子など、またはこれらの任意の組み合わせを含むがこれらに限定されない材料から作成されてもよい。いくつかの実施形態では、多孔質層には、低k誘電体膜、多孔質低k誘電体膜、または極低k誘電体膜が含まれてもよい。半導体産業で使用される低k誘電体膜、即ちオルガノシランのCVDまたはシルセスキオキサンのスピンコーティングによって付着された有機シリケート・ガラス(OSG)膜は、ガスの拡散を強化しかつ充填時間を短縮するのに十分な多孔質を含んでもよいが、その機械的特性(弾性係数E<10GPa、硬さH<2GPa)は、溶融シリカより低い。有機または無機高分子を含む多孔質層は、また、溶融シリカよりかなり低い機械的特性を有する。陽極酸化アルミニウム(AAO)膜は、より高い多孔率を有する溶融シリカより高いヤング率(−140GPa)を有するだけでなく溶融シリカより高い屈折率(−1.7対1.46)も有し、したがって、この点で、AAOは、光学パターン検査が検討されるときに酸化ケイ素膜で覆われたときに多孔質層としてあまり望ましくないことがある。
基層と中間層またはキャップ層は、同じ材料で形成されてもよく、異なる材料で形成されてもよい。場合によって、キャップ層は、基層より多孔質でもよい(例えば、ガスがキャップ層を通って中間層内に拡散することを可能にするため)。場合によって、キャップ層は、中間層より多孔質でなくてもよい(例えば、望ましいパターニング面を形成するためにキャップ層の適切なエッチングを促進するため)。いくつかの実施形態では、キャップ層は、基層より多孔質で中間層より多孔質でない。キャップ層は、インプリント・リソグラフィ工程中に望ましい濡れと剥離性能を達成するように選択された材料によって形成されてもよい。
いくつかの実施形態では、キャップ層は、多孔質膜SiOxを含んでもよく、1≦x≦2.5である。例えば、本明細書で使用されるとき、「多孔質SiOx」は、溶融シリカより高い多孔質、溶融シリカより低い稠密性またはその両方の酸化ケイ素を指す。キャップ層の厚さと組成は、機械的強度と特定の表面特性を提供し、さらにはインプリント・リソグラフィ工程において基板とテンプレートの間で閉じ込められることがあるガスの透過を提供するように選択されてもよい。
中間層の厚さは、例えば、約10nm〜約100μmの範囲、または約100nm〜約10μmの範囲でよい。中間層の厚さは、ガスが層中に拡散する層の能力を高めるために増大されてもよい。場合によって、厚い中間層ほど、紫外線透過率、熱膨張率などを大幅に低下させずに高い有効透過率を提供することができる。
キャップ層の厚さは、約10nm〜約10,000nmの範囲(例えば、約10nm〜約50nm、約50nm〜約100nm、約100nm〜約500nm、約500nm〜約1000nm、または約1000nm〜約10,000nmの範囲)でよい。キャップ層中のガスの拡散は、キャップ層の多孔率ならびにキャップ層の厚さと関連する。場合によって、キャップ層の厚さは、少なくともある程度キャップ層の多孔率に基づいて選択されてもよい。即ち、より多孔質のキャップ層は、より多孔質でないキャップ層(例えば、約10nm)より厚くてもよく(例えば、約5000nm)、その結果、ガスは、様々な多孔率と厚さの多孔質キャップ層に比較的迅速に拡散することができる。キャップ層が、付着される層より多孔質の場合、キャップ層の厚さは、ガスが層中に拡散する層の能力を高めるために大きくされてもよい。キャップ層が、より多孔質の膜に付着される場合は、拡散抵抗を小さくするためにエッチング・フィーチャの最下部とより多孔質の層との間のキャップ層の厚さを小さくすることが望ましいことがある。
中間層は、基層または別の中間層上に、蒸着法、溶液による方法、熱成長法などによって形成されてもよい。キャップ層は、中間層または基層上に、蒸着法、溶液による方法、熱成長法などによって形成されてもよい。本明細書で使用されるとき、「蒸着」は、一般に、基板の表面に層が蒸発前駆体組成から形成される方法を指す。蒸着法には、化学蒸着(CVD)、原子層蒸着(ALD)および物理蒸着(PVD)があるがこれらに限定されない。CVD法には、例えば、プラズマ強化CVD(PECVD)、減圧CVD(LPCVD)、準大気圧CVD(SACVD)、大気圧CVD(APCVD)、高密度プラズマCVD(HDPCVD)、遠隔プラズマCVD(RPCVD)などがある。PVD法には、イオン支援電子ビーム方法などがある。
処理条件と材料を変更することによって、様々な平均孔径と孔径分布(例えば、様々な多孔率または相対多孔率)を有する多孔質層が生成されてもよい。中間層および/またはキャップ層は、溶融シリカより大きい孔径と大きい多孔率を有する孔を有してもよい。本明細書で使用されるとき、「多孔率」は、固体中にチャネルと空間が占める部分を体積全体の百分率として指す。中間層の多孔率は、約0.1%〜約60%(例えば、約1%〜約20%、または約5%〜約15%)の範囲で変化してもよい。場合によって、中間層の多孔率は、少なくとも約10%、または少なくとも約20%でよい。キャップ層の多孔率は、約0.1%〜約20%(例えば、約1%〜約20%、または約3%〜約15%)の範囲で変化してもよい。
SiOxを蒸着法(例えば、PECVD)によって付着させることにより、熱酸化や火炎加水分解蒸着などの他の方法より高い多孔率を有する膜が得られる。変更できる蒸着条件には、温度、圧力、ガス流量(例えば、ケイ素含有ガス、酸化ガス、キャリヤガスなど、またはこれらの割合)、電極距離、高周波電力、およびバイアスがある。
一例において、シランPECVDによる酸化物蒸着は、以下に示す反応により行うことがある。
SiH4(g) +2N2(g) → SiO2(s)+2N2(g)+2H2(g)
テトラエチルオルトシリケート(TEOS)、テトラメチルシラン(TMS)、ヘキサメチルジシラザン(HMDS)などの有機ケイ素材料をPECVDで使用してSiOx 膜を形成してもよい。
PECVD SiO2 の密度は、100℃〜350℃の蒸着温度で1.5g/cm3から2.2g/cm3 まで変化することが、Levyら(参照により本明細書に組み込まれる「A comparative study of plasma enhanced chemically vapor deposited Si-O-H and Si-N-C-H films using the environmentally benign precursor diethyl silane」Mater. Lett. 54 (2002): 102-107)によって示されている。ヤング率は、この温度範囲で25GPaから70GPa超まで増えた。PECVDは、250℃〜350℃の蒸着温度で144GPaもの高いヤング率を有する酸化ケイ素膜を生成することが報告されている(Bhushanら「Friction and wear studies of silicon in sliding contact with thin-film magnetic rigid disks」J. Mater. Res. 9 (1993) 1611-1628と、Liら「Mechanical characterization of micro/nanoscale structures for MEMS/NEMS applications using nanoindentation techniques」Ultramicroscopy 97 (2003) 481-494)。これらの文献は両方とも参照により本明細書に組み込まれる)。
25GPaのヤング率は、オルガノシランのCVDまたはシルセスキオキサンのスピンコーティングによって付着される有機シリケートガラス膜を含む多孔質半導体低k膜から得られる膜のヤング率より実質的に高い。約150℃より高い温度で付着されたPECVD SiOx 膜の硬さも、半導体低k膜の硬さを超えることがある。約350℃で付着されたPECVD SiOx 膜は、Devineら(「On the structure of low-temperature PECVD silicon dioxide films」J. Electron. Mater. 19(1990) 1299-1301。この文献は、参照によって本明細書に組み込まれる)によって述べられたように約5%の微小気孔を有してもよい。
溶融シリカ基板上にPECVDによって付着されたSiOx は、少なくとも部分的に熱膨張率の不一致により生じると考えられる圧縮応力を示す。この不一致は、Caoら(「Density change and viscous flow during structural relaxation of plasma-enhanced chemical-vapor-deposited silicon oxide films」J. Appl. Phys. 96(2004) 4273-4280。この文献は、参照により本明細書に組み込まれる)が述べているような適度な温度(例えば、500℃熱サイクル)の熱アニールによって減少させることができる。特定のアニール条件の場合、応力の特性は、インプリント・リソグラフィ・テンプレートの多孔質層に望ましい中立応力に対する圧縮を維持したまま、本質的により高い張力になることがある。Caoらによって示されたように、500℃熱サイクル(約0.55ppm/℃)後の厚さ10μmPECVD SiOx 膜の熱膨張率は、溶融シリカのものと似ている。
場合によって、PECVD SiOx テンプレート層のアニールが、SiOx 膜の高密度化を促進することがあり、その結果透過率が低くなる。しかしながら、制御条件(例えば、加熱速度と冷却速度)下でより低い温度(例えば、約100℃〜約350℃)で実行されるアニール処理が、膜の多孔率を維持することがある。
膜応力に関するアニールの影響を評価するために、低温アニール実験を行った。 表4に示されたように、溶融シリカ上のPECVD SiOx 膜(5μmの厚さ)は、蒸着後に−94MPaの計算応力を有した。最初の140℃アニール・サイクルの後で、応力は、−57MPaとして計算された。2回目の140℃アニール・サイクルの後で、応力は、−42MPaとして計算された。応力は、ストニー方程式によって計算された。半径は、レーザー干渉計(Zygo Corporation, Middlefield, CTから入手可能なMark GPI xps)による測定によって決定され、膜厚は、分光反射率計(Metrosol, Austin, TXから入手可能)により測定された。
Figure 0005502095
場合によっては、中間層上に蒸着法でキャップ層(例えば、SiOx キャップ層)を形成すると、中間層の孔が詰まることがある。中間層の孔の詰まりを少なくするために、中間層は、不活性ガスで予め飽和されてもよい。多孔性基板の孔の詰まりを減少させる例示的なPECVD方法が、図9にフローチャートで示される。方法90で、チャンバをポンピングし(段階91)、チャンバをパージし(段階92)、チャンバを再びポンピングした(段階93)後、1つまたは複数の不活性ガスを使用してチャンバと多孔性基板を予め飽和させる(段階94)。不活性ガスの流れを停止し、CVDガスがチャンバに導入され、プラズマが開始される(段階95)。
方法90では、CVD層は、いくつかの理由のために中間層の表面から成長すると考えられる。例えば、孔が不活性ガスによって飽和されているので、CVDガスが中間層内に拡散しにくい。さらに、CVDガスの一部が多孔質中間層に入った場合でも、そのCVDガスは、中間層内の不活性ガスで薄められ、反応後に孔を塞ぐことができる稠密構造を形成するのに十分な量で存在しないことがある。さらに、プラズマは、CVDガスがチャンバに導入されると実質的に同時に始まるので、反応はすぐに始まり、CVDガスが、中間層内に拡散する時間が制限される。
図10は、図9の段階により第2層63(例えば、キャップ層)として蒸着されたSiOx の薄層によって多孔質の第1層64(例えば、中間層)をキャッピングする工程を示す。この工程は、キャップの封止、即ち非対称多孔質層の封止に適用されてもよい。図10に示されたように、多孔質の第1層64は、不活性ガス65で飽和される。ガス69(ケイ素含有ガス、酸化ガス、キャリヤガスなどを含む)がCVD法で導入されて、多孔質の第1層64上にシリカの第2層63が形成される。多孔質の第1層64の表面に第2層63が形成された後、多孔質の第1層は有効に封止され、その結果、蒸着ガスや重合性材料などの多孔質の第1層内への拡散が減少するかまたはなくなる。
事前飽和に使用されるガスは、特定の蒸着法に対して不活性でもよく、孔を塞ぐように多孔質層内で反応しなくてもよい。不活性ガスは、ヘリウム、ネオン、アルゴン、窒素などでよい。場合によって、蒸着ガスは、不活性ガスとして使用されてもよい。例えば、SiH4とN2OによるPECVD SiOx 蒸着工程では、多孔質層を事前に飽和するためにN2O が使用されてもよい。ヘリウムやネオンなどのより小さな分子ガスは、その分子径が封止層の孔径より小さい場合に、処理後に外に拡散することがある。アルゴンや窒素などのより大きな分子ガスは、その分子径が封止層の孔径より大きい場合に、多孔質層内に閉じ込められる可能性がある。多孔質層内に閉じ込められたガスは、将来の応用で厄介な問題を引き起こすことがある。したがって、より小さい分子ガスが好ましいことがある。
方法90における事前飽和91は、約5秒〜約60分の範囲でよい。不活性ガス圧力は、少なくとも蒸着工程に使用される全蒸着ガス圧力と同じでもよく、場合によっては全蒸着ガス圧力より高くてもよい。初期付着速度は、不活性ガスによる希釈作用によってわずかに遅くなる可能性がある。より正確な蒸着層厚制御を実現するために、付着速度は、処置の間に再調整されてもよい。不活性ガスが異なると初期付着速度が異なることがある。付着速度は、別の不活性ガスに変化するときに再調整されてもよい。不活性ガス圧力が異なると初期付着速度が異なることがある。付着速度は、別の事前飽和圧力に変化するときに再調整されてもよい。
特定の状況では、多孔質層は、膜のひび割れまたは離層の原因となる内部引張応力を受けることがある。図11に示されたように、多孔質層68は、多孔質層に影響を及ぼす引張力FT(または、圧縮力FC)を生成する固有の力を受けることがある。例えば、引張力FT(または、圧縮力FC)は、基層62からの多孔質層68の分離や角変形などを引き起こすことがある。
周囲条件(例えば、室温、大気圧)での多孔質層または膜の応力は、圧縮に対する張力でよい(例えば、それぞれ約+1GPa〜約−3GPa)。蒸着多孔質層の応力は、蒸着条件の制御、アニーリング、応力除去膜または層などのいくつか方法によって管理されてもよい。
テンプレート18は、多孔質層68に働く引張力FT の影響(例えば、テンプレートの湾曲)を軽減するように設計された1つまたは複数の緩和層66を含んでもよい。例えば、緩和層66は、圧縮力FC が緩和層66に働くように圧縮状態で形成された材料を有するように設計されてもよい。例えば、緩和層66は、圧縮力FC を引き起こす設定固有応力レベルを提供する材料から設計されてもよい。したがって、緩和層66に働く圧縮力FC は、テンプレート18の多孔質層68に働く引張力FT を実質的に打ち消す。いくつかの実施形態では、1つまたは複数の緩和層66が、多孔質層68に働く圧縮力FC(図示せず)の影響を軽減するように設計されてもよい。
例えば、図12は、緩和層66の隣りに多孔質層68を有するテンプレート18の例示的な実施形態を示す。緩和層66は、圧縮力FC が多孔質層68に働く引張力FT の影響を実質的に軽減するように圧縮力FC を提供する材料から形成されてもよい。緩和層66は、基板層62に、スピンコーティング、浸せき塗布、CVD、PVD、薄膜蒸着、厚膜蒸着など、またはこれらの組み合わせなどの技術を使用して配置されてもよい。緩和層66は、SiNx、SiOxy、SiCx、SiOx、DLCなど、またはこれらの組み合わせを含むがこれらに限定されない材料から形成されてもよい。場合によって、緩和層66は、インプリント工程で使用される紫外線光または光の波長を実質的に透過してもよい。緩和層66は、ヘリウム、窒素、酸素、二酸化炭素などのガスを透過してもよい。いくつかの実施形態では、1つまたは複数の緩和層66は、多孔質層68に働く圧縮力FC(図示せず)の影響が引張力FT によって実質的に軽減されるように引張力FT を提供するように設計されてもよい。
図13Aは、多孔質層68と隣接した複数の緩和層66aおよび66bを有するテンプレート18の例示的な実施形態を示す。多孔質層68は、ヘリウム、窒素、酸素、二酸化炭素などのガスを透過してもよい。緩和層66aおよび66bは、圧縮力FC1およびFC2を提供する材料から形成されてもよい。圧縮力FC1およびFC2は、設計検討事項により、大きさが同じでもよく、異なってもよい。 例えば、緩和層66bの圧縮力FC2は、多孔質層68に対する引張力FT の影響を軽減してもよい(例えば、層の曲げを減少させる)。
緩和層66aおよび66bはそれぞれ、基板層62と多孔質層68上に、スピンコーティング、浸せき塗布、化学蒸着(CVD)、物理蒸着(PVD)、薄膜蒸着、厚膜蒸着など、またはこれらの任意の組み合わせの技術を使用して位置決めされてもよい。緩和層66aおよび66bは、設計検討事項により、類似の位置決め方法を使用してもよく、異なる位置決め方法を使用してもよい。
さらに、緩和層66aおよび66bは、設計検討事項により、類似の材料で形成されてもよく、異なる材料で形成されてもよい。例えば、緩和層66aが、ガス60(図示せず)の拡散経路内に位置決めされることがあるので、厚さtR1を有する緩和層66aは、インプリント工程において、このガス60を透過する材料から形成されてもよい。あるいは、緩和層66bは、ほとんどの応力補償が緩和層66bで行われることがあるので、厚さtR1より大きい厚さtR2を有してもよく、もっと透過性の低い材料から形成されてもよい。さらに、緩和層66bは、設計検討事項により、基板層62中へのガスの拡散を促進するために透過性材料から形成されてもよい。いくつかの実施形態では、図13Bに示されたように、緩和層66aは、フィーチャ24および26が上に形成されたパターン緩和層66aでもよい。いくつかの実施形態では、緩和層66aおよび66bは、多孔質層68に対する圧縮力FC(図示せず)の影響を軽減するために引張力FT1およびFT2を提供する材料から形成されてもよい。
図14は、複数の多孔質層68内の引張応力を除去するために複数の緩和層66を有するテンプレート18の例示的な実施形態を示す。詳細には、テンプレート18は、圧縮力FC1〜FC3が引張力FT1〜FT2(例えば、引張力FT1〜FT2によって引き起こされる曲げモーメント)の影響を少なくするように透過層68aおよび68b間に挟まれてもよい緩和層66c〜66eを含む。緩和層66c〜66eは、設計検討事項により、類似の位置決め方法を使用してもよく、異なる位置決め方法を使用してもよい。さらに、緩和層66c〜66eは、設計検討事項により、類似の材料から形成されかつ類似の物理特性(例えば、厚さ)を有し、かつ/または異なる材料から形成されかつ異なる物理特性を有してもよい。類似した実施形態は、引張力FT1〜FT2(図示せず)によって引き起こされる圧縮応力FC1〜FC3の除去を提供してもよい。
図15Aを参照すると、テンプレート110は、テンプレートのインプリント表面上の層または膜112の曲がりとして示される応力を示す。図15Bを参照すると、応力除去層114が、テンプレート110の層112と反対の表面に形成される。応力除去層114は、層の曲がりを減少させる曲げモーメントを提供することによって層112内の応力を解放する。いくつかの実施形態では、応力除去層114は、層112の圧縮応力を減少させるために圧縮応力を提供してもよい。いくつかの実施形態では、応力除去層114は、引張応力を減少させるかまたは層112に圧縮応力を与えるために引張応力を提供してもよい。
エッチング停止層
図16を参照すると、テンプレート100は、基層102、エッチング停止層104および最上層106を含む。エッチング停止層104と最上層106は、特定の物理的特性(例えば、屈折率)が異なり、その結果、エッチング停止層と最上層の境界108は、最上層のエッチングまたは化学機械平坦化(CMP)を含むナノインプリント・リソグラフィ製造工程における基準点として使用することができる。また、エッチング停止層104と最上層106はまた、特定の化学的特性(例えば、既知のエッチング処理との反応性)が異なる。
テンプレート100は、例えば、バルク溶融シリカでよい。エッチング停止層104は、実質的に紫外線を透過し、低い紫外線吸光度を有してもよい。一例において、エッチング停止層104は、金属、金属酸化物または金属窒化物を含んでもよい。場合によって、エッチング停止層104は、基本的にSixyから成る。最上層106は、多孔質(例えば、多孔質シリカ)でよい。場合によって、最上層106は、SiOxを含み、1≦x≦2.5である。
エッチング停止層104と最上層106の物理特性が異なる(例えば、屈折率が異なる)ので、エッチング停止層104と最上層106の境界108に対して測定されたような最上層の厚さの光学的/計測学的評価が可能になる。エッチング停止層104に対する最上層106の深さを正確に測定することができるので、最上層106を、エッチング停止層104から既知の測定可能距離まで研磨して(例えば、化学機械的平坦化により)、ナノインプリント・リソグラフィ・テンプレート製造において既知の再現可能な寸法(例えば、残余層厚、突出部高さ、縦横比など)を有する最上層のパターニングに使用されるエッチング工程を可能にすることができる。
最上層106をエッチングするがエッチング停止層104をエッチングしないエッチング工程は、シリカをエッチングする既知の任意のエッチング工程(例えば、リアクティブイオンエッチング)を含んでもよい。したがって、エッチング停止層104と最上層106の化学的特性が異なるため、エッチング停止層をエッチングすることなく最上層のエッチングが可能になる。エッチング停止層104が存在するため、エッチング停止層と基層を実質的に変化させることなく最上層106を完全にエッチングにより除去することができる。したがって、最上層106は、必要に応じて除去されるか、変更されるか、交換されてもよい。テンプレートの基層を再使用できることは、経済的に有利であり、資源の節約を可能にする。
計測マーカー
場合によっては、インプリント・リソグラフィ・テンプレートの基層または中間層のある領域が、マーカー膜で被覆されてもよい。図17Aは、基層102、最上層106、および基層と最上層の間の境界に形成されたマーカー領域107を有するインプリント・リソグラフィ・テンプレート100を示す。マーカー領域107は、基層102の小さい部分(例えば、約1cm2 未満)を覆ってもよい。マーカー領域107の厚さは、最上層の上側面の平坦さがマーカー領域の存在によって実質的に影響を受けないように約2nm〜約30nmでよい。場合によって、最上層106は、テンプレート上にフィーチャがパターニングされエッチングされる前に、滑らかで平坦に研磨されてもよい(例えば、化学機械的平坦化により)。マーカー領域107の厚さを基準として最上層106のエッチングの深さを決定してもよい。マーカー領域107を形成するために使用される材料には、例えば、金属、金属酸化物または金属窒化物がある。
1つまたは複数のマーカー領域107が、最上層106の能動(例えば、パターン形成)部分から離間されてもよい。メサの外側に計測マーカーを配置する(例えば、メサの角部の外側に4つのマーカーを配置する)ことにより、紫外線が妨げられることなくテンプレートを介して重合性流体内に浸透することができ、連続的なエッチング停止層と比べて吸収される紫外線の全体量(したがって、テンプレートの加熱量)が減少する。
場合によっては、小さなマーカー領域を付着させるのではなく、基層を被覆する際または中間層を別の層(例えば、多孔質層)で被覆する際に、テンプレートの1つまたは複数の領域がマスクされてもよい。マスクされた領域109と被覆部分111の高さの差は、被覆深さ、エッチング深さまたは研磨深さの基準の役割をしてもよい。
図17Bは、マーカー領域107が基層102に付着されたナノインプリント・リソグラフィ・テンプレートを示す。多孔質層103は、基層102とマーカー領域107の上全体に形成される。多孔質層103は、封止層105が多孔質層上に付着される前に研磨されてもよい。封止層は、キャップ層106の形成中に多孔質層の詰まりを抑制することがある。即ち、キャップ層106の形成中に、封止層の存在により、キャップ層を形成するために使用される成分(例えば、反応種)が多孔質層に浸透し、それによる詰まりが抑制されることがある。場合によっては、多孔質層103とキャップ層106の特性に基づいて、封止層105は省略されてもよい。
化学機械的平坦化
本明細書で述べる実施形態では、テンプレート層(例えば、キャップ層、中間層)は、化学機械的平坦化(CMP)を受けてもよい。CMPは、化学的手段と機械的手段の両方を使用することにより基板の片面または両面を同時に研磨することを含む。インプリント・リソグラフィ・テンプレートは、キャリア・ハウジング内に保持される。研磨パッド上にスラリが分注される。テンプレートが、回転され振動され(偏心運動)、回転研磨パッドと接触される。パッドに対する基板の力が制御される。スラリは、表面と反応し(CMPの化学的態様)かつ表面を物理的にこする(CMPの機械的態様)。研磨された材料は、研磨パッドによって取り去られる。
酸化ケイ素膜蒸着などのいくつかのPECVD法によって形成された表面は、凹凸があり望ましくないことがある。凹凸は、パターニングのインプリント面として使用されるかまたは共形膜を付着させるための基層として使用される表面の有用性と望ましさを低下させる。CMPを使用して、凹凸層を研磨して実質的に凹凸をなくし、またテンプレートの平坦度と平行性を改善することができる。CMPは、また、インプリント・レジストと接触する層の凹凸を減らすことにより充填速度を改善してもよい。

例1。低温PECVD SiOxの強化拡散性能が、インプリント試験によって示された。インプリント充填試験の試料は、公称厚さ375μmを有する両面研磨(DSP)3インチ・シリコン・ウェハ上に、多孔質酸化ケイ素をPECVD(PlasmaTherm 790 RIE/PECVD)によって200℃で厚さ5μmに付着させることによって生成された。Si供給源は、21.2sccmの流量のSiH4であった。酸化剤は、42sccmの流量のN2Oであった。蒸着全圧力は300mTorr、高周波電力は50Wであった。ウェハは、蒸着用チャック上に直接配置された。次に、ウェハは、60nmのTranSpin(Molecular Imprints, Inc., Austin, TXから入手可能)が回転塗布された。対照として、3インチDSPシリコン・ウェハに60nmのTranSpinが被覆された。65mmの溶融シリカ芯無し(core-out)テンプレートを使用して、340μmの液滴中心間距離を有する格子液滴パターンを使用して約90nmの残余層厚を有するインプリントを生成した。ヘリウムは、パージ・ガスとして使用された。
例2。図18Aと図18Bは、PECVDによってウェハ上に形成された5μmの多孔質酸化ケイ素キャップ層を有するテンプレートを介して撮影されたヘリウム環境でのインプリント・レジスト180の液滴の画像を示す。図18Aに示されたように、テンプレートがレジストと接触したときの液滴隙間領域182を顕微鏡カメラによって観察した。図18Bの画像は、テンプレートがレジストと接触した1秒後に撮影された。レジストがテンプレートと接触した1秒以内に、隙間領域182内のガス・ポケットが消え、インプリント・レジスト180が、テンプレートを実質的に覆うように広がる。
図19A〜図19Cは、5μmの多孔質酸化ケイ素キャップ層がない図18Aのものと類似のテンプレートを介して撮影されたヘリウム環境でのインプリント・レジスト180の液滴の画像を示す。図19Aは、テンプレートがレジストと接触したときに顕微鏡カメラによって観察されたインプリント・レジスト180の液滴と隙間領域182を示す。図19Bと図19Cはそれぞれ、1秒後と4秒後に隙間領域182がまだ存在することを示す。したがって、多孔質酸化物層は、ヘリウムの迅速な吸収を可能にし、その結果、多孔質酸化ケイ素層のないシリコンウェハで行われたインプリント上の同じ隙間より4倍以上速く隙間が埋められた。
例3。表5は、4つの酸化ケイ素層と1つの熱酸化物層を形成するPECVD処理条件を列挙する。膜は、PlasmaTherm 790でDSP3インチ・シリコン・ウェハ上に厚さ1.5μmに成長された。PlasmaTherm 790のチャックの固定が位置されているため、0.25インチの溶融シリカプレートの成長条件に近づけるために、シリコン・ウェハは、チャック上に直接ではなく直径3.5インチ×0.25インチの研磨溶融シリカ・テンプレートの上に配置された。PECVD酸化ケイ素膜の押し込み硬さと弾性率が、CSM InstrumentsNHTXナノ押し込み試験装置でBerkovich形状の押し込みにより測定された。PECVD酸化ケイ素膜の密度は、X線分光法(XRR)によって測定された。
Figure 0005502095
比較のための溶融シリカが提供される。密度は、XRRによって測定された。試料1は、非多孔質溶融シリカと同じ83%の密度であり、試料2は、89%の密度、試料3は96%の密度である。最も多孔率の高い試料の相対多孔率の変化が17%の場合でも、試料1の弾性率は49.6GPa、硬さは4.8GPaであった。試料1は、相対密度に対するヤング率の比率が(49.6/0.83)=59.8で、1.47の屈折率を有する。
例3。PECVD酸化ケイ素表面にインプリント・レジストの液滴を噴射し、時間の経過による液滴径を光学顕微鏡で観察してレジストが膜を透過したかどうかを判定することによって、様々な膜の開放多孔率を比較する試験を行った。表6に列挙された膜が、ウェハを厚さ1/4インチの研磨済み溶融シリカ板によってチャックから離間したまま、DSP3インチ・ウェハ上に付着された。2分間ほぼ同じ直径が維持された液滴(蒸発によりわずかな変化が生じることがある)は、「非ウィッキング材料」と見なされた。表6に示されたように、種々のウィッキング速度が観察された。ウィッキング速度は、表6に列挙されたように蒸着条件により異なることが分かった。充填速度は、ヘリウム・パージ環境で340μm離間された小滴を方形格子に付着させることによって得た厚さ90nmのインプリントから得られた。ウィッキング後でかつ充填試験前に、酸化ケイ素が被覆されたウェハには、(a)インプリント処理中に開放表面孔を塞いでレジストのウィッキングを防ぎ、(b)レジストの定着剤として働くようにTranSpinが塗布された。充填時間は、インプリント面としてよく研磨された膜では、凹凸面のある膜と比較して短くなると予想される。膜の屈折率は、J.A.Woollam M−2000 Dl ellipsometerで測定された。
Figure 0005502095
膜Cは、多孔質であり、さらなる処理(例えば、封止、パターニングおよびフィーチャ・エッチング)のためにキャップ層で被覆されるように意図されている。この膜は、多孔質の第1層(例えば、多孔質中間層)として適した層の例である。多孔性は、表6に示されたより稠密な単一層と比較した測定密度、液滴ウィッキング結果および高速充填時間から明らかである。
膜Dは、膜C上にキャップを有する。第1層と同じ温度のより低い温度のキャップ工程(270℃)が使用された。このより低い温度の工程は、温度が第1層の工程を超えないので、第2層を蒸着する際に第1(中間)層の望ましくない温度変化を減少させることがある。
膜B、E、FおよびGは、335℃で処理されたものであり、すべて非ウィッキング特性を示す。他の処理条件(例えば、ガス流量、圧力および電力)は、表6に示したように変更された。膜にフィーチャをパターニングするにはより稠密なキャップが好ましい。さらに、膜EおよびGは、同じ工程によって形成されるが、膜Eは、膜G(約4μm)の2倍の厚さ(約8μm)である。膜厚は、切断とSEMによる測定によって得られた。
図20Aと図20Bは、膜C上のインプリント・レジストのウィッキングの写真を示す。図20Aの画像は、膜C上にインプリント・レジストがインプリント・レジスト180の液滴として付着された後、ウェハ・ステージが安定した後で撮影された。インプリント・レジスト180の液滴は、膜に素早く浸透する。図20Aの画像の5秒後に撮影された図20Bでは、液滴の輪郭が識別不能となっている。液滴180は、膜を介して拡散される液滴間のガスとして素早く広がる。
図21Aと図21Bは、膜D上のインプリント・レジストの広がりの画像を示す。図21Aの画像は、液滴180が膜上に噴射された後、ウェハ・ステージが安定した後で撮影された。図21Bは、その120秒後に撮影され、液滴180のサイズの実質的な無変化を示す。膜Dは、非ウィッキング膜の例と考えられる。
例4。寸法65×65x6.4mmの溶融シリカ・テンプレートに、ウェハ側よりテンプレート側が高いガス拡散を示すようにPECVD多孔質ケイ素酸化膜が作成された。厚さ約4μmの酸化ケイ素層が、寸法26×32mmと高さ15μmのメサを有する芯無し(cored-out)溶融シリカ・テンプレートの表面に成長された。テンプレートの芯無し領域は、PlasmaTherm 790内のチャック上に配置された直径2インチx厚さ0.25インチの研磨溶融シリカプレート上に設置された。多孔質酸化ケイ素層の蒸着後、インプリント・レジストが酸化物に浸透するのを防ぐために、有機高分子とケイ素含有重合体を多孔質ケイ素酸化膜上にスピンコートして、微細構成を平坦化し、多孔質膜をキャップした。スピンコート工程には、Brewer Science(Rolla、MO)から入手可能なスピンコーターCEE(登録商標)4000が使用された。テンプレートは、100nmのTranSpinでスピンコートされ、被覆側が下向きの状態で160℃、3分間ホットプレート上で近接焼成された。次に、テンプレートは、参照により本明細書に組み込まれる米国特許第7,122,079号に記載された種類の材料と類似の100nmの高ケイ素含有重合体レジストがスピンコートされ、被覆側が下向きの状態で3分間160℃、ホットプレート上で近接焼結された。スピンコート前にテンプレート上にメサがあったので、メサの上面の側面に沿ってエッジ・ビードが形成され、これにより、寸法約20×20mmのさいの目状のシリコン・ウェハ片が、ドライエッチング工程中に、エッジ・ビードを除去し酸化ケイ素層内の新しいメサを画定するマスクとして使用された。次に、ケイ素マスクは除去され、テンプレートは、濡れと開放特性に関してある程度SiOx 特性に影響を与えるように、低電力酸素プラズマに晒されて高ケイ素含有重合体の表面が酸化される。テンプレートは、Trion Technology(Clearwater、FL)から入手可能なOracle IIIエッチャでエッチングされ酸化された。
テンプレートは、ヘリウム・パージ環境で、60nmのTranSpinが被覆された200mmのDSPシリコン・ウェハ上にインプリントされた。Molecular Imprints, Inc.から入手可能なMonoMatインプリント・レジストが、中心間が340μmの近い液滴間隔を有する直線格子パターンで噴射されて、厚さ約90nmのインプリントが作成された。図22Aに示されたように、インプリント・レジスト180の液滴間の隙間領域182が、テンプレートがレジストと接触した時に、顕微鏡カメラによって観察された。図22B、図22Cおよび図22Dの画像はそれぞれ、図22Aの画像の0.3秒、0.7秒、および1.2秒後に撮影された。図22Dで分かるように、隙間領域182は、レジストがテンプレートと接触した1.2秒以内に消失し、その結果、テンプレートの表面が、インプリント・レジストで実質的に覆われた。
図19A〜図19Cに示された写真は、多孔質膜を含まないが前述のように類似の膜スタック上にインプリントされた溶融シリカ・テンプレートを介して撮影された。図19Cは、4秒後に残っている隙間ガス・ポケットを示す。したがって、多孔質酸化ケイ素層が、ヘリウムの迅速な吸収を許可し、その結果、多孔質酸化物層を含まない溶融シリカ・テンプレートによって類似の隙間より3倍以上速く隙間の充填が早くなる。
様々な態様のさらなる修正および代替実施形態は、この説明を鑑みて当業者に明らかになる。従って、この説明は、単なる例示として解釈されるべきである。本明細書に図示され説明された形態が、実施形態の例として解釈されるべきであることを理解されたい。本明細書に図示し説明したものに要素と材料が代用されもよく、部品および工程が反転されてもよく、特定の特徴が個別に利用されてもよく、これらは全て、本明細書の恩恵を得る当業者には後で明らかになるであろう。以下の特許請求の範囲に述べたような趣旨と範囲から逸脱することなく本明細書に述べた要素に変更が行われてもよい。
18,100 インプリント・リソグラフィ・テンプレート;
61,63,106 キャップ層; 62,102 基層;
64,103 多孔質層; 104 エッチング停止層。

Claims (21)

  1. インプリント・リソグラフィ・テンプレート(18,100)であって、
    少なくとも約0.4nmの平均孔径を有する多数の孔を画定する多孔質材料を含み、
    前記多孔質材料が、ケイ素と酸素を含み、
    前記多孔質材料の屈折率が、約1.4〜約1.5であり、
    溶融シリカを基準にした多孔質材料の相対密度(ρporousG/ρfusede silica)に対するヤング率(E,GPa)の比率が、少なくとも約10:1である、インプリント・リソグラフィ・テンプレート。
  2. 前記多孔質材料の前記ヤング率が、少なくとも約5GPa、少なくとも約10GPa、または少なくとも約20GPaである、請求項1に記載のインプリント・リソグラフィ・テンプレート。
  3. 前記溶融シリカを基準にした前記多孔質材料の前記相対密度が、少なくとも約50%または少なくとも約65%である、請求項1または2に記載のインプリント・リソグラフィ・テンプレート。
  4. 前記多孔質材料が、SiOxを含み、1≦x≦2.5である、請求項1〜3のいずれか1項に記載のインプリント・リソグラフィ・テンプレート。
  5. 前記孔が、相互接続された、請求項1〜4のいずれか1項に記載のインプリント・リソグラフィ・テンプレート。
  6. 前記テンプレートが、さらに、基層(62,102)を含み、前記多孔質材料が、前記基層とキャップ層61,63,106)の間に中間層(64,103)を形成する、請求項1〜5のいずれか1項に記載のインプリント・リソグラフィ・テンプレート。
  7. 前記多孔質材料の応力が、圧縮力を無効にする、請求項6に記載のインプリント・リソグラフィ・テンプレート。
  8. 前記多孔質材料が、不均一な多孔率勾配を有する、請求項6または7に記載のインプリント・リソグラフィ・テンプレート。
  9. 前記キャップ層(61,63,105)に付着された封止層(59)をさらに含み、前記封止層が、前記封止層と接するヘリウム・ガスを透過し、かつヘリウムより大きい化学種を実質的に透過しない、請求項6〜8のいずれか1項に記載のインプリント・リソグラフィ・テンプレート。
  10. 前記封止層が、前記多孔質層(64,103)と前記キャップ層(61,63,106)の間に位置決めされた、請求項9に記載のインプリント・リソグラフィ・テンプレート。
  11. 前記封止層(59)の厚さが、約10nm未満、約5nm未満、約3nm未満、または約1nm未満である、請求項9または10に記載のインプリント・リソグラフィ・テンプレート。
  12. インプリント・リソグラフィ・テンプレート(18,100)を形成する方法であって、
    前記インプリント・リソグラフィ・テンプレート(18,103)の表面に、少なくとも約0.4nmの平均孔径を有する多数の孔を画定する多孔質層(64,103)を形成する段階を含み、
    前記多孔質材料が、酸素とシリコンを含み、
    前記多孔質材料の屈折率が、約1.4〜約1.5であり、
    溶融シリカを基準にした多孔質材料の相対密度(ρporousG/ρfusede silica)に対するヤング率(E,GPa)の比率が、少なくとも約10:1である、インプリント・リソグラフィ・テンプレートを形成する方法。
  13. 前記多孔質層(64,103)上に第2層(59,63,105)を形成する段階をさらに含む、請求項12に記載の方法。
  14. 前記多孔質層(64,103)をエッチングする段階をさらに含む、請求項12または13に記載の方法。
  15. 前記多孔質層(64,103)を形成する段階が、蒸着工程を含む、請求項12〜14のいずれか1項に記載の方法。
  16. 前記インプリント・リソグラフィ・テンプレート(18,100)の表面と前記多孔質層(64,103)との間にエッチング停止層(104)を形成する段階をさらに含む、請求項12〜15のいずれか1項に記載の方法。
  17. 前記多孔質層(64,103)の表面に封止層(59)を形成する段階をさらに含む、請求項12〜16のいずれか1項に記載の方法。
  18. 前記封止層(59)の表面にキャップ層(61,63,106)を形成する段階をさらに含む、請求項17に記載の方法。
  19. 前記インプリント・リソグラフィ・テンプレート(18,100)の表面と前記多孔質層(64,103)との間にマーカー領域(107)を形成する段階をさらに含む、請求項12〜18のいずれか1項に記載の方法。
  20. 前記多孔質層(64,103)の化学機械的平坦化をさらに含む、請求項12〜19のいずれか1項に記載の方法。
  21. 前記多孔質層(64,103)の多孔率が、不均一である、請求項12〜20のいずれか1項に記載の方法。
JP2011533182A 2008-10-23 2009-10-23 高歩留まりナノインプリント・リソグラフィ・テンプレートの製造 Active JP5502095B2 (ja)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US10772008P 2008-10-23 2008-10-23
US61/107,720 2008-10-23
US11005108P 2008-10-31 2008-10-31
US61/110,051 2008-10-31
US22739509P 2009-07-21 2009-07-21
US61/227,395 2009-07-21
US12/604,094 US20100104852A1 (en) 2008-10-23 2009-10-22 Fabrication of High-Throughput Nano-Imprint Lithography Templates
US12/604,094 2009-10-22
PCT/US2009/005775 WO2010047821A1 (en) 2008-10-23 2009-10-23 Fabrication of high-throughput nano-imprint lithography templates

Publications (2)

Publication Number Publication Date
JP2012507140A JP2012507140A (ja) 2012-03-22
JP5502095B2 true JP5502095B2 (ja) 2014-05-28

Family

ID=42117806

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011533182A Active JP5502095B2 (ja) 2008-10-23 2009-10-23 高歩留まりナノインプリント・リソグラフィ・テンプレートの製造

Country Status (4)

Country Link
US (1) US20100104852A1 (ja)
JP (1) JP5502095B2 (ja)
TW (1) TWI402160B (ja)
WO (1) WO2010047821A1 (ja)

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060081557A1 (en) 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US8389048B2 (en) * 2006-02-10 2013-03-05 Showa Denko K.K. Magnetic recording medium, method for production thereof and magnetic recording and reproducing device
JP4634354B2 (ja) * 2006-09-22 2011-02-16 昭和電工株式会社 磁気記録媒体の製造方法
SG185929A1 (en) * 2007-11-21 2012-12-28 Molecular Imprints Inc Porous template and imprinting stack for nano-imprint lithography
US20100072671A1 (en) * 2008-09-25 2010-03-25 Molecular Imprints, Inc. Nano-imprint lithography template fabrication and treatment
US8470188B2 (en) * 2008-10-02 2013-06-25 Molecular Imprints, Inc. Nano-imprint lithography templates
US20100109201A1 (en) * 2008-10-31 2010-05-06 Molecular Imprints, Inc. Nano-Imprint Lithography Template with Ordered Pore Structure
KR101596375B1 (ko) * 2008-12-04 2016-02-26 에이에스엠엘 네델란즈 비.브이. 임프린트 리소그래피 장치 및 방법
US20110148008A1 (en) * 2009-12-23 2011-06-23 National Cheng Kung University Micro-nano imprint mould and imprinting process
WO2011094317A2 (en) * 2010-01-26 2011-08-04 Molecular Imprints, Inc. Micro-conformal templates for nanoimprint lithography
WO2011094696A2 (en) * 2010-01-29 2011-08-04 Molecular Imprints, Inc. Ultra-compliant nanoimprint lithography template
JP5806121B2 (ja) * 2010-02-03 2015-11-10 旭硝子株式会社 微細凹凸構造を表面に有する物品の製造方法
JP5185312B2 (ja) * 2010-03-19 2013-04-17 株式会社東芝 パターン形成方法
JP5618588B2 (ja) 2010-03-24 2014-11-05 キヤノン株式会社 インプリント方法
JP5504054B2 (ja) * 2010-05-27 2014-05-28 株式会社東芝 インプリントマスク、その製造方法、及び半導体装置の製造方法
JP5491997B2 (ja) * 2010-07-07 2014-05-14 株式会社東芝 テンプレートの製造方法および半導体装置の製造方法
US8541053B2 (en) 2010-07-08 2013-09-24 Molecular Imprints, Inc. Enhanced densification of silicon oxide layers
JP2012074556A (ja) * 2010-09-29 2012-04-12 Fujifilm Corp ナノインプリントモールド、その製造方法およびそれを用いたナノインプリント方法
US8232026B2 (en) * 2010-10-14 2012-07-31 Ford Global Technologies, Llc Bipolar plates for electrochemical cells
KR20140003495A (ko) * 2010-12-20 2014-01-09 어플라이드 머티어리얼스, 인코포레이티드 집적 손상 내성을 개선하기 위한 인-시튜 저-k 캡핑
JP2012204428A (ja) * 2011-03-24 2012-10-22 Toshiba Corp パターン形成方法
US9616614B2 (en) 2012-02-22 2017-04-11 Canon Nanotechnologies, Inc. Large area imprint lithography
JP2014027006A (ja) * 2012-07-24 2014-02-06 Disco Abrasive Syst Ltd ウエーハの加工方法
JP6123304B2 (ja) * 2013-01-18 2017-05-10 大日本印刷株式会社 テンプレート用積層基板、テンプレートブランク、ナノインプリント用テンプレート、および、テンプレート基板の再生方法、並びに、テンプレート用積層基板の製造方法
US20140212534A1 (en) * 2013-01-30 2014-07-31 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
TWI628516B (zh) * 2013-03-15 2018-07-01 分子壓模公司 採用具有金屬或氧化物塗層之可重複使用聚合物模板的奈米壓印技術
WO2014204450A1 (en) * 2013-06-19 2014-12-24 Hewlett-Packard Development Company, L.P. Compositions for three-dimensional (3d) printing
JP2015005760A (ja) * 2014-07-31 2015-01-08 キヤノン株式会社 インプリント装置、および物品の製造方法
US10026609B2 (en) 2014-10-23 2018-07-17 Board Of Regents, The University Of Texas System Nanoshape patterning techniques that allow high-speed and low-cost fabrication of nanoshape structures
JP5989177B2 (ja) * 2015-04-20 2016-09-07 キヤノン株式会社 インプリント装置、および物品の製造方法
US20210239215A1 (en) * 2015-07-17 2021-08-05 The Patent Well LLC Tacky polyurethane composites
US20170066208A1 (en) 2015-09-08 2017-03-09 Canon Kabushiki Kaisha Substrate pretreatment for reducing fill time in nanoimprint lithography
CN108026330B (zh) * 2015-09-08 2020-12-22 佳能株式会社 在纳米压印光刻中的基材预处理和蚀刻均匀性
US10488753B2 (en) 2015-09-08 2019-11-26 Canon Kabushiki Kaisha Substrate pretreatment and etch uniformity in nanoimprint lithography
JP6655988B2 (ja) 2015-12-25 2020-03-04 キヤノン株式会社 インプリント装置の調整方法、インプリント方法および物品製造方法
US10095106B2 (en) 2016-03-31 2018-10-09 Canon Kabushiki Kaisha Removing substrate pretreatment compositions in nanoimprint lithography
US10134588B2 (en) 2016-03-31 2018-11-20 Canon Kabushiki Kaisha Imprint resist and substrate pretreatment for reducing fill time in nanoimprint lithography
US10620539B2 (en) 2016-03-31 2020-04-14 Canon Kabushiki Kaisha Curing substrate pretreatment compositions in nanoimprint lithography
US10509313B2 (en) * 2016-06-28 2019-12-17 Canon Kabushiki Kaisha Imprint resist with fluorinated photoinitiator and substrate pretreatment for reducing fill time in nanoimprint lithography
CN117124507A (zh) * 2016-08-26 2023-11-28 分子印记公司 制造单片光子器件的方法、光子器件
WO2018140811A1 (en) 2017-01-27 2018-08-02 Arizona Board Of Regents On Behalf Of Arizona State University Electrochemical imprinting of micro- and nano-structures in porous silicon, silicon, and other semiconductors
US10317793B2 (en) * 2017-03-03 2019-06-11 Canon Kabushiki Kaisha Substrate pretreatment compositions for nanoimprint lithography
US10074559B1 (en) 2017-03-07 2018-09-11 Applied Materials, Inc. Selective poreseal deposition prevention and residue removal using SAM
US10303049B2 (en) * 2017-03-22 2019-05-28 Canon Kabushiki Kaisha Reducing electric charge in imprint lithography
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10895806B2 (en) * 2017-09-29 2021-01-19 Canon Kabushiki Kaisha Imprinting method and apparatus
US10002771B1 (en) * 2017-10-10 2018-06-19 Applied Materials, Inc. Methods for chemical mechanical polishing (CMP) processing with ozone
KR102383721B1 (ko) * 2017-10-20 2022-04-08 매직 립, 인코포레이티드 임프린트 리소그래피 프로세스들에서의 광학 층들의 구성
JP7267274B2 (ja) 2017-11-02 2023-05-01 マジック リープ, インコーポレイテッド ポリマー材料の調製および分注ならびにそれからのポリマー物品の生産
CN115632104A (zh) * 2017-12-20 2023-01-20 宁德时代新能源科技股份有限公司 一种负极极片,其制备方法及电化学装置
US11126083B2 (en) 2018-01-24 2021-09-21 Canon Kabushiki Kaisha Superstrate and a method of using the same
CN111670491A (zh) 2018-01-31 2020-09-15 朗姆研究公司 静电卡盘(esc)基座电压隔离
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
CN109307697B (zh) * 2018-11-05 2021-02-23 济南大学 一种检测吡喹酮的电致化学发光传感电极的制备方法及应用
US11315789B2 (en) 2019-04-24 2022-04-26 Tokyo Electron Limited Method and structure for low density silicon oxide for fusion bonding and debonding
JP7041699B2 (ja) * 2020-01-31 2022-03-24 キヤノン株式会社 インプリント装置の情報出力方法、インプリント装置、情報出力方法および装置
WO2022138331A1 (ja) * 2020-12-22 2022-06-30 キヤノン株式会社 膜形成方法および物品製造方法
CN113204169A (zh) * 2021-04-12 2021-08-03 新沂崚峻光电科技有限公司 一种新型压印膜的制备方法

Family Cites Families (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4714810A (en) * 1986-07-28 1987-12-22 Arizona Board Of Regents Means and methods for heating semiconductor ribbons and wafers with microwvaes
US5028511A (en) * 1989-05-30 1991-07-02 E. I. Du Pont De Nemours And Company Process for preparing a precolored image using photosensitive reproduction element containing a photorelease layer
US5792550A (en) * 1989-10-24 1998-08-11 Flex Products, Inc. Barrier film having high colorless transparency and method
US5066231A (en) * 1990-02-23 1991-11-19 Minnesota Mining And Manufacturing Company Dental impression process using polycaprolactone molding composition
FR2693727B1 (fr) * 1992-07-20 1994-08-19 Ceramiques Tech Soc D Polycondensat organo-minéral et procédé d'obtention.
JP2989453B2 (ja) * 1993-11-30 1999-12-13 三菱鉛筆株式会社 連続気孔を有する多孔性ゴム印材
US5928767A (en) * 1995-06-07 1999-07-27 Dexter Corporation Conductive film composite
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
DE69826148T2 (de) * 1997-12-09 2005-09-22 SBA Materials, Inc., Santa Barbara Blockcopolymerverarbeitung für mesostrukturierte anorganische oxidmaterialien
AU3386599A (en) * 1998-04-15 1999-11-01 Etec Systems, Inc. Photoresist developer and method of development
US6435948B1 (en) * 2000-10-10 2002-08-20 Beaver Creek Concepts Inc Magnetic finishing apparatus
US6329017B1 (en) * 1998-12-23 2001-12-11 Battelle Memorial Institute Mesoporous silica film from a solution containing a surfactant and methods of making same
US6238798B1 (en) * 1999-02-22 2001-05-29 3M Innovative Properties Company Ceramer composition and composite comprising free radically curable fluorochemical component
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
KR100335070B1 (ko) * 1999-04-21 2002-05-03 백승준 압축 성형 기법을 이용한 미세 패턴 형성 방법
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
KR20020063300A (ko) * 2000-01-21 2002-08-01 미쓰이 가가쿠 가부시키가이샤 올레핀계 블록 공중합체, 그 제조방법 및 그 용도
US6465365B1 (en) * 2000-04-07 2002-10-15 Koninklijke Philips Electronics N.V. Method of improving adhesion of cap oxide to nanoporous silica for integrated circuit fabrication
US6573131B2 (en) * 2000-07-13 2003-06-03 The Regents Of The University Of California Silica zeolite low-k dielectric thin films and methods for their production
US6500755B2 (en) * 2000-12-06 2002-12-31 Advanced Micro Devices, Inc. Resist trim process to define small openings in dielectric layers
US6660245B1 (en) * 2001-02-13 2003-12-09 Novellus Systems, Inc. Methods for detemplating zeolites and silicalites for use in integrated circuit manufacture
US20040065979A1 (en) * 2001-02-26 2004-04-08 Wang James C. Injector tip-and-die assembly construction and method
US7141188B2 (en) * 2001-05-30 2006-11-28 Honeywell International Inc. Organic compositions
KR100429200B1 (ko) * 2001-06-11 2004-05-03 주식회사 하이닉스반도체 불휘발성 강유전체 메모리 장치의 칼럼 구제회로 및 그구제방법
US6483174B1 (en) * 2001-08-16 2002-11-19 Jds Uniphase Corporation Apparatus and method for dicing and testing optical devices, including thin film filters
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US20030127002A1 (en) * 2002-01-04 2003-07-10 Hougham Gareth Geoffrey Multilayer architechture for microcontact printing stamps
TWI339680B (en) * 2002-02-19 2011-04-01 Kanto Kagaku Washing liquid composition for semiconductor substrate
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6824378B2 (en) * 2002-05-31 2004-11-30 3M Innovative Properties Company Microreplication tool with gas release features
US20080160129A1 (en) * 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6936194B2 (en) * 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
JP2004098647A (ja) * 2002-09-10 2004-04-02 Pao Feng Lee 色彩物の加工製造方法
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
JP4170735B2 (ja) * 2002-11-13 2008-10-22 信越化学工業株式会社 ゼオライトゾルとその製造方法、多孔質膜形成用組成物、多孔質膜とその製造方法、層間絶縁膜及び半導体装置
US6790790B1 (en) * 2002-11-22 2004-09-14 Advanced Micro Devices, Inc. High modulus filler for low k materials
US6960327B2 (en) * 2003-01-30 2005-11-01 The Regents Of The University Of California Methods for removing organic compounds from nano-composite materials
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7179396B2 (en) * 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US7396475B2 (en) * 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US7157036B2 (en) * 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
TWI240648B (en) * 2003-09-30 2005-10-01 Univ Nat Central Method for making transparent zeolite film and structure of the zeolite film
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
WO2005082277A1 (en) * 2004-02-18 2005-09-09 Stanford University Drug delivery systems using mesoporous oxide films
US8076386B2 (en) * 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US20050230882A1 (en) * 2004-04-19 2005-10-20 Molecular Imprints, Inc. Method of forming a deep-featured template employed in imprint lithography
WO2005104756A2 (en) * 2004-04-27 2005-11-10 The Board Of Trustees Of The University Of Illinois Composite patterning devices for soft lithography
US20080055581A1 (en) * 2004-04-27 2008-03-06 Rogers John A Devices and methods for pattern generation by ink lithography
FR2869601B1 (fr) * 2004-04-28 2006-06-09 Commissariat Energie Atomique Moule pour la nano-impression, procede de fabrication d'un tel moule et utilisation d'un tel moule
US7629272B2 (en) * 2004-06-07 2009-12-08 Axcelis Technologies, Inc. Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
US7422776B2 (en) * 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
JP4893905B2 (ja) * 2004-08-31 2012-03-07 独立行政法人産業技術総合研究所 ゼオライト用原料液体、ゼオライト結晶作成方法、ゼオライト用原料液体の作成方法、およびゼオライト薄膜
CN101036086B (zh) * 2004-09-08 2011-01-19 尼尔技术有限责任公司 挠性纳米压印模板
US20060081557A1 (en) * 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US7303989B2 (en) * 2004-11-22 2007-12-04 Intel Corporation Using zeolites to improve the mechanical strength of low-k interlayer dielectrics
WO2006060757A2 (en) * 2004-12-01 2006-06-08 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
US7365375B2 (en) * 2005-03-25 2008-04-29 Intel Corporation Organic-framework zeolite interlayer dielectrics
KR100744068B1 (ko) * 2005-04-29 2007-07-30 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 제조 방법
JP4290177B2 (ja) * 2005-06-08 2009-07-01 キヤノン株式会社 モールド、アライメント方法、パターン形成装置、パターン転写装置、及びチップの製造方法
US7217629B2 (en) * 2005-07-15 2007-05-15 International Business Machines Corporation Epitaxial imprinting
JP4330168B2 (ja) * 2005-09-06 2009-09-16 キヤノン株式会社 モールド、インプリント方法、及びチップの製造方法
JP2007119309A (ja) * 2005-10-28 2007-05-17 Asahi Glass Co Ltd 処理基材の製造方法
US7381659B2 (en) * 2005-11-22 2008-06-03 International Business Machines Corporation Method for reducing film stress for SiCOH low-k dielectric materials
FR2893610B1 (fr) * 2005-11-23 2008-07-18 Saint Gobain Procede de structuration de surface d'un produit verrier, produit verrier a surface structuree et utilisations
US20070123059A1 (en) * 2005-11-29 2007-05-31 Haverty Michael G Methods of internal stress reduction in dielectric films with chemical incorporation and structures formed thereby
US7947579B2 (en) * 2006-02-13 2011-05-24 Stc.Unm Method of making dense, conformal, ultra-thin cap layers for nanoporous low-k ILD by plasma assisted atomic layer deposition
JP4872052B2 (ja) * 2006-04-12 2012-02-08 独立行政法人産業技術総合研究所 微細金型コア部材
US20070287294A1 (en) * 2006-06-08 2007-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structures and methods for fabricating the same
US20080000373A1 (en) * 2006-06-30 2008-01-03 Maria Petrucci-Samija Printing form precursor and process for preparing a stamp from the precursor
KR100831046B1 (ko) * 2006-09-13 2008-05-21 삼성전자주식회사 나노 임프린트용 몰드 및 그 제조 방법
TW200826319A (en) * 2006-12-14 2008-06-16 Univ Nat Central LED with micron and nano structure
TWI339881B (en) * 2007-02-15 2011-04-01 Via Tech Inc Chip package
US8025932B2 (en) * 2007-02-21 2011-09-27 Colorado School Of Mines Self-limiting thin film synthesis achieved by pulsed plasma-enhanced chemical vapor deposition
US20100108639A1 (en) * 2007-03-30 2010-05-06 Pioneer Corporation Imprinting mold and method of producing imprinting mold
SG185929A1 (en) * 2007-11-21 2012-12-28 Molecular Imprints Inc Porous template and imprinting stack for nano-imprint lithography
US20090266418A1 (en) * 2008-02-18 2009-10-29 Board Of Regents, The University Of Texas System Photovoltaic devices based on nanostructured polymer films molded from porous template
US20100072671A1 (en) * 2008-09-25 2010-03-25 Molecular Imprints, Inc. Nano-imprint lithography template fabrication and treatment
US8470188B2 (en) * 2008-10-02 2013-06-25 Molecular Imprints, Inc. Nano-imprint lithography templates
US20100109201A1 (en) * 2008-10-31 2010-05-06 Molecular Imprints, Inc. Nano-Imprint Lithography Template with Ordered Pore Structure
WO2011094317A2 (en) * 2010-01-26 2011-08-04 Molecular Imprints, Inc. Micro-conformal templates for nanoimprint lithography
WO2011094696A2 (en) * 2010-01-29 2011-08-04 Molecular Imprints, Inc. Ultra-compliant nanoimprint lithography template

Also Published As

Publication number Publication date
JP2012507140A (ja) 2012-03-22
TW201024077A (en) 2010-07-01
US20100104852A1 (en) 2010-04-29
TWI402160B (zh) 2013-07-21
WO2010047821A1 (en) 2010-04-29

Similar Documents

Publication Publication Date Title
JP5502095B2 (ja) 高歩留まりナノインプリント・リソグラフィ・テンプレートの製造
JP5727788B2 (ja) ナノインプリント・リソグラフィ用の多孔質テンプレートおよびインプリント用スタック
US8470188B2 (en) Nano-imprint lithography templates
US8394282B2 (en) Adaptive nanotopography sculpting
US20100109201A1 (en) Nano-Imprint Lithography Template with Ordered Pore Structure
US7179396B2 (en) Positive tone bi-layer imprint lithography method
KR101851393B1 (ko) 산화규소 층의 증진된 치밀화
US20100072671A1 (en) Nano-imprint lithography template fabrication and treatment
TW201529506A (zh) 用於紫外線微影的玻璃陶瓷及其製造方法
US20140212534A1 (en) Fabrication of High-Throughput Nano-Imprint Lithography Templates
JP2019140394A (ja) スーパーストレート
US20100084333A1 (en) Fabrication of reinforced nanoporous membranes
US11198235B2 (en) Flexible mask modulation for controlling atmosphere between mask and substrate and methods of using the same
TWI409583B (zh) 用於奈米壓印微影術之多孔性模板及壓印堆疊體
JP2021532407A (ja) 少なくとも1つの湾曲したパターンを有する構造体を製造するための方法
TWI423306B (zh) 適應性奈米形貌刻蝕技術
Sreenivasan et al. Adaptive nanotopography sculpting

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20121018

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131210

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140108

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140212

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140312

R150 Certificate of patent or registration of utility model

Ref document number: 5502095

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250