TWI402160B - 高通量奈米壓印微影術模板之製造技術 - Google Patents

高通量奈米壓印微影術模板之製造技術 Download PDF

Info

Publication number
TWI402160B
TWI402160B TW98135932A TW98135932A TWI402160B TW I402160 B TWI402160 B TW I402160B TW 98135932 A TW98135932 A TW 98135932A TW 98135932 A TW98135932 A TW 98135932A TW I402160 B TWI402160 B TW I402160B
Authority
TW
Taiwan
Prior art keywords
layer
porous
template
substrate
gas
Prior art date
Application number
TW98135932A
Other languages
English (en)
Other versions
TW201024077A (en
Inventor
Edward B Fletcher
Frank Y Xu
Weijun Liu
Fen Wan
Marlon Menezes
Kosta S Selinidis
Original Assignee
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Molecular Imprints Inc filed Critical Molecular Imprints Inc
Publication of TW201024077A publication Critical patent/TW201024077A/zh
Application granted granted Critical
Publication of TWI402160B publication Critical patent/TWI402160B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0017Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor for the production of embossing, cutting or similar devices; for the production of casting means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249978Voids specified as micro
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/268Monolayer with structurally defined element

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

高通量奈米壓印微影術模板之製造技術 參考相關申請案
此申請案係依35 U.S.C.§119(e)(1)對於2008年10月23日提申的美國臨時申請案No.61/107,720;2008年10月31日提申的61/110,051;及2009年7月21日提申的61/227,395作權利主張,其皆被合併於本文中以供參考。
發明領域
本發明係有關高通量奈米壓印微影術模板,及其製造技術。
發明背景
奈米製造係包括製造具有100奈米或更小級數的特徵構造之很小結構。奈米製造已發揮顯著影響之一應用係在於積體電路的加工。半導體加工業不斷致力於更高的生產良率同時增加一基材上所形成之每單位面積的電路數;因此奈米製造變得益加重要。奈米製造係提供更大的製程控制,同時容許繼續降低所形成結構的最小值特徵構造維度。已採用奈米製造的其他發展領域係包括生物科技、光學科技、機械系統及類似領域。
發明概要
一態樣中,一壓印微影術模板係包括一多孔材料,其界定多數個具有至少約0.4nm平均孔隙尺寸之孔隙。多孔材料包括矽及氧。多孔材料的折射率係為約1.4至約1.5之間,而楊氏模數(Young’s modulus)(E)相對於多孔材料對於熔合矽土的相對密度(ρ多孔熔合矽土 )之一比值係為至少約10:1。
實行方式可包括下列特徵構造的一或多者。譬如,多孔材料的楊氏模數可為至少約2GPa,至少約5GPa,至少約10GPa,或至少約20GPa。多孔材料相對於熔合矽土的相對密度可為至少約50%或至少約65%。多孔材料可包括SiOx ,且1≦x≦2.5。孔隙可實質呈關閉或互連。互連的孔隙可形成多孔材料中的通路。
部分案例中,模板進一步包括一基底層及一蓋覆層,而多孔材料形成基底層與蓋覆層之間的一層。蓋覆層可為多孔性。蓋覆層可被蝕刻或圖案化以使突件從蓋覆層的一表面延伸。基底層可包括熔合矽土。多孔材料中的應力可使壓縮為無作用。多孔材料或多孔層的孔隙性可能不均勻或不對稱。多孔材料可具有一不均勻的孔隙性梯度。可藉由在一多孔層形成期間改變一或多項參數來達成一不均勻的孔隙層。待改變的參數可為一氣相沉積製程參數。一氣相沉積製程可包括原子層沉積。部分案例中,一壓印微影術模板可包括基底層與多孔層之間的一或多層(譬如,一黏著層)。
一多孔層的孔隙性(譬如,一基底層與一蓋覆層之間)可介於從約0.1%至約60%(譬如,約1%至約20%,或約5%至約15%)。部分案例中,一多孔層的孔隙性可為至少約10%,或至少約20%。一蓋覆層的孔隙性可介於從約0.1%至約20%(譬如,從約1%至約20%,或從約3%至約15%)。
模板可進一步包括一被黏著至蓋覆層之密封層。密封層可能可滲透與密封層接觸之氦氣且實質不可滲透大於氦的物種。密封層可包括氧化矽。密封層可被定位於多孔層與蓋覆層之間。密封層可為正形性(conformal)及/或具有均勻厚度。密封層的厚度可小於約10nm,小於約5nm,小於約3nm,或約為孔隙半徑的兩倍。部分案例中,可選擇密封層使其與一脫模劑交互作用。
另一態樣中,形成一壓印微影術模板係包括形成一層多孔材料於一壓印微影術模板的一表面上。多孔層係界定多數個具有至少約0.4nm平均孔隙尺寸之孔隙。多孔材料包括矽及氧。多孔材料的折射率係為約1.4至約1.5之間,而楊氏模數(E)相對於多孔材料對於熔合矽土的相對密度(ρ多孔熔合矽土 )之一比值係為至少約10:1。
部分實行方式中,一第二層可形成於多孔層上。部分案例中,多孔層可被蝕刻形成一圖案狀層。形成多孔層係可包括蝕刻多孔層。形成多孔層可包括一氣相沉積製程,諸如電漿增強式化學氣相沉積。多孔層的孔隙性可實質呈均勻或不均勻。譬如,孔隙性可為不對稱、或者孔隙性梯度可為不均勻,使得待蝕刻的層之一部分比起該層其他部分呈現更低的多孔性。
一蝕刻停止層可形成於壓印微影術模板的表面與多孔層之間。一密封層可形成於多孔層的表面上。一蓋覆層可形成於密封層的一表面上。或者,一蓋覆層可形成於多孔層上,而一密封層可形成於蓋覆層上。部分案例中,多孔層被蝕刻形成一圖案狀層。一標記區可形成於壓印微影術模板的表面與多孔層之間。標記區可作為基底層上的一薄膜光學度量標記。部分案例中,形成多孔層之時可遮罩住一基底層的一區以生成一凹部於多孔層中形成膜厚度度量。部分案例中,可譬如利用一化學機械平面化製程拋光一多孔層(譬如,一中間多孔層或一多孔蓋覆層)。部分案例中,可在一多孔層或一基底層中蝕刻一台面。
另一態樣中,形成一層於一壓印微影術模板上係包括將一形成有多數個孔隙之壓印微影術模板定位在一真空室中,第一次排空該室,以一第一惰性氣體吹洗該室,及第二次排空該室。隨後可以一第二惰性氣體飽和該室。一含矽氣體及一或多種其他氣體可被導入室中,且可引發一電漿製程以沉積一含矽層於壓印微影術模板的表面上。此製程係在含矽層沉積於多孔層上之前實質地以一惰性氣體來充填壓印微影術模板之多孔層中的孔隙。由於多孔層中的孔隙充填有惰性氣體,可抑制用來形成含矽層的反應物擴散至多孔層中並阻塞住孔隙,而改變了多孔層的化學及物理本質。因此,多孔層保持實質呈均勻,且不會在接近含矽層之處變成較為密集。
一態樣中,一壓印微影術模板係包括一第一層及一第二層。第二層是一壓印微影術模板的一圖案狀層。兩或更多個中間層被定位於第一層與第二層之間。中間層的至少一者係為一多孔層,且中間層的至少一者係為一應力減除層,其被組構為可降低一作用在多孔中間層上的力。另一態樣中,一壓印微影術模板係包括一第一層、一第二層、及一被定位於第一層與第二層之間的中間層。第二層是一壓印微影術模板的一圖案狀層,而中間層被組構為可降低一作用在圖案狀第二層上的力。另一態樣中,一壓印微影術模板係包括一第一層以及位於第一層上之一或多層。該一或多層的至少一者為多孔性。一應力減除層可被定位於模板的背側上以對抗由第一層上的一或多層所產生之一力。
部分實行方式中,第一層是一基底層,而第二層是一頂層。頂層可為一蓋覆層。應力減除層提供一壓縮力,而壓縮力係降低一作用在多孔中間層上之拉力。其他實行方式中,應力減除層提供一拉力,而拉力降低一作用在多孔中間層上之壓縮力。部分案例中,在諸如分離期間的模板彎折等靜態及動態期間,多孔中間層中係維持使壓縮應力無作用狀態。
多孔中間層可被定位於兩應力減除層之間,應力減除層可被定位於兩多孔中間層之間,或其任何組合。應力減除層可包括一金屬、金屬氧化物、金屬氮化物、或金屬碳化物。部分案例中,應力減除層係為多孔性(亦即,比熔合矽土更為多孔或更不密集)。
一態樣中,一壓印微影術模板係包括一第一層、一第二層、及一被定位於壓印微影術模板的第一層與第二層之間的中間層。中間層被組構為可容許以中間層與第二層之間的物理性質差異為基礎來評估第二層的厚度。
部分實行方式中,第一層是一基底層,而第二層是一頂層或蓋覆層。中間層可為一蝕刻停止層。中間層可包括一金屬、金屬氧化物、金屬碳化物、或金屬氮化物。中間層可對於頂層提供應力減除。物理性質可為一光學性質,諸如透射比或反射係數。部分案例中,中間層是非連續性。亦即,中間層可包括一或多個分離的區(譬如,標記區)。中間層的厚度可小於約30nm,小於約20nm,小於約10nm,小於約5nm,或小於約3nm。因此,中間層縱使不連續,仍可能未對於第二層導入可察覺的擾動。部分案例中,第二層可被拋光形成一實質呈平坦的表面。當使用標記區時,該等區可位居一壓印微影術模板或台面的圖案狀部分所佔用區域之外。
此處所描述的態樣及實行方式可以上述以外的方式被合併。將從下文詳細描述、圖式及申請專利範圍得知其他態樣、特徵構造及優點。
圖式簡單說明
第1圖顯示一微影性系統的簡化側視圖;第2圖顯示其上設有一圖案狀層之第1圖所示的基材之簡化側視圖;第3圖顯示被困陷於一基材與一模板之間的一氣體囊袋之側視圖;第4圖顯示一具有一多孔層之模板的側視圖;第5圖顯示一具有一不對稱多孔層之模板;第6圖顯示一單元性多孔模板;第7圖顯示一不含基底層之多孔模板;第8A圖顯示一具有一經密封蓋覆層之多孔模板;第8B圖顯示一具有一經密封多孔層之多孔模板;第9圖為一用於形成一蓋覆層於一多孔層上而在多孔層中具有降低的孔隙阻塞之製程的流程圖;第10圖顯示形成一蓋覆層於一多孔層上而多孔層具有降低的阻塞;第11圖顯示一具有與一多孔層相關聯的拉應力之模板的側視圖;第12圖顯示一具有一多孔層及一減除層之模板的側視圖;第13A及13B圖顯示一具有一多孔層及多重的減除層之模板的側視圖;第14圖顯示一具有多重的多孔材料及多重的減除層之模板的側視圖;第15A及15B圖顯示添加與模具相對的一應力減除層之一奈米壓印微影術模板上的應力降低;第16圖顯示一具有一蝕刻停止層之奈米壓印微影術模板;第17A及17B圖顯示一具有一作為度量標記的標記區之奈米壓印微影術模板;第18A及18B圖為顯示一基材與一具有一多孔中間層的模板之間的壓印阻劑分散之照片;第19A、19B及19C圖為顯示一基材與一不含多孔層的模板之間的壓印阻劑分散之照片;第20A及20B圖為顯示壓印阻劑快速芯吸至一多孔模板內之照片;第21A及21B圖為顯示壓印阻劑緩慢芯吸至一具有一多孔層及一蓋覆層的模板內之照片;第22A至22D圖為顯示當滴粒分散時與一模板接觸的滴粒之間的空隙充填之照片。
較佳實施例之詳細說明
現今使用的一種示範性奈米製造技術常稱為壓印微影術。示範性壓印微影術製程係詳述於許多公開文件中,諸如美國專利申請案公告No.2004/0065976、美國專利申請案公告No.2004/0065252及美國專利案No.6,936,194,其皆被合併於本文中以供參考。
各上述美國專利申請案公告及專利案所揭露的一壓印微影術技術係包括形成一浮雕圖案於一可成形(可聚合化)層中並將一與該浮雕圖案呈現對應的圖案轉移至一下屬基材中。基材可被耦合至一動作階台來獲得一所想要定位以利於圖案化製程。圖案化製程係使用一與基材分開之模板以及被施加於模板與基材之間的可成形液體。可成形液體係被固體化形成一剛性層,剛性層具有一符合於與可成形液體接觸之模板的表面形狀之圖案。固體化之後,模板係自剛性層分離以使模板及基材分開。基材及經固體化層隨後接受額外製程以將與經固體化層中的圖案呈現對應之一浮雕影像轉移至基材中。
參照第1圖,其中顯示一用以形成一浮雕圖案於基材12上之微影性系統10。一壓印微影術堆積體可包括基材12以及被黏著至該基材之一或多層(譬如一黏著層)。基材12可被耦合至基材夾盤14。如圖所示,基材夾盤14是一真空夾盤。然而,基材夾盤14可為任何夾盤,包括但不限於真空、銷型、溝槽型、電磁性、及類似物、或其任何組合。示範性夾盤描述於美國專利案No.6,873,087中,該案被合併於本文中以供參考。
基材12及基材夾盤14可進一步被階台16支撐。階台16可提供沿x、y及z軸之動作。階台16、基材12及基材夾盤14亦可被定位於一基底(未圖示)上。
一模板18與基材12分開。模板18可包括一自其延伸朝向基材12之台面20,台面20上具有一圖案化表面22。並且,台面20可稱為模具20。模板18及/或模具20可由包括但不限於熔合矽土、石英、矽、有機聚合物、矽氧烷聚合物、硼矽酸鹽玻璃、氟碳聚合物、金屬、硬化藍寶石及/或類似物等材料形成。如圖所示,圖案化表面22包含由複數個分開的凹部24及/或突件26所界定之特徵構造,但本發明的實施例不限於此等組態。圖案化表面22可界定任何原始圖案,其構成一將被形成於基材12上之圖案的基礎。
模板18可被耦合至夾盤28。夾盤28可組構為但不限於真空、銷型、溝槽型、電磁性、及/或其他類似的夾盤類型。示範性夾盤進一步描述於美國專利案No.6,873,087中,該案被合併於本文中以供參考。並且,夾盤28可耦合至壓印頭30,使得夾盤28及/或壓印頭30可被組構為利於模板18的運動。
系統10可進一步包含一流體配送系統32。流體配送系統32可用來沉積可聚合化材料34於基材12上。可聚合化材料34可利用諸如滴配送、旋塗、沾塗、化學氣相沉積(CVD)、物理氣相沉積(PVD)、薄膜沉積、厚膜沉積、及類似物等技術、或其任何組合被定位於基材12上。依據設計考量因素而定,可在模具20與基材12之間界定一所想要容積之前及/或之後使可聚合化材料34(譬如壓印阻劑)配置於基材12上。可聚合化材料34可包含如美國專利案No.7,157,036及美國專利申請案公告No.2005/0187339所描述之組件,兩案皆被合併於本文中以供參考。
參照第1及2圖,系統10可進一步包含一沿著路徑42被耦合至直接能量40之能量供源38。壓印頭30及階台16可被組構為將模板18及基材12定位成疊置於路徑42。系統10係可藉由一與階台16、壓印頭30、流體配送系統32、供源38、或其任何組合呈現導通之處理器54所調節,並可以記憶體56所儲存的一電腦可讀式程式進行運作。
壓印頭30、階台16、或兩者係可改變模具20與基材12之間的一距離以界定一位於其間實質由可聚合化材料34所充填之所想要容積。譬如,壓印頭30可施加一力至模板18以使模具20接觸到可聚合化材料34。可聚合化材料34實質地充填所想要容積之後,供源38係產生譬如寬頻紫外輻射等能量40,造成可聚合化材料34符合於基材12的一表面44及圖案化表面22形狀產生固體化及/或交聯,以界定一圖案狀層46於基材12上。圖案狀層46可包含一殘留層48及複數個顯示為突件50與凹件52之特徵構造,其中突件50具有厚度t1 而殘留層具有厚度t2
上述系統及製程可進一步實行於美國專利案No.6,932,934、美國專利申請案公告No.2004/0124566、美國專利申請案公告No.2004/0188381及美國專利申請案公告No.2004/0211754所提及之壓印微影術製程及系統中,其皆被合併於本文中以供參考。
在藉由滴配送或旋塗方法將可聚合化材料施加至一基材之奈米壓印製程中,模板接觸到可聚合化材料之後,氣體可能被困陷於模板中的凹部內。在藉由滴配送方法將可聚合化材料施加至一基材之奈米壓印製程中,氣體亦可能被困陷於一基材上(譬如一壓印堆積體上)所配送的壓印阻劑或可聚合化材料滴之間。亦即,當滴分散時,氣體可能被困陷於滴之間的間隙性區中。
氣體逃逸及溶解速率係可能在模板接觸到可聚合化材料之後限制了可聚合化材料能夠形成一連續層於基材上之速率或可聚合化材料能夠充填模板特徵構造之速率,因此限制奈米壓印製程中的通量(throughput)。譬如,一基材或模板可能實質不可滲透一被困陷於基材與模板之間的氣體。部分案例中,一黏著至基材或模板之聚合性層可能變成被氣體所飽和,使得壓印堆積體與模板之間的氣體實質無法進入飽和的聚合性層,並保持被困陷於模板與基材之間。保持被困陷於模板與基材之間的氣體係可能造成圖案狀層中的充填瑕疵。
第3圖顯示基材12與模板18之間的圖案狀層46中之氣體(或氣體囊袋)60。氣體60可包括但不限於空氣、氮、二氧化碳、氦、或類似物。基材12與模板18之間的氣體60可能導致圖案狀層46中所形成之特徵構造的圖案扭曲、圖案狀層46中所形成之特徵構造的低保真度、橫越圖案狀層46之殘留層48的不均勻厚度、或類似作用。
一壓印微影術製程中,基材與模板之間所困陷的氣體係可能經由可聚合化材料、基材或模板逃逸。經由任何媒體逃逸之氣體量可能係被困陷氣體與媒體之間的接觸面積所影響。所困陷氣體與可聚合化材料之間接觸面積可能小於所困陷氣體與基材或模板之間的接觸面積。譬如,一基材上之可聚合化材料的厚度可小於約1μm,或小於約100nm。部分案例中,一可聚合化材料可吸收足夠氣體以在壓印前變成被該氣體所飽和,使得所困陷氣體實質無法進入可聚合化材料。反之,所困陷氣體與基材或模板之間的接觸面積可能相對較大。
媒體的氣體滲透性可表示為P =D×S ,其中P 是滲透性,D 是擴散係數,而S 是溶解度。一氣體運送製程中,一氣體係吸附至媒體的一表面上,且在媒體內建立一濃度梯度。濃度梯度可作為氣體擴散通過媒體之驅力。氣體溶解度及擴散係數可譬如以媒體的裝填密度為基礎而變。藉由調整媒體的裝填密度將可能更改擴散係數並因此更改媒體的滲透性。
對於多層膜,可從一抵抗模型來計算有效滲透性,諸如彭(F. Peng)等人在薄膜科學期刊(J. Membrane Sci.)222(2003)225-234及普拉卡須(Ranjit Prakash)等人在感測器及致動器(Sensors and Actuators)B 113(2006)398-409所描述的電路之類比,兩者皆被合併於本文中以供參考。材料對於蒸氣滲透的抵抗性係被定義為抗滲性(permeance resistance)Rp 。對於一具有層厚度l1 及l2 及對應滲透性P1 及P2 的兩層複合膜,抗滲性可定義如下:
其中△p 是橫越膜的壓力差,J 是通量(flux),而A 是面積。抵抗模型係預測
R p =R 1 +R 2  (2)
當橫剖面積對於材料1及2皆相同時,等式(2)可重寫如下:
一氣體可視為具有一相關聯的動力直徑。動力直徑係提供對於氣體運送性質而言之氣體原子或分子尺寸的概念。被合併於本文中以供參考之布芮克(D.W. Breck),沸石分子篩─結構、化學作用及使用技術,John Wiley & Sons,New York,1974,p.636係列出對於氦(0.256nm)、氬(0.341nm)、氧(0.346nm)、氮(0.364nm)及其他常見氣體的動力直徑。
部分壓印微影術製程中,利用一氦吹洗實質地藉由氦氣取代模板及基材或壓印堆積體之間的空氣。為了簡化一壓印微影術製程中的氦環境與空氣環境之間的比較,可藉由空氣當作純氬進行模型模擬來忽略空氣中的氧及矽土之間的極性交互作用。氦及氬皆為惰性氣體,而氬具有類似於氧的動力直徑。但不同於氧,氦及氬不會與熔合矽土或石英(譬如在一模板或基材中)產生化學交互作用。
內部腔穴(溶解部位)及用於連接溶解部位的結構性通路係可容許一氣體滲透通過一媒體。氣體可被留置於溶解部位中。相對於氣體尺寸(或動力直徑)而言之內部腔穴的尺寸及通路直徑係會影響氣體滲透媒體的速率。
已經由被合併於本文中以供參考之薛寇佛(J.F. Shackelford)的“玻璃中的氣體溶解度─原理及結構性含義”,非結晶固體期刊 (J. Non-Cryst. Solids )253(1999):231-241顯示:熔合矽土之個別間隙性溶解部位的尺寸係遵照一對數常態分佈。如氦及氬的間隙性直徑分佈(眾數(mode)=0.181nm;均值(mean)=0.196nm)及動力直徑所顯示,可供氦取用之熔合矽土溶解部位數係超過可供氬取用之溶解部位數。間隙性部位的總數估計係為每m3 2.2×1028 ,其中具有每m3 2.3×1027 氦溶解部位及每m3 1.1×1026 氬溶解部位。對於氦的溶解部位之間的平均距離係視為0.94nm,而對於氬的溶解部位之間的平均距離則視為2.6nm。用於連接這些溶解部位之結構性通路係被認為類似於6構件Si-O環的螺旋配置,具有約0.3nm直徑。表1綜合列出會影響熔合矽土中的氦及氬滲透性之部分參數。
被合併於本文中以供參考之包寇(Boiko)等人“來自分子性動力學資料之α-石英及玻璃性矽土中的氦移徙路徑”,玻璃物理及化學(Glass Physics and Chemistry) 29(2003):42-48係描述氦在非晶性或玻璃性矽土中的表現。在一溶解部位內,氦原子係以間隙性容積所容許的振幅作振動。原子經由可能比間隙具有更小直徑之通路從間隙通往間隙。
表1所列的參數係顯示:氬在熔合矽土中的滲透性在室溫下可能很低或可忽略(亦即,氬的動力直徑超過熔合矽土通路尺寸)。因為氧及氮的動力直徑大於氬的動力直徑,空氣可能實質無法滲透熔合矽土。另一方面,氦可能擴散入且滲透於熔合矽土。因此,當對於一奈米壓印製程使用一氦環境而非環室空氣時,模板與基材之間所困陷的氦可能能夠滲透一熔合矽土模板。
類似材料的相對孔隙性可被定義為材料密度的相對差異。譬如,旋覆玻璃(SOG)(密度ρSOG =1.4g/cm3 )相對於熔合矽土(密度ρ熔合矽土 =2.2g/cm3 )的相對孔隙性可計算為100%×(ρ熔合矽土 )/ρ熔合矽土 ,或64%。熔合矽土可用來作為具有氧-矽鍵結的其他材料之一參考材料。對於用來在一壓印微影術模板中形成一多孔層之材料,材料相對於熔合矽土之至少約50%或至少約65%的相對密度係提供適合容許氣體運動之孔隙性。
部分案例中,可將成孔劑添加至用來形成模板或基材一部分的材料以增大該材料的孔隙性或孔隙尺寸。成孔劑係譬如包括可汽化的有機化合物,諸如冰片烯、α-松油烯、聚環氧乙烷、及聚環氧乙烷/聚環氧丙烷共聚物、及類似物、及其任何組合。成孔劑可譬如為線性或星形。可選擇成孔劑及製程條件以形成一微孔性低k多孔層,譬如具有小於約2nm的平均孔隙直徑,藉以增加對於一系列氣體之溶解部位數。此外,導入成孔劑及增大孔隙性係可能加大用於連接氣體溶解部位之結構通路。對於約0.4nm或更大的孔隙尺寸,低k膜的氦滲透性可能超過玻璃性熔合矽土的氦滲透性。
一從基材12與模板18之間所界定的容積移除氣體60之方法係包括經由模板18吸收氣體60。部分案例中,如第4圖所示,模板18可被修改以包括形成於一基底層62上的一或多層。譬如,第一層64可形成於基底層62上,而第二層63可形成於第一層64上。當一模板包括一基底層62、一第一層64及一第二層63時,第一層可稱為中間層,而第二層可稱為蓋覆層。當一模板包括一基底層62及三或更多個額外層時,頂層可稱為蓋覆層,而基底層與蓋覆層之間的層可稱為中間層。
如上文對於模板18所描述,基底層62可由包括但不限於下列等材料形成:熔合矽土、石英、矽、有機聚合物、矽氧烷聚合物、硼矽酸鹽玻璃、氟碳聚合物、金屬、硬化藍寶石及類似物。一蓋覆層、一或多個中間層或其任何組合係可為一多孔層。此處所用的“多孔層”係指比起熔合矽土較不密集及/或較為多孔之層。
如此處所用,一蓋覆層的厚度係視為殘留層的厚度(亦即不包括突件的高度)。氣體可較快速地擴散經過不含有突件之蓋覆層部分,而達成氦滲透性的整體增高。因此,具有較薄殘留層之蓋覆層係可容許氣體更快速擴散通過蓋覆層並進入下個(譬如多孔)層中。此擴散速率係至少部份地依據不含突件之模板表面積比例部分而定。中間層及蓋覆層可由一諸如電漿增強式化學氣相沉積等氣相沉積製程形成。下表2列出用於形成中間層及蓋覆層之製程變數的範圍。
可選擇蓋覆層及中間層的孔隙性以利於基材12與模板之間所困陷的氣體60運送經過蓋覆層且進入中間層中。譬如,一蓋覆層可為微孔性、介孔性或其一組合。亦即,蓋覆層中的孔隙可小於2nm直徑(微孔性),或2nm至50nm直徑之間(介孔性)。一中間層可為微孔性、介孔性或巨孔性。亦即,一中間層中的孔隙可為小於2nm直徑(微孔性),從2nm至50nm直徑(介孔性),或大於50nm直徑(巨孔性)。部分案例中,一中間層可具有呈現不同孔隙性之區。譬如,一中間層可具有一微孔性區及一介孔性區。多孔層描述於美國專利申請案No.12/275,998,其被合併於本文中以供參考。
一多孔蓋覆層或多孔中間層中之孔隙尺寸係可實質呈均勻,或具有一所想要分佈。孔隙可從實質呈關閉至完全互連。部分案例中,對於一蓋覆層,孔隙尺寸或平均孔隙尺寸係為至少約0.4nm,至少約0.5nm,或小於約2nm(譬如,小於約1nm,位於一從約0.4nm至約1nm之間的範圍中,或一約0.4nm至約0.8nm之間的範圍中)。對於一中間層,孔隙尺寸或平均孔隙尺寸可為至少約0.4nm或至少約0.5nm(譬如,最高達到約1nm,最高達到約2nm,最高達到約15nm,最高達到約30nm,最高達到約40nm,最高達到約50nm,或大於約50nm)。
對於具有一SiOx 製的蓋覆層(約10nm厚度及滲透性P1 )之模板18,可藉由選擇一或多個中間層的孔隙性及孔隙尺寸來調整模板滲透性。表3顯示中間層的滲透性及厚度對於一具有310nm厚度之多層複合壓印堆積體的有效滲透性之影響效應。
表3顯示單獨增加中間層的厚度係可能產生比單獨增加中間層滲透性而言更高的有效滲透性。亦即,對於具有310nm總厚度以及100nm、200nm或300nm中間層厚度及10nm蓋覆層厚度之複合壓印堆積體,有效滲透性係增加二十倍,分別從1.5P1 至2.8P1 至30.1P1 ,中間層厚度超過200nm增幅。對於300nm的中間層厚度及10nm的蓋覆層厚度,從100P1 至1000P1 之中間層滲透性的十倍增加係使有效滲透性從23.8P1 增至30.1P1
部分案例中,如第5圖所示,一壓印微影術模板可包括一基底層及一第一層。第一層可為一多孔層。第一層可為圖案狀,並可想成是一蓋覆層。參照第5圖,一多孔層61可形成於一基底層62上。多孔層61的孔隙性可為不均勻或不對稱,如第5圖所示,或實質呈均勻。多孔層61可為一蓋覆層。部分案例中,多孔層61可具有一孔隙性梯度,如孔隙65的分佈所顯示,以使該層的密度在層的頂表面(亦即使用期間接觸於壓印阻劑之表面)附近較高。孔隙性梯度可包括平均孔隙尺寸、孔隙尺寸分佈、及/或孔隙密度之變化。該梯度可改良被直接蝕刻至多孔層中之特徵構造的機械強度,同時容許氣體擴散至多孔層中。亦即,蓋覆層頂部附近降低的孔隙性(譬如,突件及緊鄰突件處之降低的孔隙性)可能產生一圖案狀部分,其比起蓋覆層頂部附近呈現較高孔隙性之蓋覆層具有更大機械強度。部分案例中,多孔層61可在被蝕刻形成突件與凹件的層部分中具有一實質均勻的密度。多孔層61可具有微孔性、介孔性、或巨孔性區、或其任何組合。
如第6圖所示,一模板18可形成為一單元性結構,其具有經過選擇可容許有效率的氣體擴散同時在蓋覆層頂部附近維持機械強度之孔隙性及平均孔隙尺寸。譬如由有機聚合物、無機材料(譬如,碳化矽、經摻雜矽土、VYCOR)、及類似物或其任何組合製成的模板係可比玻璃性熔合矽土具有更低的裝填密度、及因此較高的氣體(譬如氦)滲透性。模板18主要係由單一多孔層組成。多孔層未黏著至一基底層。模板18可為平坦或圖案狀。模板18可為一不對稱的多孔層,如第6圖所示,或一對稱的多孔層。
如第7圖所示,一模板18可包括一第一層64及一第二層63。第一層64可為一多孔層。第二層63可為一蓋覆層。如同第6圖的模板18,第一層未黏著至一基底層。第二層63可抑制可聚合化材料穿透至多孔材料中。第二層63亦可對於模板賦予所想要的表面性質、機械性質及類似性質。模板18可為平坦或圖案狀。第一層64可為一不對稱的多孔層。
在壓印微影術應用中,微孔性層可能是有利的方式。譬如,微孔性層可具有夠大可容許所困陷氣體擴散通過孔隙、但夠小可抑制孔隙被可聚合化流體或其他物質穿透之孔隙。微孔性蓋覆層可具有足夠機械強度以承受反覆使用而無裂痕、翹曲或脫層。相較於圖案狀介孔性及巨孔性層,圖案狀微孔性層在經蝕刻特徵構造內可具有較平坦的側壁及較小的空隙瑕疵。
部分案例中,一模板的一表面(譬如一蓋覆層或其他多孔層中)之孔隙若未被密封則可容許可聚合化流體或其他物質穿透至模板中,其可能造成一壓印製程期間之孔隙阻塞或增添應力。若一模板的一表面附近之孔隙夠小,孔隙可能不需要密封以抑制可聚合化流體或其他物質穿透至孔隙中。然而,部分案例中,利用一產生實質呈連續狀、正形性、超薄氣體可滲透性膜之薄膜沉積方法來密封或充填經曝露孔隙(譬如,藉由一較低多孔性的氧化矽層)以抑制不良穿透、阻塞、飽和及類似作用係為有利方式。可藉由包括但不限於諸如化學氣相沉積(CVD)、原子層沉積(ALD)、電漿輔助式原子層沉積(PA-ALD)、脈衝電漿增強式化學氣相沉積(脈衝式PECVD)、分子層沉積(MLD)及物理氣相沉積(PVD)等以蒸氣為基礎的膜沉積製程之數種方法、或藉由諸如沾塗及旋塗等以溶液為基礎的膜沉積方法、或電漿處理達成孔隙密封。PA-ALD描述於美國專利申請案公告No.US 2007/0190777,該案被合併於本文中以供參考。脈衝式PECVD描述於美國專利申請案公告No.2008/0199632,該案被合併於本文中以供參考。
一密封層沉積製程及膜組成物的選擇係可依據數項因素而定,包括模板突件及凹部的尺寸及/或幾何形狀、多孔膜中的經曝露孔隙直徑、密封層的所想要滲透性及機械性質、及密封層與釋放劑交互作用的能力等等。
第8A圖顯示一具有一基底層62、第一中間層64、蓋覆層63及密封層59之多孔模板18。密封層59可由包括但不限於下列材料製成:金屬氧化物、氮化物、碳化物、氮氧化物、碳氧化物、或諸如有機矽烷及聚二甲苯(polyxylylenes)等聚合物。一多孔層的表面上之密封層59的厚度可能小於約10nm,小於約5nm,小於約3nm,或在部分案例中,約比孔隙半徑更大兩倍。部分案例中,可選擇孔隙密封沉積方法以實質使密封層59的反應及生長侷限於多孔層的表面。特定案例中,可能容許密封層反應物穿透至多孔層中數奈米。
密封層59中的孔隙尺寸可能大於壓印環境中氣體的動力直徑以利於氣體擴散至鄰接的多孔層中。密封層59中的孔隙尺寸可小於約2nm,小於約0.8nm,或小於約0.6nm,使得氦能夠擴散通過密封層。密封層59可被蝕刻以使大於氦、氧、或二氧化碳的原子或分子可能無法擴散通過密封層。可選擇用來形成密封層59之材料以承受包括食人魚(piranha)、稀釋鹼、臭氧、或電漿清理製程之奈米壓印微影術製程中的反覆使用。部分案例中,可選擇密封層59作為預定被移除及更換之非永久或可犧牲層。
第8B圖顯示一具有一基底層62、多孔中間層64、密封層59及蓋覆層63之多孔模板18。密封層較佳具有夠大可使氦穿過、但夠小可在蓋覆層沉積期間實質阻絕蒸氣或液體相的反應性物種穿透多孔層之孔隙。密封層59可具有約1nm至約10nm厚度,或小於約5倍孔隙半徑,小於約3倍孔隙半徑,或約兩倍孔隙半徑。密封層59可譬如包括氧化矽(SiOx )。部分案例中,並非以一連續膜完全地密封表面孔隙,可利用一密封層製程減小多孔層的開放孔隙尺寸以使孔隙直徑抑制蓋覆層組件穿透(譬如擴散)至多孔層中。
藉由蓋覆層下方(譬如蓋覆層與多孔層之間)出現密封層係可容許從蓋覆層清楚地轉折至多孔層,並抑制孔隙阻塞污染物穿透至多孔層中。譬如,密封層59可抑制蓋覆層63形成期間所出現的反應性物種穿透至多孔層64中。多孔層的穿透及孔隙阻塞係增大多孔層與譬如蓋覆層之間介面附近之多孔層的密度,因此難以在蝕刻期間確定介面的區位。藉由一密封層出現於蓋覆層下方係將維持介面的完整性,並降低或實質消除了蓋覆層中特徵構造的所需要蝕刻深度之模糊性。因此,一密封層沉積於多孔層上係使蝕刻製程能夠進行,因為其有利於在特徵構造底部與底下的多孔層之間具有極少蓋覆層材料。第8B圖將此距離顯示為d。
一範例中,一多孔層被沉積於一基底層上。一薄(譬如5nm)且密集的孔隙密封層係形成於多孔層上,而一密集的蓋覆層(95nm)形成於密封層上。密集塗覆物的總厚度是100nm。若蓋覆層被蝕刻至90nm的深度,d=10nm,且10nm的密集膜係自下屬的多孔膜分離出特徵構造底部。若缺乏密封層,則數奈米的多孔層可能已變成阻絕且膜密度輪廓可能隨著深度而變,其皆更難以決定出將特徵構造蝕刻至蓋覆層中多遠以使特徵構造駐留在一均勻密集的膜中而對於底下多孔層呈現一已知距離。孔隙密封之部分方法係包括ALD、PA-ALD、及脈衝式PECVD、暨此處提及的其他方法。利用一諸如ALD等方法形成蓋覆層暨密封層將會限制通量(throughput)並增加生產成本。
如此處所述,若密封層的折射率不同於蓋覆層的折射率,一孔隙密封層可容許進行蓋覆層的光學厚度測量。譬如,一蓋覆層可被沉積於密封層頂上且然後被拋光回到相距密封層之一已知可測量距離。
部分案例中,一較低多孔性的密封層及一蓋覆層可以小於、等於或大於較高多孔性層沉積所使用溫度之溫度被沉積於一較高多孔性層(譬如中間層)上。雖然較低多孔性層可以比其下方的較高多孔性層所使用溫度更高的一溫度被沉積,部分案例中,若較低多孔性層沉積期間引發了對於較高多孔性層中之孔隙尺寸、孔隙尺寸分佈、孔隙互連性及類似物的不良變化之熱效應,則可能想要以等於或小於較高多孔性層的沉積溫度之溫度來沉積較低多孔性層。
可選擇用來形成一多孔蓋覆層或一多孔中間層的材料以承受包括食人魚、稀釋鹼、及臭氧或電漿清理製程等之奈米壓印微影術製程中的反覆使用。部分案例中,一多孔蓋覆層或一多孔中間層可被設計成供有限使用、且可能不需具有承受清理製程的能力。一中間層黏著至一基底層及黏著至一蓋覆層的作用可能譬如係為使模板自一壓印微影術製程中所形成的圖案狀層分離所需要者之至少約三倍力量。選擇多孔材料所考量的材料性質係包括對於基底層之黏著、熱膨脹係數、熱傳導率、折射率、及紫外光透射比及吸收率。譬如,一具有低紫外光吸收率的材料係容許紫外輻射穿過一模板的一蓋覆層或一中間層以聚合化壓印阻劑而不在緊鄰壓印阻劑處產生不利的熱量值。特定實施例中,多孔材料的楊氏模數可能譬如為至少約2GPa,至少約5GPa,至少約10GPa,或至少約20GPa。
部分應用中,一模板在滿足其擁有成本目標之前將需作出數百或甚至數千個壓印,因此多孔層所用之材料必須有足夠機械強度可存活此壓印次數而無裂痕、翹曲或脫層。可利用一具有選定楊氏模數的多孔材料連同一選定相對密度及折射率形成一具有意外優點之多孔層,包括充填時間縮短、可容許一製造製程中的高通量(throughput)、及同時承受壓印製程期間所出現的機械力之能力。理想性質的此組合係容許增加製程壽命並具有低的模板瑕疵率。
一包括矽及氧之多孔材料的楊氏模數相對於該材料對於熔合矽土的相對密度之比值係為一多孔材料履行作為一壓印微影術模板中的一多孔層之能力的指示物。一可提供所想要通量(throughput)及耐久度之多孔含矽及含氧材料係可具有至少約10:1、至少約20:1、或至少約30:1之楊氏模數相對於該材料對於熔合矽土的相對密度之一比值。
與壓印微影術模板相關之以光學為基礎的製程係譬如包括:以光學為基礎的模板圖案檢驗。為了利於以光學為基礎的製程,一多孔層的折射率可類似於相同模板上之模板中其他層(譬如,蓋覆層、密封層)的折射率,藉以在包括測量製程及檢驗製程等製程期間降低不想要的光學效應(譬如光的彎折及相關扭曲)。對於熔合矽土的折射率是1.46。當使用熔合矽土作為一基底時,可能想要使一壓印微影術模板的其他層具有接近熔合矽土者的折射率。為了增高與一壓印微影術模板中其他層具有增高的光學相容性,一壓印微影術模板中之一多孔層的折射率可能是約1.4至約1.5之間。
一多孔層(譬如一多孔中間層)可由包括但不限於下列材料製成:氧化矽、陽極性氧化鋁(AAO)、有機矽烷、有機矽土、有機矽酸鹽、有機聚合物、無機聚合物、及類似物、或其任何組合。部分實施例中,一多孔層可包括低k、多孔性低k、或超低k介電膜。半導體業所使用的低k介電膜、亦即有機矽烷的CVD或倍半矽氧烷的旋塗所沉積之有機矽酸鹽玻璃(OSG)膜係可含有足夠孔隙性以增強氣體擴散並縮短充填時間,但其機械性質(彈性模數,E<10GPa;硬度,H<2GPa)比熔合矽土更差。包括有機或無機聚合物的多孔層比起熔合矽土而言亦具有低更多的機械性質。陽極性氧化鋁(AAO)膜比起呈現高孔隙性的熔合矽土具有更高的楊氏模數(~140GPa),但比起熔合矽土亦具有更高折射率(~1.7 vs. 1.46),有鑑於此,考慮到光學圖案檢驗,AAO當蓋覆有一氧化矽膜時若作為多孔層則可能較不理想。
一基底層及一中間層或一蓋覆層可由相同或不同材料形成。部分案例中,一蓋覆層可能比基底層具有更高多孔性(譬如,以容許氣體擴散通過蓋覆層並進入一中間層中)。部分案例中,一蓋覆層可比中間層具有更低多孔性(譬如,以利於蓋覆層順利蝕刻以形成所想要的圖案狀表面)。部分實施例中,蓋覆層比基底層具有更高多孔性且比中間層具有更低多孔性。一蓋覆層可由經過選擇可在一壓印微影術製程期間達成所想要濕潤及釋放效能之材料形成。
部分實施例中,一蓋覆層可包括多孔SiOx 製成的一膜,其中1≦x≦2.5。譬如,此處所用的“多孔SiOx ”係指比起熔合矽土更為多孔、比起熔合矽土更不密集、或兩者皆然之氧化矽。可選擇蓋覆層的厚度及組成物以提供機械強度及選定的表面性質、暨對於一壓印微影術製程中之一基材與一模板之間可能困陷的氣體之滲透性。
一中間層的厚度可能譬如位於約10nm至約100nm的範圍中,或位於約100nm至約10μm的範圍中。一中間層可能增大厚度以增加該層對於氣體擴散至層中之容納容量。部分案例中,一較厚的中間層可提供較高的有效滲透性而不顯著降低紫外透明度、熱膨脹及類似物。
一蓋覆層的厚度可能位於約10nm至約10,000nm的範圍中(譬如,位於約10nm至約50nm、約50nm至約100nm、約100nm至約500nm、約500nm至約1000nm、或約1000nm至約10,000nm的範圍中)。氣體擴散通過一蓋覆層的作用係與蓋覆層的孔隙性且與蓋覆層的厚度相關。部分案例中,可至少部份地以蓋覆層的孔隙性為基礎來選擇蓋覆層的厚度。亦即,一較高多孔性的蓋覆層(譬如,約5000nm)可能比一較低多孔性的蓋覆層(譬如約10nm)更厚,所以氣體可相對較快速地擴散通過不同孔隙性及厚度的多孔蓋覆層。若一蓋覆層比其所黏著的層更為多孔,可增加一蓋覆層的厚度以增高該層對於氣體擴散至該層中之容納容量。若蓋覆層黏著至一較高多孔性膜,則可能想要減小一經蝕刻特徵構造底部與較高多孔性層之間的蓋覆層厚度以降低擴散抵抗性。
一中間層可由氣相沉積、以溶液為基礎的方法、熱生長方法或類似方法形成於一基底層上或另一中間層上。一蓋覆層可由氣相沉積、以溶液為基礎的方法、熱生長方法或類似方法形成於一中間層上或一基底層上。此處所用的“氣相沉積”概括係指一種其中使一層由一汽化前驅物組成物形成於一基材的一表面上之製程。氣相沉積製程係包括但不限於化學氣相沉積(CVD)、原子層沉積(ALD)、及物理氣相沉積(PVD)。CVD製程係譬如包括:電漿增強式CVD(PECVD)、低壓CVD(LPCVD)、次大氣CVD(SACVD)、大氣壓CVD(APCVD)、高密度電漿CVD(HDPCVD)、遠端電漿CVD(RPCVD)、及類似物。PVD製程係包括離子輔助式電子束方法、及類似物。
藉由改變製程條件及材料,可產生具有不同均值孔隙尺寸及孔隙尺寸分佈(譬如,不同的孔隙性或相對孔隙性)之多孔層。中間層及/或蓋覆層可具有比熔合矽土呈現更大孔隙尺寸及更大孔隙性之孔隙。此處所用的“孔隙性”係指通路及開放空間在一固體中所佔用之總容積百分比的比例部分。一中間層的孔隙性可介於從約0.1%至約60%(譬如約1%至約20%,或約5%至約15%)。部分案例中,一中間層的孔隙性可為至少約10%,或至少約20%。一蓋覆層的孔隙性可介於從約0.1%至約20%(譬如,從約1%至約20%,或從約3%至約15%)。
藉由氣相沉積製程(譬如PECVD)來沉積SiOx 係會產生比起諸如熱氧化或燄水解沉積等其他製程具有更高孔隙性之膜。會改變的氣相沉積條件係包括溫度、壓力、氣體流率(譬如,對於含矽氣體、氧化氣體、載體氣體等、或其比值)、電極距離、射頻(RF)功率及偏壓。
一範例中,會根據下列反應而發生來自矽烷-PECVD的氧化物沉積:
SiH4(g) +2N2 O(g) →SiO2(S) +2N2(g) +2H2(g)
亦可配合PECVD使用諸如矽酸四乙酯(TEOS)、四甲基矽烷(TMS)、及六甲基二矽氮烷(HDMS)等有機矽烷材料以形成SiOx 膜。
PECVD SiO2 的密度已顯示於李維(Levy)等人(“利用環境友善前驅物二乙基矽烷之電漿增強式化學氣相沉積的Si-O-H及Si-N-C-H膜之比較性研究”),Mater. Lett. 54(2002):102-107,其被合併於本文中以供參考),以在100℃至350℃之間的沉積溫度從1.5g/cm3 改變至2.2g/cm3 。楊氏模數在此溫度範圍係從25GPa增加至70GPa以上。PECVD已報導會在250℃至350℃沉積溫度產生具有高達144GPa楊氏模數之氧化矽膜(布杉(Bhushan)等人“矽在與薄膜磁性剛性碟片呈滑動接觸之摩擦及磨耗研究”,J. Mater. Res. 9(1993)1611-1628;及李(Li)等人“供採用奈米凹陷技術的MEMS/NEMS應用所用之微米/奈米結構的機械特徵”,Ultramicroscopy 97(2003)481-494,兩者皆被合併於本文中以供參考)。
25GPa的楊氏模數係顯著地高於從多孔半導體低k膜所獲得的膜之楊氏模數,後者係包括藉由有機矽酸鹽的CVD或藉由倍半矽氧烷的旋塗所沉積之有機矽酸鹽玻璃膜。在大於約150℃溫度所沉積之PECVD SiOx 膜的硬度亦可超過半導體低k膜的硬度。在約350℃所沉積的PECVD SiOx 膜可具有約5%微孔隙性,如迪芬(Devine)等人所描述(“關於低溫度PECVD二氧化矽膜之結構”,J. Electron. Mater . 19(1990)1299-1301,其被合併於本文中以供參考)。
藉由PECVD沉積於一熔合矽土基材上之SiOx 係展現出咸信至少部份源自於熱膨脹係數不匹配所導致之壓縮應力。可藉由在適度溫度(譬如500℃熱循環)的熱性退火來降低此不匹配,如高(Cao)等人所描述(“電漿增強式化學氣相沉積的氧化矽膜之結構性放鬆期間的密度變化及黏性流”,J. Appl. Phys . 96(2004)4273-4280,其被合併於本文中以供參考)。藉由選定的退火條件,應力的本質可能變成較為拉伸本質,而仍維持壓印微影術模板中的多孔層所想要之一使壓縮為無作用的應力。如高(Cao)等人所顯示,一10μm厚的PECVD SiOx 膜在一500℃熱循環之後(約0.55ppm/℃)的熱膨脹係數係類似於熔合矽土者。
部分案例中,一PECVD SiOx 模板層的退火可促進SiOx 膜的密化,導致較低的滲透性。然而,在受控制條件(譬如加熱及冷卻速率)下於較低溫度(譬如約100℃至約350℃)所進行的一退火製程係可維持膜的孔隙性。
進行低溫退火實驗以評估退火對於膜應力之衝擊。如表4所示,熔合矽土上的一PECVD SiOx 膜(5μm厚度)係在沉積後具有-94MPa的所計算應力。第一140℃退火循環之後,應力係計算為-57MPa。第二140℃退火循環之後,應力係計算為-42MPa。應力係依照斯東尼等式(Stoney equation)計算。藉由一雷射干涉計(Mark GPI xps,得自齊格公司(Zygo Corpotation)(康乃狄克州彌多菲))的測量來決定半徑,且藉由一頻譜反射計(得自德州奧斯汀的梅戳索(Metrosol))來測量膜厚度。
部分案例中,藉由一氣相沉積製程形成一蓋覆層(譬如,一SiOx 蓋覆層)於一中間層上係可能阻塞中間層中的孔隙。為了降低中間層中的孔隙阻塞,中間層可能被惰性氣體預先飽和。第9圖的流程圖中顯示一用於降低一多孔基材中的孔隙阻塞之示範性PECVD製程。製程90中,室進行泵輸(步驟91)之後,吹洗該室(步驟92),且再度泵輸該室(步驟93),利用一或多種惰性氣體以預先飽和該室及多孔基材(步驟94)。惰性氣體流係停止,而CVD氣體被導入該室並啟動電漿(步驟95)。
製程90中,基於數項理由,認為CVD層從中間層的表面生長。譬如,由於孔隙已被惰性氣體所飽和,CVD氣體難以擴散至中間層中。此外,即便部分CVD氣體可能進入多孔中間層中,其係被中間層內的惰性氣體所稀釋且無法以充足數量出現形成一能夠在反應後阻絕孔隙之密集結構。尚且,因為電漿實質係與CVD氣體導入室中呈現同時地開始,反應係立即開始,且CVD氣體具有有限的時間可擴散至中間層中。
第10圖顯示根據第9圖的步驟之一以一薄層之經氣相沉積的SiOx 作為第二層63(譬如一蓋覆層)來蓋覆一多孔第一層64(譬如一中間層)之製程。此製程亦可施用於一蓋覆件的密封、或一不對稱多孔層的密封中。如第10圖所示,多孔第一層64被惰性氣體65所飽和。氣體69(包括含矽氣體、氧化氣體、載體氣體等)係在一CVD製程中被導入以形成矽土第二層63於多孔第一層64上。第二層63形成於多孔第一層層64的表面上之後,多孔第一層將被有效地密封,藉以消除或降低經氣相沉積的氣體、可聚合化材料及類似物擴散至多孔第一層中的作用。
預先飽和所使用的氣體可能對於選定的氣相沉積製程呈現惰性或者可能在多孔層內不會起反應而阻塞住孔隙。惰性氣體可能是氦、氖、氬、或氮、或類似物。部分案例中,可使用氣相沉積氣體作為惰性氣體。譬如,一包含SiH4 及N2 O的PECVD SiOx沉積製程中,可使用N2 O預先飽和一多孔層。諸如氦及氖等較小分子氣體若其動力直徑小於密封層的孔隙尺寸,則可在該製程之後擴散出去。諸如氬及氮等較大分子氣體若其動力直徑大於密封層的孔隙尺寸,則有可能被困陷於一多孔層內。被困陷於多孔層內之氣體可能造成未來應用的連帶影響。因此,可能偏好使用較小分子氣體。
製程90中的預先飽和91可介於從約5秒至約60分鐘。惰性氣體壓力可能至少與氣相沉積製程所使用的總氣相沉積氣體壓力相同,並在部分案例中高於總氣相沉積氣體壓力。由於惰性氣體的稀釋效應,初始沉積速率有可能略微較慢。為了達成較精密的氣相沉積層厚度控制,可在程序之間重新校準沉積速率。不同的惰性氣體可能導致不同的初始沉積速率。當改成不同的惰性氣體時,可重新校準沉積速率。不同的惰性氣體壓力亦可能導致不同的初始沉積速率。當改成不同的預先飽和壓力時,可重新校準沉積速率。
特定環境中,一多孔層可能受到內部拉應力藉以導致膜產生裂痕或脫層。如第11圖所示,多孔層68可能受到本徵力(intrinsic forces),其產生一拉力FT (或壓縮力FC )而影響多孔層。譬如,拉力FT (或壓縮力FC )可能導致多孔層68自基底層62分離、角度性變形、及類似作用。
環室條件(譬如室溫、大氣壓)下之一多孔層或膜中的應力可能是拉伸性或壓縮性(譬如,分別為約+1GPa至約-3GPa)。可能藉由諸如控制沉積條件、退火、或應力減除膜或層等數種方法來控管一氣相沉積的多孔層之應力。
模板18可包括一或多個減除層66,其被設計用來減輕作用在多孔層68上之拉力FT 的效應(譬如模板曲率)。譬如,減除層66可設計成具有以壓縮狀態形成的材料以使壓縮力FC 作用在減除層66上。譬如,減除層66可從提供一可導致壓縮力FC 的設定本徵應力位準之材料設計而成。因此,作用在減除層66上的壓縮力FC 係實質地中和作用在模板18內的多孔層68上之拉力FT 。部分實施例中,一或多個減除層66係可設計成減輕作用在多孔層68上之壓縮力FC (未圖示)的效應。
譬如,第12圖顯示具有與減除層66相鄰的多孔層68之模板18的一示範性實施例。減除層66可由提供壓縮力FC 的材料形成,以使壓縮力FC 實質地降低作用在多孔層68上之拉力FT 的效應。減除層66可利用諸如旋塗、沾塗、CVD、PVD、薄膜沉積、厚膜沉積、或類似技術、或其任何組合等技術被定位於基材層62上。減除層66可由包括但不限於下列材料形成:SiNx 、SiOx Ny 、SiCx 、SiOx 、DLC、及類似物、或其任何組合。部分案例中,減除層66可實質對於紫外光或壓印製程期間所使用的光波長呈透明。減除層66可滲透諸如氦、氮、氧、二氧化碳及類似物等氣體。部分實施例中,一或多個減除層66可設計成提供一拉力FT 以使拉力FT 實質地降低作用在多孔層68上之壓縮力FC (未圖示)的效應。
第13A圖顯示具有與多孔層68相鄰的多重減除層66a及66b之模板18的一示範性實施例。多孔層68可滲透諸如氦、氮、氧、二氧化碳及類似物等氣體。減除層66a及66b可由提供壓縮力FC1 及FC2 的材料形成。依據設計考量因素而定,壓縮力FC1 及FC2 可具有類似或不同的量值。譬如,減除層66b的壓縮力FC2 可降低拉力FT 對於多孔層68之效應(譬如可降低該層的彎折)。
可利用諸如旋塗、沾塗、化學氣相沉積(CVD)、物理氣相沉積(PVD)、薄膜沉積、厚膜沉積、或類似物、或其任何組合等技術,分別使減除層66a及66b被定位於基材層62及多孔層68上。依據設計考量因素而定,減除層66a及66b可使用類似的定位方法或不同的定位方法。
此外,依據設計考量因素而定,減除層66a及66b可由類似材料或不同材料形成。譬如,由於減除層66a可被定位於氣體60的擴散路徑(未圖示)內,具有厚度tR1 的減除層66a可由可滲透壓印製程期間所出現的氣體60之材料形成。或者,由於大多數應力補償可能發生於減除層66b處,減除層66b可具有大於厚度tR1 的厚度tR2 並可由較低滲透性材料形成。此外,依據設計考量因素而定,減除層66b可由滲透性材料形成以利於氣體擴散至基材層62中。部分實施例中,如第13B圖所示,減除層66a可為一圖案狀減除層66a,其中形成有特徵構造24及26。部分實施例中,減除層66a及66b可由提供拉力FT1 及FT2 之材料形成以降低壓縮力FC (未圖示)對於多孔層68之效應。
第14圖顯示具有多重減除層66以減除多重多孔層68內的拉應力之模板18的一示範性實施例。特別來說,模板18包含減除層66c-e,其可散佈於可滲透層68a與68b之間以使壓縮力FC1-C3 降低拉力FT1-T2 的效應(譬如其所造成的彎矩)。依據設計考量因素而定,減除層66c-e可使用類似的定位方法或不同定位方法。此外,依據設計考量因素而定,減除層66c-e可由類似材料形成且具有類似物理特徵(譬如厚度)及/或不同的材料與物理特徵。一類似實施例可提供拉力FT1-T2 所造成(未圖示)之壓縮力FC1-C3 的減除作用。
參照第15A圖,模板110藉由模板的壓印表面上之層或膜112彎折來顯示應力。參照第15B圖,應力減除層114形成於與層112相對之模板110表面上。應力減除層114藉由提供一可降低層112曲率之彎矩來減除層112中的應力。部分實施例中,應力減除層114可提供壓縮應力以降低層112的壓縮應力。部分實施例中,應力減除層114可提供拉力以降低拉應力或對於層112賦予一壓縮應力。
蝕刻停止層
參照第16圖,模板100包括一基底層102、一蝕刻停止層104、及一頂層106。蝕刻停止層104及頂層106就特定物理性質(譬如折射率)呈現不同,因此可在包括頂層的蝕刻或化學機械拋光(CMP)之奈米壓印微影術製造製程期間利用蝕刻停止層與頂層之間的介面108作為一參考點。蝕刻停止層104及頂層106亦就特定化學性質(譬如與已知蝕刻製程的反應性)呈現不同。
模板100可譬如為體塊熔合矽土。蝕刻停止層104可實質呈紫外透明性且具有低的紫外吸收率。一範例中,蝕刻停止層104可包括一金屬、一金屬氧化物、或一金屬氮化物。部分案例中,蝕刻停止層104主要由Six Ny 組成。頂層106可為多孔性(譬如多孔矽土)。部分案例中,頂層106包括SiOx ,其中1≦x≦2.5。
蝕刻停止層104及頂層106的不同物理特徵(譬如不同折射率)係可容許作出頂層厚度的光學/度量評估,如同對於蝕刻停止層104與頂層106之間的介面108所測量。因為可相對於蝕刻停止層104正確且精密地測量頂層106的深度,頂層106可(譬如藉由化學機械平面化)被拋光回到相距蝕刻停止層104呈現一段已知可測量距離以能夠具有用來以已知且可複製的維度(譬如殘留層厚度、突件高度、尺寸比及類似物)圖案化頂層之奈米壓印微影術模板製造中的蝕刻製程。
用來蝕刻頂層106而非蝕刻停止層104之蝕刻製程係可包括習知用來蝕刻矽土的任何蝕刻製程(譬如反應性離子蝕刻)。因此,蝕刻停止層104及頂層106的不同化學性質係可容許蝕刻頂層而不會蝕刻蝕刻停止層。蝕刻停止層104的存在係可容許頂層106藉由蝕刻被完全移除同時留下實質未更改的蝕刻停止層及基底層。因此,頂層106可依需要被移除、改變或取代。重新使用模板的基底層之能力係有利經濟並得以節約資源。
度量標記
部分案例中,一壓印微影術模板之一基底層或中間層的一區係可塗覆有一標記膜。第17A圖顯示一壓印微影術模板100,其具有基底層102、頂層106以及形成於基底層與頂層之間的一介面處之標記區107。標記區107可覆蓋基底層102的一小部分(譬如,小於約1cm2 )。標記區107的厚度可能為約2nm至約30nm之間,使得頂層上表面的平坦度實質不受標記區存在所影響。部分案例中,頂層106可在模板上的特徵構造進行圖案化及蝕刻之前被拋光成平坦且扁平(譬如,藉由化學機械平面化)。可利用標記區107的厚度作為一參考以決定頂層106的蝕刻深度。用來形成標記區107的材料可能譬如包括一金屬、一金屬氧化物、或一金屬氮化物。
一或多個標記區107可與頂層106的一主動(譬如圖案狀)部分分開。一度量標記放置在台面外(譬如將四個標記放置在台面角落外)將可容許紫外輻射穿過模板並進入可聚合化流體中而不受阻絕,並相較於一連續性停止蝕刻層而言將降低總吸收輻射量(且因此降低模板發熱量)。
部分案例中,並不沉積一小的標記區,可藉由另一層(譬如一多孔層)來塗覆一基底層或塗覆一中間層期間遮罩住一模板的一或多個區域。經遮罩區域109及經塗覆部分111之間的一高度差係可作為塗覆深度、蝕刻深度或拋光深度之一參考。
第17B圖顯示一具有沉積於基底層102上的標記區107之奈米壓印微影術模板。多孔層103形成於基底層102及標記區107上方。在密封層105沉積於多孔層上之前,多孔層103可被拋光。密封層可在蓋覆層106形成期間抑制多孔層阻塞。亦即,蓋覆層106形成期間,密封層的存在可抑制多孔層被用來形成蓋覆層的組件(譬如反應性物種)所滲入且因此抑制其阻塞。部分案例中,以多孔層103及蓋覆層106的性質為基礎,可省略密封層105。
化學機械平面化
此處所討論的實施例中,模板的一層(譬如一蓋覆層、一中間層)可經歷化學機械平面化(CMP)。CMP係包括利用化學及機械手段同時對於一基材的一或兩側之拋光。一壓印微影術模板被固持於一載體殼體中。漿體被配送於一拋光墊上。模板係被旋轉及振盪(偏心動作)並被帶領而接觸於一旋轉中的拋光墊。基材抵住墊的力量係受到控制。漿體係與表面起反應(CMP的化學態樣)且物理性磨刮該表面(CMP的機械態樣)。經磨刮材料被拋光墊攜帶離開。
諸如氧化矽膜沉積等部分PECVD製程形成的表面可能不利地呈粗糙狀。粗糙係降低這些表面作為圖案化的壓印表面、或作為沉積正形性膜的基底層之效用及理想性。可利用CMP來拋光一粗糙層以實質地消除粗糙並改良模板的平坦度及平行性。CMP亦可藉由降低與壓印阻劑接觸的一層的粗糙度來改良充填速度。
範例
範例1。經由壓印測試顯示出低溫PECVD SiOx的經增強擴散效能。藉由200℃以PECVD(PlasmaTherm 790 RIE/PECVD)在具有375μm標稱厚度的雙側經拋光(DSP)3”矽晶圓上沉積多孔氧化矽至5μm厚度,藉以產生壓印充填測試的樣本。Si來源是SiH4 ,具有21.2sccm流率。氧化劑是N2 O,具有42sccm流率。沉積總壓力是300mTorr,而射頻(RF)功率是50W。晶圓直接放置在夾盤上以供沉積。晶圓然後被旋塗60nm的TranSpinTM (得自德州奧斯汀的分子壓印公司(Molecular Imprints,Inc.))。作為對照組,一3” DSP矽晶圓塗覆有60nm的TranSpinTM 。利用一呈現340μm滴中心至中心距離的格滴圖案以一65mm熔合矽土外核心式(core-out)模板產生具有約90nm殘留層厚度之壓印。使用氦作為吹洗氣體。
範例2。第18A及18B圖顯示經由一包括一藉由PECVD形成於晶圓上之5μm多孔氧化矽蓋覆層的模板所取之一氦環境中的壓印阻劑180滴之影像。如第18A圖所示,在模板接觸阻劑時,一顯微鏡攝影機觀察到滴間隙性區182。在模板接觸阻劑之後1秒取得第18B圖的影像。阻劑被模板接觸後的1秒內,間隙性區位182中的氣體囊袋係消失,且壓印阻劑180係分散以實質覆蓋住模板。
第19A-19C圖顯示經由一類似第18A圖者但不具有5μm多孔氧化矽蓋覆層之模板所取的一氦環境中之壓印阻劑180滴的影像。第19A圖顯示一顯微鏡攝影機在模板接觸阻劑時所觀察到的壓印阻劑180滴及間隙性區182。第19B及19C圖分別顯示稍後1秒及稍後4秒仍出現之間隙性區182。因此,多孔氧化物層可容許快速攝取氦,導致比起不具有多孔氧化矽層在一矽晶圓上所產生的一壓印上之相同空隙更快四倍的空隙充填。
範例3。表5列出對於四個氧化矽層及一熱氧化物層之形成的PECVD製程條件。膜在一PlasmaTherm 790中於DSP 3”矽晶圓上生長至1.5μm厚度。由於PlasmaTherm 790的固定位置夾盤,矽晶圓被放置在一3.5”直徑×0.25”經拋光的熔合矽土板頂上,而非直接位於夾盤上藉以更良好地逼近一0.25”厚熔合矽土模板的生長條件。在一含有一柏寇維屈(Berkovich)幾何形狀的凹陷器之CSM儀器NHTX奈米凹陷測試機上測量PECVD氧化矽膜的凹陷硬度及模數。藉由X射線頻譜術(XRR)來測量PECVD氧化矽膜密度。
提供熔合矽土以供比較。利用XRR來測量密度。樣本1係具有非多孔性熔合矽土之83%密集性,樣本2為89%密集性,而樣本3為96%密集性。即使是對於最多孔樣本的相對孔隙性具有17%變化,樣本1的模數係為49.6GPa且硬度為4.8GPa。樣本1具有(49.6/0.83)=59.8之楊氏模數對於相對密度的比值,及1.47的折射率。
範例3。發展出一測試以提供不同膜的開放孔隙性之比較,其中藉由配送一PECVD氧化矽表面上的壓印阻劑滴並隨時間經過利用光學顯微鏡觀察滴直徑來決定阻劑是否穿透該膜。表6所列的膜係沉積於DSP 3”晶圓上同時晶圓藉由一1/4”厚的經拋光熔合矽土板而與夾盤分開。維持近似相同直徑為時2分鐘之滴(由於蒸發會發生輕微變化)係視為“非芯吸性(non-wicking)”。如表6所示觀察到不同芯吸速率。可看出芯吸速率係依據表6所列的沉積條件而變。從一氦吹洗式環境中滴粒分隔340μm沉積於一長方形格柵上所產生之90nm厚的壓印來獲得充填速率。在芯吸之後但充填測試之前,經氧化矽塗覆的晶圓係塗覆有TranSpinTM 以(a)密封住開放表面孔隙防止阻劑在壓印期間被芯吸進去及(b)作為一對於阻劑的黏著促進劑。相較於具有粗糙表面的膜,對於作為壓印表面之經高度拋光的膜預期使充填時間縮短。在一部J.A.伍倫(J.A. Woollam)M-2000 DI橢圓儀上測量膜的折射率。
膜C為多孔性並預定塗覆有一蓋覆層以供進一步加工(譬如密封、圖案狀、及特徵構造蝕刻)。此膜是適合作為多孔第一層(譬如一多孔中間層)之一層的範例。相較於表6所列的較密集單層而言,藉由所測量密度、滴芯吸結果、及快速充填時間可得知具有孔隙性。
膜D包括膜C上的一蓋覆件。使用一較低溫度蓋覆製程(270℃),其與第一層具有相同溫度。因為溫度未超過高於第一層製程,此較低溫度製程可在第二層沉積期間降低第一(中間)層中的不良熱變化。
膜B、E、F及G係於335℃加工且皆展現非芯吸的屬性。其他製程條件(譬如,氣體流率、壓力及功率)係如表6所述般變動。偏好採用一較密集蓋覆件以將特徵構造圖案化成為一膜。尚且,膜E及G由相同製程形成,但膜E是膜G(約4μm)的兩倍厚(約8μm)。藉由SEM的交叉剖切(cross-sectioning)及測量獲得膜厚度。
第20A及20B圖顯示膜C上之一壓印阻劑的芯吸之照片。壓印阻劑沉積成為膜C上的壓印阻劑180滴之後,一旦晶圓階台安頓下來,即取得第20A圖的影像。壓印阻劑180滴係快速地穿透膜。在第20A圖影像過後5秒所取得之第20B圖中不再可分辨滴的輪廓。滴180快速地分散成為位於擴散通過膜的滴之間的氣體。
第21A及21B圖顯示一壓印阻劑分散於膜D上之影像。滴180配送至膜上之後,一旦晶圓階台安頓下來,即取得第21A圖的影像。120秒過後所取得的第21B圖係顯示滴180尺寸實質並無變化。膜D被視為一非芯吸膜的範例。
範例4。以一PECVD多孔氧化矽膜製造一測得65×65×6.4mm的熔合矽土模板,以展現通過模板側vs.晶圓側之經增強的氣體擴散。一層約4μm厚的氧化矽係生長在一具有測得26×32mm及15μm高度的台面之外核心式(cored-out)熔合矽土模板的表面上。模板的外核心區被設定在一PlasmaTherm 790中的夾盤上所放置之一2”直徑×0.25”厚的經拋光熔合矽土板上。一多孔氧化矽層沉積之後,一有機聚合物及一含矽聚合物係旋塗於多孔氧化矽層膜頂上以平面化拓樸結構並蓋覆住多孔層以防止壓印阻劑穿透至氧化物內。旋塗製程中採用得自釀製器科技(Brewer Science)(密蘇里州羅拉)之旋塗器CEE4000。模板以100nm的TranSpinTM 被旋塗且以160℃及3分鐘使經塗覆側朝下在一熱板上予以緊鄰烘烤。模板隨後以類似於被合併於本文中以供參考的美國專利案No.7,122,079所描述材料級別之100nm的一高含矽聚合物阻劑予以旋塗,並以160℃及3分鐘使經塗覆側朝下在一熱板上予以緊鄰烘烤。因為一台面在旋塗前係位於模板上,一邊緣圓緣係沿著台面的頂表面側形成,因此在一乾蝕刻製程期間使用一測得約20×20mm的經切分矽晶圓體件作為一罩幕以移除邊緣圓緣並界定一新台面於氧化矽層中。矽罩幕隨後被移除且模板曝露於低功率氧電漿以氧化高含矽聚合物的表面來賦予一些SiOx 字元供濕潤及釋放性質用。模板在得自泉恩科技(Trion Technology)(佛羅里達州清水)的一甲骨文(Oracle)III蝕刻器中被蝕刻及氧化。
模板在塗覆有60nm的TranSpinTM 之200mm DSP矽晶圓上於一氦吹洗式環境中被壓印。得自分子壓印公司(Molecular Imprints,Inc.)的MonoMat壓印阻劑係以一具有近似340μm中心至中心的滴間隔之直線性格柵圖案被配送產生約90nm厚的壓印。如第22A圖所示,在模板接觸阻劑時藉由一顯微鏡攝影機觀察到壓印阻劑180滴之間的間隙性區位182。第22B、22C、22D圖中的影像分別在第22A圖的影像之後0.3秒、0.7秒及1.2秒攝取。如第22D圖所示,間隙性區位係在阻劑被模板接觸之後1.2秒內消失,使得模板的表面實質覆蓋有壓印阻劑。
第19A-19C圖所示的照片係經由一不含多孔膜而是被壓印於一如上述類似膜堆積體上之熔合矽土模板取得。第19C圖顯示4秒後留存的間隙性氣體囊袋。因此,多孔氧化矽層可容許快速的氦攝取,其導致比起不含多孔氧化物層之一具有一熔合矽土的類似空隙更快三倍之空隙充填。
熟習該技藝者將從此描述得知不同態樣的其他修改及替代性實施例。為此,此描述只被詮釋為示範性。請瞭解此處所顯示及描述的形式係被視為實施例的範例。元件及材料可取代此處所顯示及描述者,部份及製程可被逆轉,且可獨立地利用特定的特徵構造,熟習可從此描述獲益的技藝者將得知所有上述情形。此處所描述的元件可作改變而不脫離申請專利範圍所描述的精神與範圍。
10...微影性系統
12...基材
14...基材夾盤
16...階台
18,110...模板
20...台面,模具
22...圖案化表面
24...凹部,特徵構造
26...突件,特徵構造
28...夾盤
30...壓印頭
32...流體配送系統
34...可聚合化材料
38...能量供源
40...直接能量
42...路徑
44...基材12的表面
46...圖案狀層
48...殘留層
50...突件
52...凹件
54...處理器
56...記憶體
59,105...密封層
60...氣體,氣體囊袋
61,68,103...多孔層
62,102...基底層
63...第二層
64...第一層
65...孔隙,惰性氣體
66,66a,66b,66c-e...減除層
68a,68b...滲透層
69...氣體
90...製程
91,92,93,94,95...步驟
100...模板
104...蝕刻停止層
106...頂層,蓋覆層
107...標記區
108...介面
112...模板的壓印表面上之層或膜
114...應力減除層
180...壓印阻劑
182...滴間隙性區
△p ...橫越膜的壓力差
A ...面積
d...距離
D ...擴散係數
FC ,FC1 ,FC2 ,FC3 ...壓縮力
FT ,FT1 ,FT2 ...拉力
J ...通量(flux)
l1 ,l2 ...厚度
P ...滲透性
P1 ,P2 ...滲透性
Rp ...抗滲性
S ...溶解度
t1 ...突件厚度
t2 ...殘留層厚度
tR1 ...減除層66a厚度
tR2 ...減除層66b厚度
第1圖顯示一微影性系統的簡化側視圖;
第2圖顯示其上設有一圖案狀層之第1圖所示的基材之簡化側視圖;
第3圖顯示被困陷於一基材與一模板之間的一氣體囊袋之側視圖;
第4圖顯示一具有一多孔層之模板的側視圖;
第5圖顯示一具有一不對稱多孔層之模板;
第6圖顯示一單元性多孔模板;
第7圖顯示一不含基底層之多孔模板;
第8A圖顯示一具有一經密封蓋覆層之多孔模板;
第8B圖顯示一具有一經密封多孔層之多孔模板;
第9圖為一用於形成一蓋覆層於一多孔層上而在多孔層中具有降低的孔隙阻塞之製程的流程圖;
第10圖顯示形成一蓋覆層於一多孔層上而多孔層具有降低的阻塞;
第11圖顯示一具有與一多孔層相關聯的拉應力之模板的側視圖;
第12圖顯示一具有一多孔層及一減除層之模板的側視圖;
第13A及13B圖顯示一具有一多孔層及多重的減除層之模板的側視圖;
第14圖顯示一具有多重的多孔材料及多重的減除層之模板的側視圖;
第15A及15B圖顯示添加與模具相對的一應力減除層之一奈米壓印微影術模板上的應力降低;
第16圖顯示一具有一蝕刻停止層之奈米壓印微影術模板;
第17A及17B圖顯示一具有一作為度量標記的標記區之奈米壓印微影術模板;
第18A及18B圖為顯示一基材與一具有一多孔中間層的模板之間的壓印阻劑分散之照片;
第19A、19B及19C圖為顯示一基材與一不含多孔層的模板之間的壓印阻劑分散之照片;
第20A及20B圖為顯示壓印阻劑快速芯吸至一多孔模板內之照片;
第21A及21B圖為顯示壓印阻劑緩慢芯吸至一具有一多孔層及一蓋覆層的模板內之照片;
第22A至22D圖為顯示當滴粒分散時與一模板接觸的滴粒之間的空隙充填之照片。
10...微影性系統
12...基材
14...基材夾盤
16...階台
18...模板
20...台面,模具
22...圖案化表面
24...凹部,特徵構造
26...突件,特徵構造
28...夾盤
30...壓印頭
32...流體配送系統
34...可聚合化材料
38...能量供源
40...直接能量
42...路徑
44...基材12的表面
54...處理器
56...記憶體

Claims (4)

  1. 一種形成一層於一壓印微影術模板上之方法,該方法包含:將一界定有多數個孔隙之壓印微影術模板定位在一真空室中;第一次排空該室;以一第一惰性氣體吹洗該室;第二次排空該室;以一第二惰性氣體所飽和該室及該壓印微影術模板;導入一含矽氣體及一或多種其他氣體至該室中;及引發一電漿製程以沉積一含矽層於該壓印微影術模板的表面上。
  2. 一種壓印微影術模板,包含:一第一層;一第二層,其中該第二層是一壓印微影術模板的一圖案狀層;及兩或更多個被定位於該第一層與該第二層之間的中間層,其中該等中間層的至少一者係為一多孔層,而該等中間層的至少一者係為一應力減除層,其被組構為可降低一作用在該多孔中間層上的力。
  3. 一種壓印微影術模板,包含:一第一層;一第二層,其中該第二層係為一壓印微影術模板的一圖案狀層;及 一被定位於該第一層與該第二層之間的中間層,其中該中間層被組構為可降低一作用在該圖案狀第二層上的力。
  4. 一種壓印微影術模板,包含:一第一層;一第二層;及一被定位於該壓印微影術模板的該第一層與該第二層之間的中間層,其中該中間層被組構為可容許以該中間層與該第二層之間的物理性質差異為基礎來評估該第二層的厚度。
TW98135932A 2008-10-23 2009-10-23 高通量奈米壓印微影術模板之製造技術 TWI402160B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10772008P 2008-10-23 2008-10-23
US11005108P 2008-10-31 2008-10-31
US22739509P 2009-07-21 2009-07-21

Publications (2)

Publication Number Publication Date
TW201024077A TW201024077A (en) 2010-07-01
TWI402160B true TWI402160B (zh) 2013-07-21

Family

ID=42117806

Family Applications (1)

Application Number Title Priority Date Filing Date
TW98135932A TWI402160B (zh) 2008-10-23 2009-10-23 高通量奈米壓印微影術模板之製造技術

Country Status (4)

Country Link
US (1) US20100104852A1 (zh)
JP (1) JP5502095B2 (zh)
TW (1) TWI402160B (zh)
WO (1) WO2010047821A1 (zh)

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060081557A1 (en) 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US8389048B2 (en) * 2006-02-10 2013-03-05 Showa Denko K.K. Magnetic recording medium, method for production thereof and magnetic recording and reproducing device
JP4634354B2 (ja) * 2006-09-22 2011-02-16 昭和電工株式会社 磁気記録媒体の製造方法
EP2212742B1 (en) * 2007-11-21 2014-07-02 Molecular Imprints, Inc. Porous template and imprinting stack for nano-imprint lithography
US20100072671A1 (en) * 2008-09-25 2010-03-25 Molecular Imprints, Inc. Nano-imprint lithography template fabrication and treatment
US8470188B2 (en) * 2008-10-02 2013-06-25 Molecular Imprints, Inc. Nano-imprint lithography templates
US20100109201A1 (en) * 2008-10-31 2010-05-06 Molecular Imprints, Inc. Nano-Imprint Lithography Template with Ordered Pore Structure
NL2003600A (en) * 2008-12-04 2010-06-07 Asml Netherlands Bv Imprint lithography apparatus and method.
US20110148008A1 (en) * 2009-12-23 2011-06-23 National Cheng Kung University Micro-nano imprint mould and imprinting process
WO2011094317A2 (en) * 2010-01-26 2011-08-04 Molecular Imprints, Inc. Micro-conformal templates for nanoimprint lithography
WO2011094696A2 (en) * 2010-01-29 2011-08-04 Molecular Imprints, Inc. Ultra-compliant nanoimprint lithography template
EP2532508A4 (en) * 2010-02-03 2014-01-08 Asahi Glass Co Ltd METHOD FOR PRODUCING AN ARTICLE WITH A FINISHED SURFACE STRUCTURE
JP5185312B2 (ja) * 2010-03-19 2013-04-17 株式会社東芝 パターン形成方法
JP5618588B2 (ja) 2010-03-24 2014-11-05 キヤノン株式会社 インプリント方法
JP5504054B2 (ja) 2010-05-27 2014-05-28 株式会社東芝 インプリントマスク、その製造方法、及び半導体装置の製造方法
JP5491997B2 (ja) * 2010-07-07 2014-05-14 株式会社東芝 テンプレートの製造方法および半導体装置の製造方法
US8541053B2 (en) 2010-07-08 2013-09-24 Molecular Imprints, Inc. Enhanced densification of silicon oxide layers
JP2012074556A (ja) * 2010-09-29 2012-04-12 Fujifilm Corp ナノインプリントモールド、その製造方法およびそれを用いたナノインプリント方法
US8232026B2 (en) * 2010-10-14 2012-07-31 Ford Global Technologies, Llc Bipolar plates for electrochemical cells
WO2012087493A2 (en) * 2010-12-20 2012-06-28 Applied Materials, Inc. In-situ low-k capping to improve integration damage resistance
JP2012204428A (ja) * 2011-03-24 2012-10-22 Toshiba Corp パターン形成方法
US9616614B2 (en) 2012-02-22 2017-04-11 Canon Nanotechnologies, Inc. Large area imprint lithography
JP2014027006A (ja) * 2012-07-24 2014-02-06 Disco Abrasive Syst Ltd ウエーハの加工方法
JP6123304B2 (ja) * 2013-01-18 2017-05-10 大日本印刷株式会社 テンプレート用積層基板、テンプレートブランク、ナノインプリント用テンプレート、および、テンプレート基板の再生方法、並びに、テンプレート用積層基板の製造方法
US20140212534A1 (en) * 2013-01-30 2014-07-31 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
CN105143976B (zh) * 2013-03-15 2019-12-17 佳能纳米技术公司 使用具有金属或氧化物涂层的可再次利用的聚合物模板的纳米压印
CN105164210B (zh) * 2013-06-19 2019-09-27 惠普发展公司,有限责任合伙企业 用于三维(3d)打印的组合物
JP2015005760A (ja) * 2014-07-31 2015-01-08 キヤノン株式会社 インプリント装置、および物品の製造方法
WO2016065308A1 (en) * 2014-10-23 2016-04-28 Board Of Regents, The University Of Texas System Nanoshape patterning techniques that allow high-speed and low-cost fabrication of nanoshape structures
JP5989177B2 (ja) * 2015-04-20 2016-09-07 キヤノン株式会社 インプリント装置、および物品の製造方法
US20210239215A1 (en) * 2015-07-17 2021-08-05 The Patent Well LLC Tacky polyurethane composites
US20170066208A1 (en) 2015-09-08 2017-03-09 Canon Kabushiki Kaisha Substrate pretreatment for reducing fill time in nanoimprint lithography
US10488753B2 (en) 2015-09-08 2019-11-26 Canon Kabushiki Kaisha Substrate pretreatment and etch uniformity in nanoimprint lithography
WO2017044421A1 (en) * 2015-09-08 2017-03-16 Canon Kabushiki Kaisha Substrate pretreatment and etch uniformity in nanoimprint lithography
JP6655988B2 (ja) 2015-12-25 2020-03-04 キヤノン株式会社 インプリント装置の調整方法、インプリント方法および物品製造方法
US10620539B2 (en) 2016-03-31 2020-04-14 Canon Kabushiki Kaisha Curing substrate pretreatment compositions in nanoimprint lithography
US10095106B2 (en) 2016-03-31 2018-10-09 Canon Kabushiki Kaisha Removing substrate pretreatment compositions in nanoimprint lithography
US10134588B2 (en) 2016-03-31 2018-11-20 Canon Kabushiki Kaisha Imprint resist and substrate pretreatment for reducing fill time in nanoimprint lithography
US10509313B2 (en) * 2016-06-28 2019-12-17 Canon Kabushiki Kaisha Imprint resist with fluorinated photoinitiator and substrate pretreatment for reducing fill time in nanoimprint lithography
CN109804275B (zh) * 2016-08-26 2023-08-25 分子印记公司 制造单片光子器件的方法、光子器件
US20190384167A1 (en) 2017-01-27 2019-12-19 Arizona Board Of Regents On Behalf Of Arizona State University Electrochemical imprinting of micro- and nano-structures in porous silicon, silicon, and other semiconductors
US10317793B2 (en) * 2017-03-03 2019-06-11 Canon Kabushiki Kaisha Substrate pretreatment compositions for nanoimprint lithography
US10074559B1 (en) 2017-03-07 2018-09-11 Applied Materials, Inc. Selective poreseal deposition prevention and residue removal using SAM
US10303049B2 (en) * 2017-03-22 2019-05-28 Canon Kabushiki Kaisha Reducing electric charge in imprint lithography
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10895806B2 (en) * 2017-09-29 2021-01-19 Canon Kabushiki Kaisha Imprinting method and apparatus
US10002771B1 (en) * 2017-10-10 2018-06-19 Applied Materials, Inc. Methods for chemical mechanical polishing (CMP) processing with ozone
EP3698181A4 (en) 2017-10-20 2020-11-18 Magic Leap, Inc. CONFIGURATION OF OPTICAL LAYERS IN THE PRINT LITHOGRAPHY PROCESS
AU2018357941A1 (en) 2017-11-02 2020-06-11 Magic Leap, Inc. Preparing and dispensing polymer materials and producing polymer articles therefrom
CN116154093A (zh) * 2017-12-20 2023-05-23 宁德时代新能源科技股份有限公司 一种负极极片,其制备方法及电化学装置
US11126083B2 (en) 2018-01-24 2021-09-21 Canon Kabushiki Kaisha Superstrate and a method of using the same
KR102655866B1 (ko) 2018-01-31 2024-04-05 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
CN109307697B (zh) * 2018-11-05 2021-02-23 济南大学 一种检测吡喹酮的电致化学发光传感电极的制备方法及应用
US11315789B2 (en) 2019-04-24 2022-04-26 Tokyo Electron Limited Method and structure for low density silicon oxide for fusion bonding and debonding
JP7041699B2 (ja) * 2020-01-31 2022-03-24 キヤノン株式会社 インプリント装置の情報出力方法、インプリント装置、情報出力方法および装置
WO2022138331A1 (ja) * 2020-12-22 2022-06-30 キヤノン株式会社 膜形成方法および物品製造方法
CN113204169A (zh) * 2021-04-12 2021-08-03 新沂崚峻光电科技有限公司 一种新型压印膜的制备方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6818139B1 (en) * 1999-04-21 2004-11-16 Minuta Technology Co., Ltd. Method for forming a micro-pattern on a substrate
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
TW200709270A (en) * 2005-07-15 2007-03-01 Ibm Epitaxial imprinting
US20070287294A1 (en) * 2006-06-08 2007-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structures and methods for fabricating the same
TWI301999B (en) * 2004-12-01 2008-10-11 Molecular Imprints Inc Eliminating printability of sub-resolution defects in imprint lithography

Family Cites Families (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4714810A (en) * 1986-07-28 1987-12-22 Arizona Board Of Regents Means and methods for heating semiconductor ribbons and wafers with microwvaes
US5028511A (en) * 1989-05-30 1991-07-02 E. I. Du Pont De Nemours And Company Process for preparing a precolored image using photosensitive reproduction element containing a photorelease layer
US5792550A (en) * 1989-10-24 1998-08-11 Flex Products, Inc. Barrier film having high colorless transparency and method
US5066231A (en) * 1990-02-23 1991-11-19 Minnesota Mining And Manufacturing Company Dental impression process using polycaprolactone molding composition
FR2693727B1 (fr) * 1992-07-20 1994-08-19 Ceramiques Tech Soc D Polycondensat organo-minéral et procédé d'obtention.
JP2989453B2 (ja) * 1993-11-30 1999-12-13 三菱鉛筆株式会社 連続気孔を有する多孔性ゴム印材
US5928767A (en) * 1995-06-07 1999-07-27 Dexter Corporation Conductive film composite
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
ATE275600T1 (de) * 1997-12-09 2004-09-15 Sba Materials Inc Blockcopolymerverarbeitung für mesostrukturierte anorganische oxidmaterialien
JP2002505766A (ja) * 1998-04-15 2002-02-19 エテック システムズ インコーポレイテッド ホトレジスト現像剤および現像方法
US6435948B1 (en) * 2000-10-10 2002-08-20 Beaver Creek Concepts Inc Magnetic finishing apparatus
US6329017B1 (en) * 1998-12-23 2001-12-11 Battelle Memorial Institute Mesoporous silica film from a solution containing a surfactant and methods of making same
US6238798B1 (en) * 1999-02-22 2001-05-29 3M Innovative Properties Company Ceramer composition and composite comprising free radically curable fluorochemical component
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
EP1275670B1 (en) * 2000-01-21 2005-08-10 Mitsui Chemicals, Inc. Olefin block copolymers, production processes of the same and use thereof
US6465365B1 (en) * 2000-04-07 2002-10-15 Koninklijke Philips Electronics N.V. Method of improving adhesion of cap oxide to nanoporous silica for integrated circuit fabrication
WO2002007191A2 (en) * 2000-07-13 2002-01-24 The Regents Of The Universty Of California Silica zeolite low-k dielectric thin films
US6500755B2 (en) * 2000-12-06 2002-12-31 Advanced Micro Devices, Inc. Resist trim process to define small openings in dielectric layers
US6660245B1 (en) * 2001-02-13 2003-12-09 Novellus Systems, Inc. Methods for detemplating zeolites and silicalites for use in integrated circuit manufacture
US20040065979A1 (en) * 2001-02-26 2004-04-08 Wang James C. Injector tip-and-die assembly construction and method
US7141188B2 (en) * 2001-05-30 2006-11-28 Honeywell International Inc. Organic compositions
KR100429200B1 (ko) * 2001-06-11 2004-05-03 주식회사 하이닉스반도체 불휘발성 강유전체 메모리 장치의 칼럼 구제회로 및 그구제방법
US6483174B1 (en) * 2001-08-16 2002-11-19 Jds Uniphase Corporation Apparatus and method for dicing and testing optical devices, including thin film filters
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US20030127002A1 (en) * 2002-01-04 2003-07-10 Hougham Gareth Geoffrey Multilayer architechture for microcontact printing stamps
TWI339680B (en) * 2002-02-19 2011-04-01 Kanto Kagaku Washing liquid composition for semiconductor substrate
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6824378B2 (en) * 2002-05-31 2004-11-30 3M Innovative Properties Company Microreplication tool with gas release features
US20080160129A1 (en) * 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6936194B2 (en) * 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
JP2004098647A (ja) * 2002-09-10 2004-04-02 Pao Feng Lee 色彩物の加工製造方法
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
JP4170735B2 (ja) * 2002-11-13 2008-10-22 信越化学工業株式会社 ゼオライトゾルとその製造方法、多孔質膜形成用組成物、多孔質膜とその製造方法、層間絶縁膜及び半導体装置
US6790790B1 (en) * 2002-11-22 2004-09-14 Advanced Micro Devices, Inc. High modulus filler for low k materials
US6960327B2 (en) * 2003-01-30 2005-11-01 The Regents Of The University Of California Methods for removing organic compounds from nano-composite materials
US7179396B2 (en) * 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US7396475B2 (en) * 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
US7157036B2 (en) * 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
TWI240648B (en) * 2003-09-30 2005-10-01 Univ Nat Central Method for making transparent zeolite film and structure of the zeolite film
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
WO2005082277A1 (en) * 2004-02-18 2005-09-09 Stanford University Drug delivery systems using mesoporous oxide films
US8076386B2 (en) * 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US20050230882A1 (en) * 2004-04-19 2005-10-20 Molecular Imprints, Inc. Method of forming a deep-featured template employed in imprint lithography
CN102004393B (zh) * 2004-04-27 2013-05-01 伊利诺伊大学评议会 用于软光刻法的复合构图设备
US20080055581A1 (en) * 2004-04-27 2008-03-06 Rogers John A Devices and methods for pattern generation by ink lithography
FR2869601B1 (fr) * 2004-04-28 2006-06-09 Commissariat Energie Atomique Moule pour la nano-impression, procede de fabrication d'un tel moule et utilisation d'un tel moule
US7629272B2 (en) * 2004-06-07 2009-12-08 Axcelis Technologies, Inc. Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
US7422776B2 (en) * 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
JP4893905B2 (ja) * 2004-08-31 2012-03-07 独立行政法人産業技術総合研究所 ゼオライト用原料液体、ゼオライト結晶作成方法、ゼオライト用原料液体の作成方法、およびゼオライト薄膜
AU2005282060A1 (en) * 2004-09-08 2006-03-16 Nil Technology Aps A flexible nano-imprint stamp
US20060081557A1 (en) * 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US7303989B2 (en) * 2004-11-22 2007-12-04 Intel Corporation Using zeolites to improve the mechanical strength of low-k interlayer dielectrics
US7365375B2 (en) * 2005-03-25 2008-04-29 Intel Corporation Organic-framework zeolite interlayer dielectrics
KR100744068B1 (ko) * 2005-04-29 2007-07-30 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 제조 방법
JP4290177B2 (ja) * 2005-06-08 2009-07-01 キヤノン株式会社 モールド、アライメント方法、パターン形成装置、パターン転写装置、及びチップの製造方法
JP4330168B2 (ja) * 2005-09-06 2009-09-16 キヤノン株式会社 モールド、インプリント方法、及びチップの製造方法
JP2007119309A (ja) * 2005-10-28 2007-05-17 Asahi Glass Co Ltd 処理基材の製造方法
US7381659B2 (en) * 2005-11-22 2008-06-03 International Business Machines Corporation Method for reducing film stress for SiCOH low-k dielectric materials
FR2893610B1 (fr) * 2005-11-23 2008-07-18 Saint Gobain Procede de structuration de surface d'un produit verrier, produit verrier a surface structuree et utilisations
US20070123059A1 (en) * 2005-11-29 2007-05-31 Haverty Michael G Methods of internal stress reduction in dielectric films with chemical incorporation and structures formed thereby
US7947579B2 (en) * 2006-02-13 2011-05-24 Stc.Unm Method of making dense, conformal, ultra-thin cap layers for nanoporous low-k ILD by plasma assisted atomic layer deposition
JP4872052B2 (ja) * 2006-04-12 2012-02-08 独立行政法人産業技術総合研究所 微細金型コア部材
US20080000373A1 (en) * 2006-06-30 2008-01-03 Maria Petrucci-Samija Printing form precursor and process for preparing a stamp from the precursor
KR100831046B1 (ko) * 2006-09-13 2008-05-21 삼성전자주식회사 나노 임프린트용 몰드 및 그 제조 방법
TW200826319A (en) * 2006-12-14 2008-06-16 Univ Nat Central LED with micron and nano structure
TWI339881B (en) * 2007-02-15 2011-04-01 Via Tech Inc Chip package
US8025932B2 (en) * 2007-02-21 2011-09-27 Colorado School Of Mines Self-limiting thin film synthesis achieved by pulsed plasma-enhanced chemical vapor deposition
US20100108639A1 (en) * 2007-03-30 2010-05-06 Pioneer Corporation Imprinting mold and method of producing imprinting mold
EP2212742B1 (en) * 2007-11-21 2014-07-02 Molecular Imprints, Inc. Porous template and imprinting stack for nano-imprint lithography
US20090266418A1 (en) * 2008-02-18 2009-10-29 Board Of Regents, The University Of Texas System Photovoltaic devices based on nanostructured polymer films molded from porous template
US20100072671A1 (en) * 2008-09-25 2010-03-25 Molecular Imprints, Inc. Nano-imprint lithography template fabrication and treatment
US8470188B2 (en) * 2008-10-02 2013-06-25 Molecular Imprints, Inc. Nano-imprint lithography templates
US20100109201A1 (en) * 2008-10-31 2010-05-06 Molecular Imprints, Inc. Nano-Imprint Lithography Template with Ordered Pore Structure
WO2011094317A2 (en) * 2010-01-26 2011-08-04 Molecular Imprints, Inc. Micro-conformal templates for nanoimprint lithography
WO2011094696A2 (en) * 2010-01-29 2011-08-04 Molecular Imprints, Inc. Ultra-compliant nanoimprint lithography template

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6818139B1 (en) * 1999-04-21 2004-11-16 Minuta Technology Co., Ltd. Method for forming a micro-pattern on a substrate
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
TWI301999B (en) * 2004-12-01 2008-10-11 Molecular Imprints Inc Eliminating printability of sub-resolution defects in imprint lithography
TW200709270A (en) * 2005-07-15 2007-03-01 Ibm Epitaxial imprinting
US20070287294A1 (en) * 2006-06-08 2007-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structures and methods for fabricating the same

Also Published As

Publication number Publication date
JP2012507140A (ja) 2012-03-22
US20100104852A1 (en) 2010-04-29
TW201024077A (en) 2010-07-01
WO2010047821A1 (en) 2010-04-29
JP5502095B2 (ja) 2014-05-28

Similar Documents

Publication Publication Date Title
TWI402160B (zh) 高通量奈米壓印微影術模板之製造技術
JP5727788B2 (ja) ナノインプリント・リソグラフィ用の多孔質テンプレートおよびインプリント用スタック
US8470188B2 (en) Nano-imprint lithography templates
US8394282B2 (en) Adaptive nanotopography sculpting
US7179396B2 (en) Positive tone bi-layer imprint lithography method
US20100109201A1 (en) Nano-Imprint Lithography Template with Ordered Pore Structure
US20100072671A1 (en) Nano-imprint lithography template fabrication and treatment
TW201529506A (zh) 用於紫外線微影的玻璃陶瓷及其製造方法
TWI520218B (zh) 矽氧化物層之增進緻密化技術
US20100140218A1 (en) Positive Tone Bi-Layer Method
US20140212534A1 (en) Fabrication of High-Throughput Nano-Imprint Lithography Templates
US20130084352A1 (en) Mold having release layer for imprinting, method for producing mold having release layer for imprinting, and method for producing copy mold
US20100084333A1 (en) Fabrication of reinforced nanoporous membranes
Akita et al. Atomically stepped glass surface formed by nanoimprint
JP6523873B2 (ja) マスクブランクの製造方法、転写用マスクの製造方法、およびマスクブランク
JP5481438B2 (ja) インプリント用モールドおよびパターン形成方法
TW200907562A (en) Template having a silicon nitride, silicon carbide or silicon oxynitride film
TWI409583B (zh) 用於奈米壓印微影術之多孔性模板及壓印堆疊體
TWI423306B (zh) 適應性奈米形貌刻蝕技術
EP3238232A1 (fr) Procédé d&#39;obtention de motifs dans une couche
Keilen Investigation of Chemical Mechanical Polishing to Enhance Feature Resolution by Atomic Layer Deposition
Zhang et al. High quality Bragg gratings fabricated by nanoimprint lithography