JP5160137B2 - 埋め込みカーボン・ドーパントを用いた半導体デバイス - Google Patents

埋め込みカーボン・ドーパントを用いた半導体デバイス Download PDF

Info

Publication number
JP5160137B2
JP5160137B2 JP2007113990A JP2007113990A JP5160137B2 JP 5160137 B2 JP5160137 B2 JP 5160137B2 JP 2007113990 A JP2007113990 A JP 2007113990A JP 2007113990 A JP2007113990 A JP 2007113990A JP 5160137 B2 JP5160137 B2 JP 5160137B2
Authority
JP
Japan
Prior art keywords
layer
stress
carbon
inducing
interface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007113990A
Other languages
English (en)
Other versions
JP2007300103A (ja
Inventor
アンダ・クレイタ・モキュータ
デュレセティ・チダンバラオ
リカルド・アルベス・ドナトン
ケン・リム
デービッド・マサラ・オンソンゴ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2007300103A publication Critical patent/JP2007300103A/ja
Application granted granted Critical
Publication of JP5160137B2 publication Critical patent/JP5160137B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Recrystallisation Techniques (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Thin Film Transistor (AREA)

Description

本発明は、一般に、半導体デバイス及びその製造方法に関し、より具体的には、半導体デバイスと、応力成分を有するN型FETデバイス及びP型FETデバイスを製造する方法とに関する。
半導体デバイス基板内部の機械的応力によって、デバイスの性能を調節することができる。すなわち、半導体デバイス内部の応力が、半導体デバイスの特性を高めることは知られている。したがって、半導体デバイスの特性を改善するために、N型FET及び/又はP型FETのチャネル内に引張応力及び/又は圧縮応力を生成する。しかし、応力成分がN型FETデバイス及びP型FETデバイスの挙動に異なる影響を与えることがよく知られている。
集積回路(IC)チップ内部のN型FETとP型FETの両方の性能を最大にするために、N型FET及びP型FETについて別々に応力成分を設計し、適用すべきである。N型FETの性能にとって有利な応力のタイプは、一般に、P型FETの性能にとって不都合であるため、別々に応力成分を設計して適用すべきである。より具体的には、デバイスに張力がかかったときには、N型FETの性能特性は向上するが、P型FETの性能特性は低下する。
異なる応力要件に適応させるために、異なる材料の組み合わせを用いて、引張応力をN型FETに与え、圧縮応力をP型FETに与えることが知られている。応力をFETに導入するための既知のプロセスにおいては、別個のプロセス及び/又は材料を用いて、引張応力又は圧縮応力をN型FET及びP型FETのそれぞれに生成する。例えば、N型FETデバイス及びP型FETデバイスのためのトレンチ分離構造を用いることが知られている。この方法によれば、N型FETデバイスのための分離領域は、N型FETデバイスの長手方向及び横方向に第1のタイプの機械的応力を与える第1の分離材料を含む。さらに、P型FETのために第1の分離領域及び第2の分離領域を設け、P型FETデバイスの分離領域の各々が、P型FETデバイスの横方向に固有の機械的応力を与える。
N型FETとP型FETの両方に歪みを与えるさらなる方法は、スペーサ若しくはゲート側壁又はコンタクト・スタッドのエッチング停止ライナについて、パターン形成され引張歪み又は圧縮歪みが与えられた窒化シリコン層を使用することを含む。
これらの方法は、N型FETデバイスに引張応力が与えられ、P型FETデバイスの長手方向に沿って圧縮応力が与えられた構造体を提供するものであるが、付加的な材料及び/又はより複雑な処理を必要とし、結果的にコストが高くなる。また、これらの方法によって生じる応力のレベルは、技術的なスケーリング(technologyscaling)に伴って、飽和し、低下する傾向もある。さらに、現行の製造装置においては、N型FETのゲート領域に埋め込みSiGe層を含み、P型FETのソース/ドレイン領域に埋め込みSiGe層を含む同一の基板上に、N型FETデバイスとP型FETデバイスの両方を含む方法及び装置が、別々のプロセスの際に提供される。これらの最近の方法は、応力が、ゲート長のさらなるスケーリングに伴って増大し、設計グラウンド・ルール全体のスケーリングによってさほど影響を受けない、歪みチャネルN型FETデバイス及びP型FETデバイスを提供する。
これらのチャネルの歪み領域における欠点は、電荷移動度の低下とそれによるデバイス性能の低下とをもたらすミスフィット転位の形成である。こうした望ましくないミスフィット転位は、デバイスが作られ、高温で処理されたときに形成される。
シリコン膜における歪み緩和の問題は、膜の全体の厚さを極めて薄く(500Åより薄く)維持する必要がある熱混合シリコン・ゲルマニウム・オン・インシュレータ・デバイス(SGOI)については、より一層重要になっている。熱混合SGOIにおいては、欠陥のない緩和SiGe層を形成し、続いてSiGeバッファ層をエピタキシャル成長させ、次いでSi層をエピタキシャル成長させる。最終的な膜の厚さを500Åより薄くする必要がある場合には、厚さが制限されるためSiGeバッファ層を成長させることができず、歪みSi層を熱混合SGOI膜の上に直接成長させなければならない。この場合には、エピ成長界面は、SiGe/Si界面に存在する。この界面は、通常、デバイス処理の際に歪み緩和をもたらす欠陥及びミスフィット転位を生成する。
本発明の第1の態様においては、半導体構造体を製造する方法は、層間の界面を介して応力誘発層(stress inducing layer)の上に応力受容層(stress receiving layer)を形成するステップを含む。本方法は、さらに、応力受容層と応力誘発層との間に、構造体におけるミスフィット転位を減少させるカーボン・ドーピングを行うステップを含む。
本発明の第2の態様においては、半導体構造体は、第1の材料と第2の材料とカーボン・ドープ材料とを有する応力含有構造体の上に配置された少なくとも1つのゲート・スタックを含む。カーボン・ドープ材料は、構造体におけるミスフィット転位を減少させるように構成される。カーボン・ドープ材料は、第1の材料と第2の材料との界面に存在することができる。第1の材料若しくはその一部をカーボン・ドープするか、又は、第2の材料若しくはその一部をカーボン・ドープすることができる。第1の材料は応力誘発材料であり、第2の材料は応力受容材料である。
本発明の第3の態様においては、半導体デバイスは、SiGe応力誘発層とSi応力受容層とSiGe応力誘発層及びSi応力受容層の間の界面においてミスフィット転位を減少させるカーボン・ドープ材料とを含む層状構造体の上に配置されたN型FETデバイスを含む。
本発明は、半導体構造体及びその製造方法に関し、より具体的には、隣接するソース/ドレイン領域と共に、少なくとも1つのSiGe層と少なくとも1つのシリコン層とを有するN型FETデバイスに関する。本発明の実施形態においては、2つの層の界面領域はある程度のカーボンを含む。さらなる実施形態においては、SiGe層は、いかなる緩和機構も持たない初期非緩和状態とするか、又は、塑性流動を伴う緩和状態とすることができる。SiGe層をカーボンでドープして、カーボン・ドープSiGe層を形成することができる。さらなる実施形態においては、界面におけるカーボンと、カーボンでドープされる緩和SiGe層又は非緩和SiGe層との組み合わせが考慮される。実施形態においては、本発明の構造体は、応力伝達を通してN型FETデバイスにおける電子移動度を高め、通常はデバイスの製造プロセスにおいて、特にアニール工程において生じるミスフィット転位及び貫通転位の数又は形成を制限する。
実施形態においては、緩和SiGe又は非緩和SiGeとシリコン界面との間の領域に0.01%から1%(原子百分率)の範囲でカーボンを存在させることによって、こうしたミスフィット転位の形成及び伝搬を防止する。同様に、実施形態においては、カーボン・ドープされた緩和SiGe若しくは非緩和SiGeの存在、又は、カーボン・ドープされた緩和SiGe若しくは非緩和SiGeと、SiGe層及びシリコンの界面におけるカーボン・ドープ・シリコンとの組み合わせの存在によって、こうしたミスフィット転位の形成及び伝搬を防止する。
カーボンの量は、ドーパント活性化に必要な特定の熱サイクルと、歪みシリコン膜の特定の歪み及び厚さに応じて、調整することができる。さらに、ミスフィット転位の存在による歪み緩和を防止するのに必要なカーボン濃度は、小さいものであり、応力誘発SiGe層の格子定数に影響を及ぼさない。1つの実施形態においては、歪みSi層の成長の最初の数単分子層にカーボンを導入することが有益である。
別の実施形態においては、カーボン・ドーピングは、SiGe層の成長の終盤に開始し、Si層が成長する間も継続することができ、この場合には、カーボン・ドープされる区域は、SiGe層からSi層にまで及ぶ。実施形態においては、SiGe/Siスタックの界面領域に、又は、SiGe層内のみ若しくはシリコン層内のみに、カーボンを導入することによって、他の潜在的な利点もあるが、(i)ミスフィット転位が全く存在しないか又はほとんど存在しないため、Si膜及び構造体全体の歪みが安定し、その結果デバイス性能の改善が可能になる、(ii)初期緩和SiGe構造体の場合には、カーボン含有量が不足する膜と比べて高い歪みを維持しながら、歪みSi膜の厚さを大きくすることが可能になる、(iii)所与のSi膜の厚さについてより高い歪みが可能になり、初期非緩和SiGe又は初期緩和SiGeのいずれの場合についても、N型FETの移動度及びデバイス性能を高める結果となる。
図1〜図4は、本発明に係るN型FETデバイスを形成する製造プロセスを示す。図1においては、例えばシリコン・オン・インシュレータ(SOI)などの基板10が準備される。当該技術分野において周知の典型的なSIMOX又は接合プロセスを用いて、基板10の上に任意の埋め込み酸化層(BOX)を形成することができる。SIMOX又は基板接合のいずれかによって、BOX12の上にシリコン層14を設ける。シリコン層14の上に緩和SiGe層又は非緩和SiGe層16を選択的に成長させ、別のシリコン層20がそれに続く。初期緩和膜の場合には、緩和プロセスは、当該技術分野において既知の標準的な熱混合SGOIプロセスによる。領域18は、層16と層20の界面におけるカーボン含有区域を示し、これによって、カーボンの含有量をSiGe層16及び/又はSi層20の中に到達させることができる。しかしながら、緩和SiGe層又は非緩和SiGe層16をカーボンでドープすることによって、その緩和状態又は非緩和状態を維持しながらカーボン・ドープSiGe層を形成できることを理解すべきである。この実装形態においてはカーボン領域18を除くことができるが、さらなる実施形態においては、カーボン・ドープSiGe層緩和又は非緩和SiGe層16とカーボン・ドープ層20との組み合わせが本発明によって検討される。
実施形態においては、層の厚さは、様々な範囲であり、例えば、基板層10は典型的には0.7mmである。BOXは、0Åから1200Åまでの厚さとすることができ、シリコン層14及び20は、90Åから350Åまで、好ましくは100Åから200Åまでの厚さとすることができる。さらに、SiGe層16は、100Åから600Åまで、好ましくは200Åから400Åまでの範囲とすることができる。層16から20までの厚さは、デバイスの種々の設計パラメータに応じて変えて、組み合わせた厚さが300Åから1000Åになるようにすることができることを理解すべきである。
領域18は、必ずしも本発明の分離材料の一部として構成する必要はなく、実施形態においては、ゲート・スタックの全体的な厚さには含まれない場合がある。例えば、SiGe層16をカーボン・ドープ層とすることができ、この場合には、図1から図4において領域18として符号を付ける必要はなくなる。このように、図1から図4は層18を示しているが、上述のように他の実施形態も本発明によって考慮されることを理解すべきである(例えば、層18は、構造体から完全に除くか、又は、カーボン・ドープSiGe層と組み合わせる及び/又はカーボン・ドープ・シリコン層と組み合わせることができる)。実施形態においては、層18は、層16と20との界面を定める領域と考えることができ、さらにカーボン・ドーパントを含み、領域の厚さは50Åから500Åであり、それにより、SiGe層16の中に0Åから500Åまで広がり、Si層20の中に0Åから200Åまで広がる。
実装形態においては、緩和SiGe層又は非緩和SiGe層16は、従来の方法で選択的に成長されられる。ゲルマニウム含有量は、Si含有量に対する割合で0%より多くすることができ、15%から35%までの範囲が本発明によって考慮される。結果として区域18が得られるカーボン・ドーピングは、堆積プロセスの間にカーボン含有前駆体を望ましい原子百分率で化学気相に加えることによって、層16の成長の間のいずれの時点においても開始することができる。カーボン・ドーピングは、層16の成長の完了後、層20の成長の間も、望ましい程度まで続けられる。カーボン・ドーピングは、化学気相堆積プロセスにおいてカーボン前駆体の流れを止めることによって終了するが、シリコン層20の成長は継続する。区域18におけるカーボンの原子百分率は、0.01%から1.0%まで範囲であり、好ましくは0.2%であり、好ましくは、ミスフィット転位を効果的に減少させるために1020カーボン原子/cmを上回る濃度である。
Siは、緩和SiGeより格子定数(すなわち、原子の間隔)が小さいため、その上面に成長するSi層20は、引張歪みを受ける、すなわち、シリコン原子は、その本来の状態より間隔が開いた状態となる。この歪みに誘発される間隔によって、ミスフィット転位の形成、すなわち加熱プロセス中の初期歪みシリコン層の変形が規則的に生じ、孤立したシリコン原子が、格子内におけるその位置を、その元素の格子定数と類似するエネルギー的により好ましい位置に変える。このミスフィット転位の形成は、例えばウェハの加熱などの歪み誘発後のプロセスや、下層16のゲルマニウムの含有量によって決まる。
興味深いことに、少量のカーボンを、界面に、実施形態においては緩和SiGe層又は非緩和SiGe層に加えることによって、シリコン層20におけるミスフィット転位の形成及び伝播が減少することが分かった。したがって、カーボンの濃度は、層18のゲルマニウム含有量と相関関係にある。シリコン層のカーボンの量は、チャネル内の電子移動度に悪影響を及ぼさないものと思われる。
図2は、浅いトレンチ分離領域(STI)22によって形成された分離チャネルを示す。絶縁トレンチ22は、リソグラフィ及びエッチングのステップを含む従来のパターン形成プロセスによって形成される。STI22を形成するために、例えば、パッド酸化、パッド窒化物堆積、リソグラフィによるパターン形成、反応性イオンエッチング(RIE)といった標準的な技術を使用するパターン形成プロセスが用いられる。次いで、例えばライナー堆積、充填堆積、及び化学機械研磨を用いて、STI22を形成することができる。STI形成プロセスは当該技術分野において周知である。
図3は、ソース及びドレイン(S/D)材料を配置する前のN型FETデバイスを示す。プロセスはN型FETデバイスについて示されるが、ゲートの形成は、P型FETデバイスの形成と別にする必要はなく、同時に行うことができる。リソグラフィ及びエッチングのステップを含む従来のパターン形成プロセスを用いて、ポリシリコン・ゲート24をスタックの中央に成長させる。一例として、ポリシリコンのブロックが、構造体の上に形成される。フォトレジストを構造体の上に塗布し、フォトレジストを放射線のパターンに露光させ、従来のレジスト現像液を使用してパターンを現像することを含むリソグラフィ・プロセスが適用される。リソグラフィ・ステップに続いて、RIE、プラズマ・エッチング、イオン・ビーム・エッチング、又はレーザ・アブレーションといった従来のエッチング・プロセスを使用して、N型FET領域(及びP型FET領域)の活性範囲にパターンを転写し、ポリシリコン・ゲート24を形成することができる。実施形態においては、SiNキャップ26を配置して側壁スペーサ28を形成し、これらは、エッチング停止キャップとして機能することになる。
キャップ26及び側壁スペーサ28もまた、従来の方法で形成される。この処理においては、犠牲ブロック材料を構造体の上に堆積させる。1つの実施形態においては、犠牲材料は、SiN要素26及び28を形成する窒化化合物(例えばSi)である。要素26、28は、シラン源を用いる化学気相堆積(CDV)などによる従来方法で形成することができる。窒化層を形成するのに適する可能性のある他の技術は、LPCVD及び大気圧CVD(APCVD)を含む。N型FET領域における下層16から20を覆うポリシリコン・ゲート24及びその2つの側壁28のサイズは、300Åから800Åの範囲のゲート24のサイズ、N型FETデバイスについては好ましくは400Åのサイズを残して、スペーサが好ましい幅である100Åを有すると、500Åから1000Åの範囲である。
図3をさらに参照すると、層16から20及び要素24から28によって形成されたスタックに隣接するN型FETのウェル領域は、理想的にはシリコン層14までエッチダウンされる。このエッチングは、初期非緩和SiGe層からの弾性応力伝達機構によって、上部のSi層に引張歪みを誘発することになる。あるいは、このエッチングは、緩和SiGe層を用いるときには、弾性成分が付加されるため、上部のSi層全体に実質的に加えられた引張歪みを増加させることになる。
図4は、(カーボン・ドープされた)SiGe層及びSi層によって形成されたゲート・アイランドに隣接するS/Dウェルにエピタキシャル成長シリコン(eSi)30が充填された後のデバイスを示す。当業者であれば分かるように、上述の寸法を考慮すると、残っているゲート・アイランドは、引張応力の中に配置された長手方向のサイズとなる。
図5及び図6は、本発明を実装する場合の応力計算のグラフを示す。具体的には、これらのグラフは、弾性応力伝達が初期非緩和SiGeに達する長手方向の(電流の流れの方向の)張力を示す。図5に示されるように、Ge含有量20%と20nmのSi層とを用いるN型FETチャネルのチャネル内に、引張応力が存在する。図5に示されるように、応力の大きさは、ゲートの下でほぼ最大である。
図6にさらに示されるように、長手方向の引張応力の値は、ゲート端部の最も近くで最大である。下層のSiGeの端部(ゲート端部から約0.04ミクロンの距離)からゲートに向かって、応力のレベルは大きく増加し、ゲート端部付近で350MPaにまで達する。
図7は、種々のプロセス及び構造を考慮した場合の、チャネル内における長手方向の引張応力の平均値を示す。例えば、図7は、ゲルマニウム含有量が20%、25%、及び30%の場合のそれぞれのチャネル応力レベルの平均値を示す。本発明の実施形態においては、シリコンの厚さの範囲全体(20nm〜40nm)にわたって、異なるゲルマニウム含有量(20%、25%、及び30%)について応力レベルを計算し、ゲルマニウム含有量が最も高く、シリコンの厚さが最も薄い場合に、計算された応力レベルが最大であった。例えば、Ge含有量30%、シリコンの厚さ20nmの場合に、約400MPaの応力レベルが得られた。
このように、本発明の構造体においては、引張応力がN型FETのチャネル内に生成され、ミスフィット転位の数及び伝播は、少量のカーボンを応力誘発層と応力受容層との界面領域に加えることによって大きく減少する。同様に、代替的な実施形態においては、ミスフィット転位の数及び伝播は、カーボン・ドープ応力誘発層によって、又は、カーボン・ドープ応力誘発層と応力誘発層及び応力受容層の間の界面にあるカーボンとの組み合わせによって、大きく減少する。こうした応力を与えて、ミスフィット転位の発生を制御することによって、高いデバイス性能を実現することができる。さらに、本発明のプロセスを用いれば、高い歩留まりを得ながら製造コストを減少させることができる。
本発明を実施形態の観点から説明したが、当業者であれば、特許請求の範囲の趣旨及び範囲内で変更して本発明を実施できることが分かるであろう。例えば、本発明は、バルク基板に容易に適用することができる。
本発明に係る処理ステップ及び構造体並びにそれらの物質的特性の断面概略図を表わす。 本発明に係る処理ステップ及び構造体並びにそれらの物質的特性の断面概略図を表わす。 本発明に係る処理ステップ及び構造体並びにそれらの物質的特性の断面概略図を表わす。 本発明に係る処理ステップ及び構造体並びにそれらの物質的特性の断面概略図を表わす。 応力誘発SiGeが引張応力を応力受容Siに伝達させる本発明の実装形態に係る、チャネル内の計算された引張応力の状態を示す断面図を表わす。 応力誘発SiGeが引張応力を応力受容Siに伝達させる本発明の実装形態に係る、チャネル内の計算された引張応力の状態を示す断面図を表わす。 表面の下のSiチャネル領域における応力状態のプロットを示す。
符号の説明
10:基板
12:埋め込み酸化層(BOX)
14:シリコン層(Si層)
16:シリコン・ゲルマニウム層(SiGe層)
18:カーボン領域
20:シリコン層(Si層)
22:浅いトレンチ分離領域(STI)
24:ポリシリコン・ゲート
26:SiNキャップ
28:側壁スペーサ
30:エピタキシャル成長シリコン(eSi)

Claims (7)

  1. 半導体構造体を製造する方法であって、
    堆積プロセスにより層間の界面を有するように応力誘発層と該応力誘発層の上に応力受容層とを形成するステップと、
    前記堆積プロセス中、前記界面におけるミスフィット転位を減少させるために、前記応力受容層と前記応力誘発層との間の前記界面にカーボン・ドーピングを行うステップと、
    を含み、前記応力誘発層は、緩和SiGe層及び非緩和SiGe層の少なくとも一方を含み、前記応力受容層は、上部のSi層であり、前記応力誘発層のゲルマニウム含有量は、15%から35%の範囲であり、前記カーボン・ドーピングの割合は、原子百分率にして0.01%から1%までの間であり、前記応力誘発層、前記応力受容層および前記界面にカーボン・ドーピングされた材料は、前記応力受容層上のゲート・スタックに隣接する部分に開口部がエッチングされ、該開口部がエピタキシャル材料で充填されていることを特徴とする、方法。
  2. 前記カーボン・ドーピングは、前記界面において50Åから500Åまでの厚さで行われる、請求項1に記載の方法。
  3. ドープされたカーボンが、1立方センチメートル当たり1020カーボン原子より高い濃度である、請求項1または2に記載の方法。
  4. 前記応力受容層の上に第1のゲートを形成するステップと、
    前記第1のゲート、前記応力受容層、前記応力誘発層、及び前記界面にカーボン・ドーピングされた材料を、前記ゲート・スタックに隣接する部分を除き保護するステップと、
    前記応力受容層、前記応力誘発層、及び前記界面にカーボン・ドーピングされた材料保護されていない前記ゲート・スタックに隣接する部分に前記開口部を形成し、前記開口部を前記エピタキシャル材料で充填するステップと、
    をさらに含む、請求項1〜3のいずれか1項に記載の方法。
  5. 前記カーボン・ドーピングは、
    前記応力受容層と前記応力誘発層との間の界面において行われるか、
    前記応力受容層と前記応力誘発層との間の界面において行われ、かつカーボン・ドープ応力誘発層を形成するか、又は、
    カーボン・ドープ応力誘発層を形成するように行われる、
    請求項1に記載の方法。
  6. 第1の材料の層と第2の材料の層とカーボン・ドープ材料とを有する応力含有構造体の上に配置された少なくとも1つのゲート・スタックを含み、前記カーボン・ドープ材料が前記応力含有構造体におけるミスフィット転位を減少させるように構成された、半導体構造体であって、前記カーボン・ドープ材料は、
    前記第1の材料の層と前記第2の材料の層との界面にある、
    第1のカーボン・ドープ材料を形成する前記第1の材料の層内に存在する、及び、
    第2のカーボン・ドープ材料を形成する前記第2の材料の層内に存在する、
    のうちの少なくとも1つであり、
    前記第1の材料の層は応力誘発層であり、前記第2の材料の層は応力受容層であり、前記応力誘発層は、緩和SiGe層及び非緩和SiGe層の少なくとも一方を含み、前記応力受容層は、上部のSi層であり、前記応力誘発層のゲルマニウム含有量は、15%から35%の範囲であり、前記カーボン・ドープ材料は、原子百分率にして0.01%から1%までの範囲で存在し、前記応力含有構造体は、前記少なくとも1つのゲート・スタックに隣接する部分に開口部がエッチングされ、該開口部がエピタキシャル材料で充填されていることを特徴とする、半導体構造体。
  7. 応力誘発層と応力受容層と層状構造体におけるミスフィット転位を減少させるカーボン・ドープ材料とを含む前記層状構造体の上に配置されたN型FETデバイスを含む半導体デバイスであって、
    前記カーボン・ドープ材料は、前記応力受容層と前記応力誘発層との間の界面に存在し、前記応力誘発層は、緩和SiGe層及び非緩和SiGe層の少なくとも一方を含み、前記応力受容層は、上部のSi層であり、前記応力誘発層のゲルマニウム含有量は、15%から35%の範囲であり、前記カーボン・ドープ材料は、原子百分率にして0.01%から1%までの範囲で存在し、前記層状構造体は、前記N型FETデバイスのゲート・スタックに隣接する部分に開口部がエッチングされ、該開口部がエピタキシャル材料で充填されていることを特徴とする、デバイス。
JP2007113990A 2006-05-05 2007-04-24 埋め込みカーボン・ドーパントを用いた半導体デバイス Expired - Fee Related JP5160137B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/381,810 US7560326B2 (en) 2006-05-05 2006-05-05 Silicon/silcion germaninum/silicon body device with embedded carbon dopant
US11/381810 2006-05-05

Publications (2)

Publication Number Publication Date
JP2007300103A JP2007300103A (ja) 2007-11-15
JP5160137B2 true JP5160137B2 (ja) 2013-03-13

Family

ID=38660398

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007113990A Expired - Fee Related JP5160137B2 (ja) 2006-05-05 2007-04-24 埋め込みカーボン・ドーパントを用いた半導体デバイス

Country Status (4)

Country Link
US (1) US7560326B2 (ja)
JP (1) JP5160137B2 (ja)
CN (1) CN101068004A (ja)
TW (1) TW200807572A (ja)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1739749A2 (fr) * 2005-06-30 2007-01-03 STMicroelectronics (Crolles 2) SAS Cellule mémoire à un transistor MOS à corps isolé à effet mémoire prolongé
EP1833094B1 (en) * 2006-03-06 2011-02-02 STMicroelectronics (Crolles 2) SAS Formation of shallow SiGe conduction channel
US7790631B2 (en) * 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
US8120114B2 (en) 2006-12-27 2012-02-21 Intel Corporation Transistor having an etch stop layer including a metal compound that is selectively formed over a metal gate
US7525161B2 (en) * 2007-01-31 2009-04-28 International Business Machines Corporation Strained MOS devices using source/drain epitaxy
US8128749B2 (en) * 2007-10-04 2012-03-06 International Business Machines Corporation Fabrication of SOI with gettering layer
US20090127541A1 (en) * 2007-11-19 2009-05-21 Intel Corporation Reducing defects in semiconductor quantum well heterostructures
US7709926B2 (en) * 2008-04-24 2010-05-04 International Business Machines Corporation Device structures for active devices fabricated using a semiconductor-on-insulator substrate and design structures for a radiofrequency integrated circuit
US7759142B1 (en) * 2008-12-31 2010-07-20 Intel Corporation Quantum well MOSFET channels having uni-axial strain caused by metal source/drains, and conformal regrowth source/drains
JP5668277B2 (ja) 2009-06-12 2015-02-12 ソニー株式会社 半導体装置
CN101819996B (zh) * 2010-04-16 2011-10-26 清华大学 半导体结构
CN102237396B (zh) * 2010-04-27 2014-04-09 中国科学院微电子研究所 半导体器件及其制造方法
US8237197B2 (en) * 2010-07-07 2012-08-07 International Business Machines Corporation Asymmetric channel MOSFET
US9406798B2 (en) 2010-08-27 2016-08-02 Acorn Technologies, Inc. Strained semiconductor using elastic edge relaxation of a stressor combined with buried insulating layer
US10833194B2 (en) 2010-08-27 2020-11-10 Acorn Semi, Llc SOI wafers and devices with buried stressor
US9006052B2 (en) * 2010-10-11 2015-04-14 International Business Machines Corporation Self aligned device with enhanced stress and methods of manufacture
US8643061B2 (en) * 2010-10-20 2014-02-04 International Business Machines Corporation Structure of high-K metal gate semiconductor transistor
US8647941B2 (en) * 2011-08-17 2014-02-11 United Microelectronics Corp. Method of forming semiconductor device
CN103779223B (zh) * 2012-10-23 2016-07-06 中国科学院微电子研究所 Mosfet的制造方法
KR101417977B1 (ko) * 2012-12-28 2014-07-09 연세대학교 산학협력단 반도체 장치 및 그 제조 방법
US9093533B2 (en) 2013-07-24 2015-07-28 International Business Machines Corporation FinFET structures having silicon germanium and silicon channels
US9520498B2 (en) * 2014-03-17 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structure and method for fabricating the same
EP2930752A3 (en) * 2014-04-08 2016-01-20 IMEC vzw Method for manufacturing a transistor device
JP6070680B2 (ja) * 2014-12-17 2017-02-01 ソニー株式会社 半導体装置
US9536736B2 (en) 2015-02-04 2017-01-03 International Business Machines Corporation Reducing substrate bowing caused by high percentage sige layers
CN106847913A (zh) * 2015-12-03 2017-06-13 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
KR102573408B1 (ko) 2018-09-11 2023-08-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR20210039060A (ko) 2019-10-01 2021-04-09 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US11978753B2 (en) 2021-05-04 2024-05-07 Omnivision Technologies, Inc. Process to release silicon stress in forming CMOS image sensor

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3443343B2 (ja) 1997-12-03 2003-09-02 松下電器産業株式会社 半導体装置
DE60036594T2 (de) * 1999-11-15 2008-01-31 Matsushita Electric Industrial Co., Ltd., Kadoma Feldeffekt-Halbleiterbauelement
US6541343B1 (en) 1999-12-30 2003-04-01 Intel Corporation Methods of making field effect transistor structure with partially isolated source/drain junctions
JP4406995B2 (ja) 2000-03-27 2010-02-03 パナソニック株式会社 半導体基板および半導体基板の製造方法
JP3970011B2 (ja) * 2001-12-11 2007-09-05 シャープ株式会社 半導体装置及びその製造方法
US6872641B1 (en) 2003-09-23 2005-03-29 International Business Machines Corporation Strained silicon on relaxed sige film with uniform misfit dislocation density
US7303949B2 (en) 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7037770B2 (en) 2003-10-20 2006-05-02 International Business Machines Corporation Method of manufacturing strained dislocation-free channels for CMOS
JP2005175082A (ja) * 2003-12-09 2005-06-30 Seiko Epson Corp 半導体装置及びその製造方法
JP2005260070A (ja) * 2004-03-12 2005-09-22 Sharp Corp 半導体ウェハー、及びその製造方法
US7195963B2 (en) * 2004-05-21 2007-03-27 Freescale Semiconductor, Inc. Method for making a semiconductor structure using silicon germanium

Also Published As

Publication number Publication date
US7560326B2 (en) 2009-07-14
CN101068004A (zh) 2007-11-07
US20070257249A1 (en) 2007-11-08
JP2007300103A (ja) 2007-11-15
TW200807572A (en) 2008-02-01

Similar Documents

Publication Publication Date Title
JP5160137B2 (ja) 埋め込みカーボン・ドーパントを用いた半導体デバイス
US7791144B2 (en) High performance stress-enhance MOSFET and method of manufacture
US6882025B2 (en) Strained-channel transistor and methods of manufacture
JP5704817B2 (ja) 半導体デバイスを製造する方法及び半導体デバイス
US7315063B2 (en) CMOS transistor and method of manufacturing the same
US7619300B2 (en) Super hybrid SOI CMOS devices
TWI545761B (zh) 半導體元件與其形成方法及p型金氧半電晶體
US7226820B2 (en) Transistor fabrication using double etch/refill process
US7202513B1 (en) Stress engineering using dual pad nitride with selective SOI device architecture
US7560328B2 (en) Strained Si on multiple materials for bulk or SOI substrates
TWI323944B (en) Semiconductor device and fabrication method thereof
TWI416632B (zh) 用於製造受應力之mos裝置之方法
TWI463526B (zh) 改良具應力矽之cmos元件的方法及以該方法製備而成的元件
US9293583B2 (en) Finfet with oxidation-induced stress
JP4481181B2 (ja) 半導体装置およびその製造方法
JP2008282901A (ja) 半導体装置および半導体装置の製造方法
JP2008504677A (ja) 改良した歪みシリコンcmosデバイスおよび方法
JP2005175495A (ja) 半導体構造およびその製造方法
US8012839B2 (en) Method for fabricating a semiconductor device having an epitaxial channel and transistor having same
US7498602B2 (en) Protecting silicon germanium sidewall with silicon for strained silicon/silicon mosfets
US20140299935A1 (en) Shallow trench isolation for soi structures combining sidewall spacer and bottom liner
US7615418B2 (en) High performance stress-enhance MOSFET and method of manufacture
US20080303102A1 (en) Strained Isolation Regions
KR20070095062A (ko) 핀 전계 효과 트랜지스터 및 그 제조방법
JP5099087B2 (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100222

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110325

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110329

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20110411

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110411

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110603

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120221

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120530

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120606

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120626

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120907

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121002

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20121002

R155 Notification before disposition of declining of application

Free format text: JAPANESE INTERMEDIATE CODE: R155

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121212

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151221

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees