JP4714347B2 - デュアルプラズマ源下流式反応炉 - Google Patents
デュアルプラズマ源下流式反応炉 Download PDFInfo
- Publication number
- JP4714347B2 JP4714347B2 JP2000610688A JP2000610688A JP4714347B2 JP 4714347 B2 JP4714347 B2 JP 4714347B2 JP 2000610688 A JP2000610688 A JP 2000610688A JP 2000610688 A JP2000610688 A JP 2000610688A JP 4714347 B2 JP4714347 B2 JP 4714347B2
- Authority
- JP
- Japan
- Prior art keywords
- plasma
- microwave
- reactor according
- plasma source
- gas
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
- 230000009977 dual effect Effects 0.000 title claims description 4
- 239000007789 gas Substances 0.000 claims description 58
- 229910052594 sapphire Inorganic materials 0.000 claims description 40
- 239000010980 sapphire Substances 0.000 claims description 40
- 229910052731 fluorine Inorganic materials 0.000 claims description 34
- 238000012545 processing Methods 0.000 claims description 33
- 239000011737 fluorine Substances 0.000 claims description 28
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims description 27
- 229910052760 oxygen Inorganic materials 0.000 claims description 24
- 239000010453 quartz Substances 0.000 claims description 20
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 20
- 238000011144 upstream manufacturing Methods 0.000 claims description 18
- 239000001301 oxygen Substances 0.000 claims description 17
- 238000001816 cooling Methods 0.000 claims description 14
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 12
- 239000012530 fluid Substances 0.000 claims description 12
- 238000003756 stirring Methods 0.000 claims description 11
- 238000013019 agitation Methods 0.000 claims description 7
- 210000002310 elbow joint Anatomy 0.000 claims description 7
- 238000004891 communication Methods 0.000 claims description 6
- 239000000919 ceramic Substances 0.000 claims description 4
- 239000012809 cooling fluid Substances 0.000 claims description 4
- 239000001257 hydrogen Substances 0.000 claims description 4
- 229910052739 hydrogen Inorganic materials 0.000 claims description 4
- 238000006243 chemical reaction Methods 0.000 claims description 3
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 2
- 239000011343 solid material Substances 0.000 claims 6
- 150000003254 radicals Chemical class 0.000 description 45
- 230000005540 biological transmission Effects 0.000 description 32
- 235000019000 fluorine Nutrition 0.000 description 26
- 239000000758 substrate Substances 0.000 description 20
- 239000013078 crystal Substances 0.000 description 17
- 238000000034 method Methods 0.000 description 16
- 150000002500 ions Chemical class 0.000 description 14
- 230000006798 recombination Effects 0.000 description 14
- 238000005215 recombination Methods 0.000 description 14
- 230000008569 process Effects 0.000 description 13
- 230000005855 radiation Effects 0.000 description 13
- 239000004065 semiconductor Substances 0.000 description 13
- 230000003628 erosive effect Effects 0.000 description 12
- 239000000463 material Substances 0.000 description 9
- 238000003672 processing method Methods 0.000 description 9
- 230000004888 barrier function Effects 0.000 description 8
- 230000001965 increasing effect Effects 0.000 description 8
- 239000002826 coolant Substances 0.000 description 7
- 238000005530 etching Methods 0.000 description 7
- 229920002120 photoresistant polymer Polymers 0.000 description 7
- 230000035882 stress Effects 0.000 description 7
- 239000000126 substance Substances 0.000 description 7
- 238000004380 ashing Methods 0.000 description 6
- -1 fluorine radicals Chemical class 0.000 description 6
- 239000000203 mixture Substances 0.000 description 6
- 230000008878 coupling Effects 0.000 description 5
- 238000010168 coupling process Methods 0.000 description 5
- 238000005859 coupling reaction Methods 0.000 description 5
- 238000005336 cracking Methods 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- 239000002245 particle Substances 0.000 description 5
- 230000000694 effects Effects 0.000 description 4
- 230000001976 improved effect Effects 0.000 description 4
- 238000002347 injection Methods 0.000 description 4
- 239000007924 injection Substances 0.000 description 4
- 239000007788 liquid Substances 0.000 description 4
- 235000012431 wafers Nutrition 0.000 description 4
- 230000008901 benefit Effects 0.000 description 3
- 239000011230 binding agent Substances 0.000 description 3
- 238000005468 ion implantation Methods 0.000 description 3
- 230000007246 mechanism Effects 0.000 description 3
- 230000003647 oxidation Effects 0.000 description 3
- 238000007254 oxidation reaction Methods 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 229920000642 polymer Polymers 0.000 description 3
- 230000008646 thermal stress Effects 0.000 description 3
- 125000004429 atom Chemical group 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 230000005496 eutectics Effects 0.000 description 2
- 150000002431 hydrogen Chemical class 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 239000012535 impurity Substances 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 238000002156 mixing Methods 0.000 description 2
- 238000005457 optimization Methods 0.000 description 2
- 239000007787 solid Substances 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 1
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 1
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 description 1
- 230000001133 acceleration Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 238000005452 bending Methods 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 238000009835 boiling Methods 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000003486 chemical etching Methods 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 230000002860 competitive effect Effects 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 230000001627 detrimental effect Effects 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 229910001882 dioxygen Inorganic materials 0.000 description 1
- 238000011143 downstream manufacturing Methods 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 150000002222 fluorine compounds Chemical class 0.000 description 1
- 125000001153 fluoro group Chemical group F* 0.000 description 1
- 239000013529 heat transfer fluid Substances 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 210000001503 joint Anatomy 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 239000003058 plasma substitute Substances 0.000 description 1
- 230000008092 positive effect Effects 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 230000000644 propagated effect Effects 0.000 description 1
- 230000001902 propagating effect Effects 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 238000012827 research and development Methods 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32357—Generation remote from the workpiece, e.g. down-stream
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32192—Microwave generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32192—Microwave generated discharge
- H01J37/32211—Means for coupling power to the plasma
- H01J37/32247—Resonators
- H01J37/32256—Tuning means
-
- H—ELECTRICITY
- H05—ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
- H05H—PLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
- H05H1/00—Generating plasma; Handling plasma
- H05H1/24—Generating plasma
- H05H1/46—Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
-
- H—ELECTRICITY
- H05—ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
- H05H—PLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
- H05H1/00—Generating plasma; Handling plasma
- H05H1/24—Generating plasma
- H05H1/46—Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
- H05H1/461—Microwave discharges
- H05H1/4622—Microwave discharges using waveguides
Landscapes
- Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Electromagnetism (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Drying Of Semiconductors (AREA)
- Plasma Technology (AREA)
Description
【発明の属する技術分野】
本発明は一般的に半導体プロセス設備としての遠隔式プラズマ発生装置に関し、特にフォトレジストをアッシングまたは剥離し、半導体基板から重合体の残留物を除去するためのマイクロ波パワーのプラズマ発生装置に関する。
【0002】
【従来の技術】
集積回路を製造する際には、フォトレジストを半導体基板上の全面にコーティングし、現像光に対する選択式露光と、現像または未現像のどちらかの部分を除去することでパターンニングする。パターンニングされたレジストはマスクを形成し、そのマスクはマスクの穴を通してエッチングすることでパターンをその下にある酸化物や金属の層に拡げるために用いられる。マスクはまた、イオンインプランテーションにより基板の領域に選択的に不純物をドープするのに共通に用いられる。マスクが用いられた後は、マスクは代表的には酸化プロセスにより除去される。この酸化させるプロセスは、工業的にはレジストの「剥離」または「アッシング」として参照されている。
【0003】
スループットを増加させることが半導体産業、特に現代の枚葉式ウェハ処理における基本目的である。枚葉式ウェハ処理システムにおいて、各基板を連続的に処理するために必要とされる時間を何らかの方法で低減することは、競争の激しい工業において重大なコスト削減につなげられる。レジスト剥離の場合には、処理率は反応性酸素フリーラジカルを基板に供給することで高めることができる。例えば、2原子の酸素ガス(O2)のような酸素含有ガスの分解は、酸素フリーラジカルとしても知られる1原子の酸素(O)を生じさせる。
【0004】
フッ素をNF3,CF4,SF6もしくはフッ素フリーラジカル(F)の形態で添加することは、レジストの化学的性質が前の処理で複雑になっている剥離プロセスをしばしば助ける。例えば、マスクを通して半導体基板に不純物を電気的にドープするのに用いられたりしたときのように、イオンインプランテーションを受けたフォトレジストを除去することは困難である。同様に、レジストマスクを通しての反応性イオンエッチング(RIE)は、特に金属がエッチング中に露光される場合には重合体の残留物を形成しやすく、この重合体の残留物も酸化だけでは除去は困難である。これらの各状況において、フッ素化合物を適用することは、基板からレジストおよび残留物を洗浄する手助けとなる。フッ素はまた他の洗浄、またはエッチングステップにおいても共通に用いられる。
【0005】
酸素(および/またはフッ素)フリーラジカルの発生を最大化することは、レジストを剥離することができる割合によい影響を与え、それ故基板のスループットを増加させる。このようなフリーラジカルは一般的に、マイクロ波パワー源からのエネルギーを酸素含有ガスに結合させることで生成される。遠隔式マイクロ波プラズマ発生装置は、マグネトロン内で生成されたマイクロ波のエネルギーを導波管を通じて共振キャビティまたは「アプリケーター」に導く。そこではそのエネルギーが、キャビティを通って流れるガスに結合される。ガスが励起されると、それにより酸素フリーラジカル(O)が形成される。フッ素フリーラジカル(F)は同様に、フッ素原料ガスが前記ガスの流れに加えられたときに形成される。一般的な原料ガスはOを供給するためにO2を含み、Fを供給するためにNF3,CF4,SF6またはC2F6を含む。窒素(N2)または形成ガス(N2/H2)が、粒子の運動量を増加させるためにしばしば前記流れに加えられ、それによりラジカルの発生効率が改善される。
【0006】
【発明が解決しようとする課題】
マイクロ波ラジカル発生装置はアッシュ率に重大な改善をもたらすことができる一方で、従来の技術は何かしらの制限を残している。マイクロ波パワーによって生成されたプラズマは、例えば、高いエネルギーを持ったイオン、電子、ならびにフリーラジカル(例えばO,F,Nなど)等を含む。OとFのフリーラジカルは基板からレジストを剥離し洗浄するのに必要とされるのに対し、プラズマの他の組成成分が直接接触すると、基板および処理チャンバを損傷しうる。その上、プラズマは紫外(UV)放射線を放射し、これもまた基板の構造に有害である。
【0007】
プラズマと処理チャンバとの間の直接接触は、マイクロ波キャビティもしくはアプリケーターと処理チャンバとの間に伝送管を設けることで回避することができる。この管の長さは、より高いエネルギーを持った粒子が管の長さに沿ううちに安定でより傷つけにくい原子と化合物を形成するように再結合することを促進するように選ばれる。より反応しにくいFとOのラジカルは、マイクロ波プラズマ源の処理チャンバの下流まで、前記イオンより多くの割合で届く。処理チャンバはプラズマ源の下流に位置しているので、この配置は化学的下流エッチング(CDE)反応炉として知られている。管に曲げを作ることによって、処理チャンバはプラズマを視野に入れる直接的なラインの中に入らず、これによりグロー放電からの有害なUV放射線は基板に届かない。
【0008】
しかしながら、管それ自体はCDE反応炉にいくつかの制限を配置する。従来、アプリケーターと伝送管はどちらも石英により形成されていた。石英は低いOとFの再結合率を示すところが有利であり、これらの望ましいラジカルが処理チャンバに到達することを許す一方で、プラズマ源で発生したイオンは再結合する。残念ながら、石英はフッ素の侵食を非常に受けやすい。それ故、石英の伝送管と、特に石英のアプリケーターはプラズマの直接接触にさらされ、すぐに劣化し、頻繁に交換されねばならない。石英管を交換するたびに、管そのもののコストを負うだけでなく、より重要なことには、管を交換する間は反応炉を停止させる時間となり、その結果、基板のスループットの低下につながる。
【0009】
アプリケーターおよび/または伝送管の代替材料としてはサファイア(Al2O3)がある。フッ素の侵食に強い耐性がある一方、サファイアの管には特有の欠点がある。例えば、サファイアの伝送管は石英に較べてずっと高いOとFの再結合率を示し、低アッシュ率につながる。加えて、サファイアはエネルギーを持ったプラズマによって生み出される熱応力によるクラッキング(割れ)を起しやすく、これにより安全に用いることのできるパワーが制限される。プラズマのパワーが低いことはフリーラジカルの発生が少ないことを意味し、これによっても同様にアッシュ率が低くなる。単結晶サファイアを用いることで多結晶サファイアと関係した管の強度はいくらか改善されるが、単結晶サファイアの安全なパワーレベルは、石英の管に対して使用できるレベルと比較してまだ低い。さらに、伝送管の曲げを生み出し、それによりUV放射線が処理チャンバに到達するのを防ぐ、サファイアの区間同士の接続部の結合材は、代表的には石英と同じくフッ素イオンの侵食を受けやすい。
【0010】
従来のマイクロ波プラズマ発生装置におけるラジカルの発生上の他の制限は、エネルギー結合メカニズムの効率に関している。マグネトロンによって供給されるマイクロ波のパワーの大部分は、導波管を逆流し反射するパワーによって損失され、そこではそのパワーはマグネトロンを保護するように設計されているアイソレータモジュールによって吸収される。
【0011】
エネルギーはまた、アプリケーターが原料ガスを運び込みフリーラジカルを共振キャビティの外に出す所においても逃げる。プラズマが充たされた管は、マイクロ波のエネルギーがキャビティの外からそれに沿って伝わってくる伝導体として働き、それゆえ効率的にプラズマを拡散させ、プラズマの密度を低減する。プラズマの密度を低減し、それによりラジカルの発生を低減することに加えて、プラズマの拡散は、イオンが残って基板が収容されている処理チャンバまで到達するリスクをも増加させる。マイクロ波トラップは、このようなマイクロ波の漏洩を封じ込めることができる。例えば、Kamarehiらによる「マイクロ波トラップ付プラズマアッシャー」と題された米国特許第5,498,308号は、共振回路トラップを開示している。しかしながら、このようなトラップを用いても、プラズマは管に沿ってトラップの外側の縁までプラズマ源キャビティの外へ拡がる。それ故に、レジストのアッシュ率を改善するために、より効率の良いマイクロ波発生装置が必要とされる。
【0012】
【課題を解決するための手段】
この必要性を満たすために、マイクロ波周波数のエネルギーとガスを結合させ、ラジカルを下流の処理チャンバへ運ぶ遠隔式プラズマ発生装置が提供される。このプラズマ発生装置は幾つかの特徴を有しており、それは、連結部において高効率のラジカル発生を可能にし、その結果フォトレジストの高アッシュ率を可能にする。このような高アッシュ率は、標準的なフォトレジストと、イオンインプランテーションや反応性イオンエッチングによって作り出されるような化学的により問題となる残留物のどちらに対しても達成することができる。
【0013】
本発明の観点によれば、強いパワーを、フッ化された化学物質を含むガスの流れと結合させることができる。単結晶で一体型のサファイアアプリケーターと伝送管がフッ素の侵食に対抗する。この管は長くのばすことができひじ継手と共に設置され、イオンの再結合を助け処理チャンバをプラズマ放電により生成されるUV放射線から保護する。
【0014】
本発明の別の観点によれば、マイクロ波透過冷却液が、パワーが強く高い温度のプラズマ生成を可能にする。あらゆる材料のアプリケーターに対して、実用可能となるパワーを増加させるのに有益であるが、液体による冷却は、応力割れを起しやすいサファイアアプリケーターの連結部において特に有用である。
【0015】
本発明のさらなる観点によれば、マイクロ波キャビティの終端における、スライディングショートを介しての動的なインピーダンス整合は、反射するエネルギーによるパワーの損失を低減する。同時に、低いプロファイルのマイクロ波トラップがより濃密なプラズマを生み出し、それによりラジカル生成が増加する。
【0016】
本発明のさらなる別の観点によれば、異なるラジカルが別々に発生させられ、処理チャンバのちょうど上流で混合され、これにより2つの種類のラジカルの発生を個別に最適化することができる。例示した実施形態においては、フッ素ラジカルはサファイアアプリケーターで発生されるのに対し、酸素ラジカルは石英アプリケーターで発生される。
【0017】
【発明の実施の形態】
例示する実施形態はレジスト剥離、もしくはアッシングシステムの文脈で述べられているが、当業者であればここで開示されている機器と方法の他のシステムへの応用をすぐに見つけるであろう。半導体産業においては、例えば、プラズマもしくはフリーラジカルの発生は多くの化学的エッチングおよびCVD(Chemical Vapor Deposition)プロセスを補助し促進するために望まれている。これらのプロセスの多くに対して、プラズマの遠隔生成は基板への損傷を有効に回避する。
【0018】
まず図1を参照して、好ましい実施形態による化学的下流エッチング(CDE)反応炉10が概略的に示されている。この反応炉10は処理チャンバ14の上流にマイクロ波プラズマ発生装置12を有する。基板16は、代表的には一枚の半導体ウェハを有するが、チャンバ14内の台座20上のチャック18の上に支持されている。
【0019】
図1〜4を参照すると、プラズマ発生装置12はマイクロ波パワー源22を含んでおり、これは従来のマグネトロンであってもよい。例えば、IllinoisのLaFoxのRichardson Electronicsの商品名NL10230およびNL10250という適切なマイクロ波パワー源を市場で入手可能である。NL10230マグネトロン発生装置は、2,450MHzにおいて3,000W(規格値)のマイクロ波パワーを発生することが可能である。当業者は、他の構成において、パワー源はパワーをガスに結合するために適切な構造であれば何でもよいとすぐに認識するであろう。他のプラズマ発生装置としては、例えば無線周波数のパワーを用いることができ、この場合エネルギーは誘導的または静電的に、イオン化したガスと結合することができる。
【0020】
例示されているプラズマ発生装置12は、パワー源22と隣接してアイソレータモジュール24をさらに有し、これもまた従来の構成であってよい。この分野において知られているように、アイソレータ24は反射するパワーをダミー負荷へそらすことで、このような反射するパワーからマグネトロンを保護する。望ましくは、アイソレータ24は一体的に集積化された方向性結合器を有し、これも、以下で「インピーダンス整合」と題された部分を参照してより詳細に述べるように、マイクロ波エネルギー路に沿ってインピーダンスを整合するために、反射するパワーを測定する。
【0021】
マイクロ波パワーはアイソレータ24を通って導波管26の方向へ指向され、導波管はマイクロ波キャビティ(またはプラズマ源)28内にのびている。図2から最良に理解されるように、例示されている導波管26はS字型をしているので、積み重なった構成をとることが可能で、製造フロアの反応炉区域が減少する。導波管は固定されている調整ノブ30(図1)を有しており、これはマイクロ波エネルギー路(アイソレータ24、導波管26およびキャビティ28を含む)のインピーダンスをパワー源22のインピーダンスに整合させるために、自動調整モジュール32と連結して動作する。自動調整モジュール32は、以下で「インピーダンス整合」と題された部分においてより詳細に述べられる。
【0022】
ガスの搬送管34はガス源36からマイクロ波キャビティ28を通ってのびている。管34の軸は導波管の軸を横断するようにのびる。例示されている実施形態においては、ガス源は酸素原料ガス(好適にはO2)、フッ素原料ガス(好適にはCF4またはNF3)、および搬送ガス(好適にはN2)を含む。以下の「単結晶伝送管(Single-Crystal Transport Tube)」と題された部分でより詳細に述べられるように、搬送管34は上流区間38、アプリケーター区間40、および伝送管区間41を含む。伝送管41はキャビティ28と処理チャンバ14との間に曲げ、もしくはひじ継手42を有する。
【0023】
1対のマイクロ波放射障壁44,46は、それぞれキャビティ28のすぐ上流と下流においてアプリケーター40を取り囲んでおり、マイクロ波エネルギーがキャビティ28から逃げることを防ぐのに役立っている。放射障壁44,46の構造は、以下での「マイクロ波チョーク(Choke)」と題された部分においてより詳細に記述される。
【0024】
単結晶伝送管
図1を参照すると、これまでに簡単に記してきたように、ガス搬送管34は3つの区間を含んでいる、即ち、ガス源36からガスを搬送する上流区間38と、マイクロ波キャビティ28を通ってのびているアプリケーター区間40と、キャビティ28の下流から処理チャンバ14へのびている伝送管区間41である。
【0025】
動作時には、導波管26から導かれたマイクロ波パワーは、管34のアプリケーター部40(キャビティ28内)を通って流れているガスと結合され、ガスを励起しプラズマを着火させる。アプリケーター40は、キャビティ28のすぐ隣の区間と同じくキャビティ28内にも管34の一部を含んでおり、プラズマ放電の強いエネルギーの粒子に直接さらされ、上流部よりもより早く、下流部よりもわずかに早く劣化する。管34の上流区間38はプラズマ放電にさらされずそれ故早くは劣化しないので、上流区間38は好適には従来のステンレス鋼のガス管であり、アプリケーター40を交換すべき時にも交換される必要がないように、アプリケーター40とは別に設置される。
【0026】
例示されている実施形態においては、フッ素と酸素の原料ガスが両方アプリケーター40に供給されており、多種のイオンおよび電子と同じようにOとFが発生されている。先の従来技術の部分で記したように、フッ素は石英管に対して著しい腐食性がある。それ故、アプリケーター40はフッ素の侵食に対抗するためにサファイアで構成されることが好ましい。より好ましくは、アプリケーター40は、プラズマにさらされることにより発生する応力に抵抗するために優れた物理的強度を提供する、単結晶サファイアで形成される。
【0027】
先の従来技術の部分で述べたように、搬送管34の伝送区間41の長さは、励起されたガスが処理チャンバ14に導かれる前にイオンが再結合するように選ばれる。好適には、マイクロ波キャビティ28から処理チャンバ14までの伝送区間41は少なくとも約5インチ(12.7cm)の長さであり、より好適には少なくとも約10インチ(25.4cm)であり、例示されている本実施形態においては約14.5インチ(36.8cm)である。アプリケーター40と伝送区間41の合計の長さは、例示されている本実施形態においては約21.5インチ(52.7cm)である。しかしながら、当業者は、別の方式によってイオンの含有量が低くなった場合には、より短い長さの伝送管を用いてもよいことを理解するであろう。
【0028】
伝送区間41は、図7を見ると最良に理解されるように、好適には曲げもしくはひじ継手42を有し、これによりキャビティ28内のグロー放電と処理チャンバ14との間が直接的に視野に入ることが回避される。好適には、曲げ42は少なくとも35°の角度で定義され、より好適には約45°より大きい。例示されている本実施形態においては、曲げ42は90°、即ち直角である。基板16はそれ故、グロー放電により放出される有害なUV光子から保護される。
【0029】
伝送管41は励起されたフッ素類を含む、エネルギーを有するプラズマの生成物にもさらされるので、この区間もまたサファイア、より好適には単結晶サファイアにより形成されることが好ましい。加えて、伝送管41はアプリケーター管40と一体的に形成されることが好ましい。
残念ながら、サファイアの結晶の品質は、管の長さが12インチを超えると品質が下がる傾向にある。先に記したように、長い長さのサファイア管の合成結晶構造は、強いパワーで高い温度のプラズマにさらされたときに応力割れを起しやすい。伝送管区間41内にひじ継手を形成することが望ましいことからも、単結晶サファイアでできた少なくとも2つの区間を接合することが必要づけられる。しかしながら、代表的な結合材はフッ素とは相容れなく、そのためこのような結合材を用いることはサファイア管の利点を打ち消すことになるであろう。
【0030】
以上のことから、例示された伝送管41とアプリケーター40は単結晶サファイアの区間として設置され、フッ素の侵食を受けやすい結合材を使うことなく、ひじ継手42において結合される。特に、区間40と41は、Eutectic Bonding of Single Crystal Componentsと題された、1998年12月17日に公表されたPCT公表番号WO 09 856 575(以下、「PCT出願」)において開示されているような、共晶結合によって結合される。PCT‘575出願公表の開示が、ここで参考されて一体化される。PCT出願‘575番の方式であらかじめ結合された単結晶区間は、New HampshireのMilfordのSaphikon, Incから手に入れることができる。
【0031】
アプリケーター冷却システム
単結晶サファイアを用いることは、フッ素の侵食に対する耐性と多結晶サファイアより大きい強度を提供する。従って、アプリケーター40と伝送管41を一体化したものとして供される単結晶サファイアは、インプランテーション後のアッシングなどに適用されたときのフッ素の侵食に対抗すると同時に、比較的強いパワーでのガスへの結合を可能にする。
【0032】
強いパワーでのガスへの結合は、Oおよび/またはFラジカルの生成率を望ましく増加させ、これによりアッシュ率が増加する。しかしながら、強いパワーのプラズマ中で生み出された運動エネルギーは、負の効果も同様にもたらす。キャビティ28内での、エネルギーを与えられた粒子同士の衝突は、アプリケーター40の温度を高め、管に熱応力を生み出す。単結晶サファイアは多結晶サファイアに較べてこのような応力により耐性があるとはいえ、石英と比較するとまだ強いパワーで高い温度での稼動下では応力割れすることがある。その上、アプリケーター40の温度が高いと分離した粒子の再結合が促進される。イオンと電子の再結合は望ましいが、フリーラジカル(F,O)の再結合は逆効果である。
【0033】
従って、好ましい実施形態はアプリケーター40に冷却機構を用いており、これによりアプリケーター40内での動力学的に誘導される再結合が低減される。伝送管41を長くすることが、アプリケーター40内でイオンの再結合が低減されることを埋め合わせる。エネルギーを持ったイオンは、伝送管41の長さを通してラジカルより大きな割合で再結合し、付加的な静電的反応によりこのような再結合が促進される。同時に、アプリケーター40を冷却すると、許容されている熱応力に対してより高いパワーを利用することができる。サファイアアプリケーターの場合には特に、冷却機構はフリーラジカルの発生効率を加速すると同時に、応力割れの発生を低減する。
【0034】
図7および図8を参照すると、冷却ジャケット50は搬送管34のアプリケーター区間40を取り囲んでいる。ジャケット50とアプリケーター40との間の空隙は、冷却剤流体で充たされている。有利なように、この流体は流体入口52(図7)から入り流体出口54(図8)から出ていくことでジャケット50を通って循環している。入口52と出口54は、流体が周囲を循環しやすくなるように、互いが90°をなすように配置されている。冷却ジャケット50は、図示されるように好適にはマイクロ波キャビティ28の上流と下流でのびる。
【0035】
望ましくは、ジャケット50と冷却流体はどちらもマイクロ波透過材料から成り、これによりジャケットと冷却剤により直接吸収されるのと比較して、アプリケーター40内でのマイクロ波エネルギーのガスへの結合が最大化される。冷却剤ジャケット50は好適には石英から成る。
【0036】
冷却剤流体は水素(H)含有量が最小となるように選ばれる。水素はマイクロ波エネルギーを容易に吸収する。好適には冷却剤は水素を一切含まず、例示されている好ましい実施形態においては、過フッ素で不活性な熱伝達流体から成る。このような流体は、商品名Galden(登録商標)としてPennsylvaniaのClairtonのKurt J. Lesker Companyから入手することができる。有利なことには、この液体冷却剤は、異なる沸点を有する複数の組成で入手することができる。それ故、マイクロ波プラズマ発生装置を稼動するために望ましいパラメータに依存している、マイクロ波アプリケーターを冷却するために、最も適切な組成を選ぶことができる。
【0037】
従って、アプリケーター40内で望ましいラジカルが再結合することはアプリケーター40を液体冷却することで低減される。その上、より大きなパワーが、アプリケーター40に損傷を与えることなくガスと結合できる。例示されている実施形態においては、パワー源22は通常の稼動条件(つまり、複数枚のウェハからフォトレジストを連続的にアッシングする際、連続的または断続的に稼動する)下で、サファイアアプリケーター40に応力割れを誘発することなく、フルパワー(約3,000W)で稼動することが可能である。許容できるパワーが改善され、それにより効率的にラジカルが生成されることを、石英アプリケーターにも当てはめることができるということは理解されるであろう。石英アプリケーターは一般的に、フッ素化されていない化学物質に対してはより望ましい。稼動可能なパワーレベルは、流体冷却付の石英アプリケーターに対しては相当高くなることは理解されるであろう。
【0038】
より低くはあるが、副産物として、下流の伝送管41もまたプラズマへさらされることにより加熱される。しかしながら、下流の管はグロー放電により直接接触はされない。従って、伝送管41を液体冷却するよりも、好ましい実施形態においては、図2〜4および6に示すように、管の周りに絶縁された囲い板56を設置すると、作業者に対するやけどの危険を低減することができる。好適には、囲い板を通る、ファンからの直接的な風が、対流によって伝送管41を冷却する。
【0039】
インピーダンス整合
図1〜3を参照すると、パワー源22によって発生されたマイクロ波エネルギーは、アイソレータ24、導波管26およびマイクロ波キャビティ28を含むエネルギー路を通って伝播される。エネルギー路の様々な区間のインピーダンスは、反射するパワーによるエネルギー損失を避けるために近くまで一致するべきである。注意深くインピーダンス整合を行うことによって、定在波もしくは共振状態がマイクロ波導波システム26,28内で生み出され、そこではパワーが、アプリケーター40を通って流れるガスと結合される。パワー源はアイソレータ24によって、反射するエネルギーから保護されているが、アイソレータ24中のダミー負荷によって吸収された反射するエネルギーは、吸収されていなければラジカル発生に利用可能であった浪費パワーを表している。
【0040】
インピーダンス整合は、マイクロ波が伝播する媒体に種々の組成があるという事実により複雑になる。マイクロ波キャビティ28を通るガスの密度および導電率は、処理方法が異なると変化する。反応炉は代表的には半導体製造業者によって同じ方法に対して繰り返し利用されるので、インピーダンス整合は代表的には与えられた処理方法に対して行われる。導波管26(キャビティ28を含む)のインピーダンスを調整することは、従って、処理方法が変わったときと同じく、反応炉が最初に製造を開始したときにも必要である。
【0041】
導波管26のインピーダンスを調整する一般的な方法は、導波管26内で3つの調整ノブを用いることである。これらの電導体の突出量を、エネルギー伝播軸に沿った3つの異なる場所で導波管26を横断して横切るように調整することで、導波管26のインピーダンスをアイソレータ24およびパワー源22のインピーダンスと整合させることができ、これにより与えられた処理方法に対して、反射するパワーが最小化される。このインピーダンス整合の方式は、3スタブ同調器として知られる。反射するパワーを最小化するのに効果的である一方で、3スタブ同調器は高価である。
【0042】
しかしながら、好ましい実施形態においては、導波管26内の固定されている調整ノブ30(図1)と図8に示されるスライディングショート60との組み合わせによってインピーダンス整合は制御される。調整ノブ30は、好適には大まかな調整のために工場であらかじめ設定される一方で、スライディングショート60はインピーダンス整合を動的に微調整する。
【0043】
スライディングショート60は自動調整モジュール32内のモータアクチュエータ62によって駆動される。スライディングショート60はマイクロ波キャビティ28の壁を横断してのびる導管を有しており、それ故、キャビティ28に対して移動可能な端壁を提供する。スライディングショート60の位置は、導波管26(マイクロ波キャビティ28を含む)のインピーダンスがアイソレータ24のインピーダンスと近くまで一致するところまで変えることができ、その点においてはキャビティ28内で共振状態が達成される。その上、導波管26内の3スタブ同調器の横断的な動きの代わりに、エネルギー伝播軸に沿ってスライディングショート60を調整することは、エネルギーとアプリケーター40を通って流れるガスとの結合を最適化するために定在波パターンを調整することを容易にする。
【0044】
さらに、名前が意味するように、自動調整器32は閉ループ制御によって動的にインピーダンスを整合する。反射するパワーはアイソレータモジュール24(図1〜3)において継続的に計測され、電子制御器(図示せず)に信号を送信する。制御器は、今度はモータアクチュエータ62に信号を送信し、これがスライディングショート60を駆動する。スライディングショート60が動いた後で、反射したパワーの変化が制御器によって認識され、制御器はそれからさらにスライディングショート60の位置を調整する、ということが、反射するパワーが最小化されるまで続けられる。
【0045】
例示された実施形態においては、スライディングショート60は最初は、キャビティ28を通るアプリケーター40の中心から、(導波システム内で測定されたものとしての)マイクロ波エネルギーの波長の1/2の所に位置している。この位置においては磁界が最大であり、一般的に電界の強さが低いことから、下流でのイオンの加速が低減される。必要であるならば、ショート60は初期着火のためにマイクロ波エネルギーの波長の1/4のところに移動し、プラズマが根本から自己発火するようになった後で1/2波長のところに移動することができる。モータアクチュエータ62は、好適にはこの初期位置から、約0.25インチ(0.635cm)、より好適には約0.5インチ(1.27cm)、例示された実施形態においては、スライディングショート60は約0.75インチ(1.91cm)まで、初期(1/2波長)位置のどちらの側にも移動可能である。別の実施形態においては、インピーダンス整合を完全に調整可能とするために、アクチュエータ62は初期位置からどちらの側へも1/2波長(±1.7インチ(4.32cm))までずらすことが可能である。自動調整モジュール32は、スライディングショート60の移動し過ぎを防ぐために、光学センサをさらに有する。上記の配置(ショート60をアプリケーターの中心からλ/2のところに置く)は反射するパワーを最小化するだけでなく、アプリケーター内のマイクロ波の磁界の強さを最大化することもわかっている。
【0046】
インピーダンス整合の動的な閉ループ制御はこのようにして1つの処理方法での稼動におけるパラメータの変動を順応させる。加えて、自動調整は種々の処理方法を順応させる。例えば、図9〜11は、パワー源の出力、総ガス流量およびガス圧力の違いを含む、様々なプロセスパラメータに対する反射するエネルギーの影響を示している。構成ガスの組成のような他のプロセスパラメータもまた反射するパワーに影響を与えることは理解されるであろう。例示されているように、動的もしくは理想的なインピーダンス整合は、固定した調整と相対的に、異なる処理方法に対して手動で調整を行うことに対して要求される停止時間なしに、反射するパワーから損失を低減する。このような自動調整は新しいプロセスの研究開発に対して特に有利であり、新しいプロセスにおいては最適化のために多くの異なったプロセスを試験することが望ましい。
【0047】
マイクロ波チョーク
図1を参照すると、自動調整モジュール32がパワー源22へと反射して戻ってゆくパワーを最小化はするが、インピーダンス整合は、そこを通ってアプリケーター40が上流と下流にのびてゆくキャビティ28内の開口部からマイクロ波が漏れる可能性については述べていない。このような漏れは前記の従来技術の部分で述べた種々の理由により不都合であり、Kamarehiらの米国特許第5,498,308号が、「マイクロ波トラップ付プラズマアッシャー」(以後「‘308号特許」)と題されている。‘308号特許の開示がここで参照されて一体化される。
【0048】
それ故、この漏れを最小化するために、好ましい実施形態は上流のマイクロ波チョーク(または放射障壁)44および下流のマイクロ波チョーク(または放射障壁)46を備えている。図4〜8はこれらの放射障壁44,46を、マイクロ波キャビティ28と搬送管34との関係、特に搬送管34のアプリケーター区間40との関係において示している。
【0049】
図12は放射障壁46単独を示している。図に示されているように、放射障壁46は内部導管70、外部導管72、および誘電性媒体74を有する。これら各構成要素は長方形(図6の分解図を見よ)であり、マイクロ波キャビティ28のちょうど外側のアプリケーター40を取り囲んでいる。組み立てられた時には、内部および外部導管70,72は誘電性媒体74で充たされたチョークキャビティを規定し、このチョークキャビティの末端において内部および外部導管70,72の間にギャップ、あるいは開口部75を有する。
【0050】
内部導管70と外部導管72は、対象としているマイクロ波エネルギーの波長の1/4の電気的長さを持つように選ばれた同軸導管を規定する。例えばRamo, WhinneryおよびVan Duzerの“Fields and Waves in Communication Electronics”の46ページの表1.23(これ以後「Ramoら」)に開示されているように、理想的な1/4波長線路のインピーダンスは下記の公式で与えられる。
【0051】
【数1】
【0052】
ここでZは同軸線路のインピーダンスであり、Z0は電磁波が伝わっていく媒体の特性インピーダンスを表し、ZLは負荷インピーダンスを表している。例示されている実施形態においては、同軸線路は内部導管70と外部導管72を横切って内部端76において短絡される。短絡された線路の負荷インピーダンスZLは理想的にはゼロなので、マイクロ波キャビティ28からチョーク46の末端へ向かって伝播するマイクロ波エネルギーは、特性インピーダンスZ0にかかわらず、チョークキャビティの開口部75において無限大に近づくインピーダンスと遭遇することになる。
【0053】
開放端で、短絡されている1/4波長同軸線路のインピーダンスが高いことは、同じくRamoらによって開示されている
【0054】
【数2】
【0055】
という、短絡された線路のインピーダンスのための公式を使って、代わりに示すことができる。位相定数βは2π/λに等しく、線路lの長さは1/4波長、即ちλ/4となるように選ばれる。これらの値を上記の公式に代入すると、tanの項(tanβl)はtan(π/2)となり、これは無限大に近づく。
【0056】
再度図1を参照すると、高いインピーダンスのマイクロ波チョーク44,46がチョークキャビティの開口部75を通過するマイクロ波の漏洩を制限する一方で、エネルギーはまだ内部導管70に沿ってチョークの開口部75まで伝播する。エネルギーはこのようにこの点までアプリケーター40内でガスと結合し続け、マイクロ波キャビティ28の閉止を越えて、キャビティ28の上流と下流の両方で拡大する。このようなプラズマの拡大は多くの理由により不都合である。先に記したように、キャビティ28の下流でのプラズマの拡大は、エネルギーを持ったイオンおよび/またはプラズマのグロー放電によるUV放射線が処理チャンバ14に届く可能性を増加させる。その上、プラズマの拡大は不都合なことにプラズマ密度を低下させる。当業者には分かるように、プラズマ密度を増加させることは、与えられたパワーの入力に対してフリーラジカルをより効率的に発生させることを促進する。
【0057】
従って、誘電性媒体74は高い誘電率を持つように選ばれる。空気(誘電率=1)に対する定数においては、例示されている誘電性媒体74は好適には少なくとも約3.0、より好適には約5以上の誘電率を有する固体により構成されており、例示されている実施形態においては約9のものを有している。例示されている実施形態の代表的材料はセラミック、より好適にはEmerson & Cumingから入手可能なStycast(登録商標)HiKにより成る。
【0058】
マイクロ波は、高誘電性媒体74内で導管の表面を伝わってくる。即ち、チョークキャビティの内部に沿って伝わる。それ故、代表的なセラミック中の1/4波の絶対距離は空気中の1/4波の絶対距離(2,450MHzにおけるマイクロ波エネルギーに対して約1.2インチ(3.05cm))よりもずっと短い。例示されている実施形態においては、セラミックを通過する1/4波は約0.4インチ(1.02cm)の絶対距離に換算される。なぜなら、1/4波の長さは媒体の誘電率の2乗根に比例するからである。
【0059】
キャビティ28内で発生されるプラズマとチョークキャビティの開口部へ出ていく漏れの有効容積が、このように誘電性媒体74の誘電率を増やすことで低減される。その結果、プラズマの密度が、与えられたパワー入力に対して改善され、ラジカル発生の効率が改善される。ラジカル発生が改善されると、その次には、例示されているプラズマアッシュ反応炉においてアッシュ率が増加することになる。
【0060】
分離プラズマ源
図13〜15は、本発明の第2の実施形態に従って構成されたプラズマアッシュ反応炉100を示している。反応炉100は好適には1つかそれ以上、より好適には本発明のこれまで述べてきた特徴を全て含むことが理解されるであろう。反応炉100は先に述べた実施形態の特徴と類似的もしくは同一となる多くの特徴を含んでいるので、類似する特徴は数字100を加えた参照数字で参照する。例示されている反応炉は第1の処理チャンバ114と第2の処理チャンバ115を有する。2つのチャンバ114と115は同一の構造であるので、本説明においては第1のチャンバ114とそれに関連するプラズマ発生装置に焦点を当てる。
【0061】
チャンバ114は2つのプラズマ発生装置112および112’を有しており、それらの各々は(以下に示す特別な差異と、)これまで示してきたものと類似の構造を有することができる。2つのプラズマ発生装置112,112’のそれぞれは、図示されているように、発生されたラジカルを伝送管141,141’を介して第1の処理チャンバ114に導く。各発生装置からのフリーラジカルは、処理チャンバ114への導入の前に、攪拌チャンバ145において混合される。
【0062】
図13および図14を参照すると、2つの発生装置112および112’のマイクロ波キャビティ128および128’は互いに横切っていることがわかる。この配置は反応炉の骨格構造内においてモジュールを隙間なく詰め込むことを可能にし、クリーンルームフロア上の区域を抑制することが可能である。
【0063】
図14および図15を参照すると、伝送管141,141’はそれぞれ射出装置147,147’を介して攪拌チャンバ145と通じている。有利なことには、射出装置147,147’は攪拌チャンバ145の周囲の接線方向に近いところにラジカルを射出するように構成されており、それ故2つの異なるプラズマ源128,128’からのラジカルの混合を促進する。最も好適には、射出装置147,147’は向かい合った位置関係で射出し、時計回りや反時計回りで渦を作りだし、各プラズマ源128,128’からの反応種の混合を手助けする。
攪拌チャンバ145および射出装置147,147’の内壁は好適には陽極処理アルミニウムにより構成されるが、表面の滑らかさを改善するために研磨サファイアにより構成してもよい。どちらの場合においても、チャンバ145は好適にはサファイア(Al2O3)としての同じ化学的組成を有し、これはフッ素の侵食に対抗するのに有利に働く。攪拌チャンバ145は低いプロファイルを有しており、好適には高さが約1.0インチ(2.54cm)よりも低く、より好適には約0.5インチ(1.27cm)より低く、例示されている実施形態においては約0.22インチ(0.56cm)の高さである。低いプロファイルはラジカルに対する少ない壁面を提供し、それゆえフリーラジカルの再結合が低減される。
【0064】
図15に示されているように、攪拌チャンバ145は床部に比較的小さな中央窓149を有しており、この窓は第1のパーフォレーションのあるバフル板151の上に定められている第1のプレナムチャンバ153に向かって開いている。第1のバフル板151の下にある第2のバフル板155が、バフル板151,155間の第2のプレナムチャンバ157を定めている。第2のバフル板は第1のバフル板151のパーフォレーションと相対的にずれて配置されているパーフォレーション(図示せず)を有している。バフル板151,155は連帯して、フリーラジカルをその下にある処理チャンバ114に均一に運ぶことを保証する。
【0065】
分離しているプラズマ発生装置112,112’は、有利なことに異なった化学物質に対して個々に最適化することを可能にする。例えば、アプリケーターおよび伝送管として使用される材料は、個々に有利な点と不利な点を持っており、1つの材料はある処理方法に向き、別の材料は別の処理方法に向くという傾向がある。先に述べたように、サファイアは有利な点としてフッ素の侵食に耐性を示す。しかしながら、サファイアの不利な点は、フリーラジカルの望ましくない再結合を示すことである。以下の表は、例として、種々の材料の再結合係数(γ)を示したものである。
【0066】
【表1】
【0067】
上記の表は、サファイアは望ましいラジカルが再結合することを、石英の約9倍示すということを表している。それ故、フッ素の侵食に対抗するには望ましくとも、サファイアはラジカルを処理チャンバへ運ぶ効果を著しく下げる。
【0068】
従って、例示されているデュアルプラズマ源反応炉100においては、第1のプラズマ発生装置112は単結晶サファイアの一体型アプリケーター140と伝送管141を有し、フッ素ラジカル発生のために最適化される。それ故、サファイア管はフッ素の侵食に対抗する。第2のプラズマ発生装置112’は石英のアプリケーター140’と伝送管141’を有し、酸素ラジカル発生のために最適化される。それ故、石英管はサファイアと較べて酸素ラジカルの望ましくない再結合を最小化し、同時にサファイアよりも強いパワーと高い温度のプラズマ生成に対抗する。
【0069】
従って、酸素ラジカル生成は、フッ素の腐食によって負担されるプラズマ発生上の制限を受けることがなく、フッ素と酸素のラジカルの両方が処理チャンバ114に導かれる。その上、もしフォトレジストのアッシングが半導体製造の最終段階において望まれているならば、レジストがイオンによってインプランテーションされていない段階では、フッ素プラズマ発生装置112は停止することができ、酸素プラズマ発生装置だけを稼動させることができる。同様に、フッ素エッチングだけが望まれているところでは、酸素プラズマ発生装置112’は停止することができる。
【0070】
当業者は、本開示の観点から、このデュアルプラズマ源反応炉の他のプラズマまたはラジカル補助プロセスへの応用を容易に察知するであろう。このような他の構成においては、上述したアプリケーター管の材料、原料ガスおよびパワーレベル以外にいくつかの特徴を独立に最適化することが望ましい。
【0071】
図16は、上述の特徴により得られた非常に高いプラズマアッシュ率と均一性を示している。図示されているように、好ましい実施形態は、Shipley製レジストにおいて約2%かそれより小さい非均一性で約6μm/minより大きいアッシュ率を達成することができ、住友製レジストにおいて約2.5%かそれより小さい非均一性で約8μm/minより大きいアッシュ率を達成することができる。
【0072】
上述の発明はある好ましい実施形態の見地から記述されているが、他の実施形態も、ここに開示されている分野の技術に属する通常の技術を有する者には明らかであろう。従って、本発明は、好ましい実施形態を詳述することによって制限されることを意図しているのではなく、添付の請求項の参照として単に規定されることを意図している。
【0073】
【発明の効果】
以上のように、本発明によれば、半導体プロセスにおけるプラズマ発生装置でのラジカル発生の効率を向上させ、半導体基板のアッシュ率を改善し、基板のスループットを増加させることができる。
【図面の簡単な説明】
【図1】 図1は本発明の好ましい実施形態に従って構成された、遠隔式マイクロ波プラズマ発生装置が一体化した半導体反応炉の概略図である。
【図2】 図2は好ましい実施形態に従って構成されたプラズマ発生装置の、正面右上方斜視図である。
【図3】 図3は図2のプラズマ発生装置の正面左上方斜視図である。
【図4】 図4は図3のプラズマ発生装置のサブシステムを示している。
【図5】 図5は図4のサブシステムの正面右上方斜視図であり、搬送管から保護シースを取り外して示している。
【図6】 図6は図4のサブシステムの分解図であり、図5の角度から見ている。
【図7】 図7はサブシステムの横断面図であり、図4の線7-7に沿って見ている。
【図8】 図8はサブシステムの上下断面図であり、図4の線8-8に沿って見ている。
【図9】 図9は、マイクロ波発生装置のパワー対反射するパワーを、固定した調整と動的にもしくは理想的に調整されたインピーダンス整合の両方の場合に対して示したグラフである。
【図10】 図10は、総ガス流量対反射するパワーを、固定した調整と動的にもしくは理想的に調整されたインピーダンス整合の両方の場合に対して示したグラフである。
【図11】 図11は、ガス圧力対反射するパワーを、固定した調整と動的にもしくは理想的に調整されたインピーダンス整合の両方の場合に対して示したグラフである。
【図12】 図12は図4のサブシステムの要素の縦断面図であり、低いプロファイルの同軸マイクロ波チョークを含んでいる。
【図13】 図13は、本発明の第2の実施形態に従って構成された、プラズマ発生装置が2個一体化した半導体反応炉の背面右斜視図である。
【図14】 図14は図13の反応炉の背面立面図である。
【図15】 図15は図13の線15-15に沿って見た縦断面図である。
【図16】 図16は、好ましい実施形態のプラズマ発生器を用いたアッシュ率と均一性を示した2つのグラフである。
【符号の説明】
10…化学的下流エッチング反応炉、12,112,112’…マイクロ波プラズマ発生装置、14,114,115…処理チャンバ、16…基板、22…マイクロ波パワー源、24…アイソレータモジュール、26…導波管、28,128,128’…マイクロ波キャビティ(プラズマ源)、30…固定されている調整ノブ、32…自動調整モジュール、34…搬送管、36…ガス源、40,140,140’…アプリケーター、41,141,141’…伝送管、42…曲げ(ひじ継手)、44,46…マイクロ波放射障壁、50…冷却ジャケット、60…スライディングショート、62…アクチュエータ、70…内部導管、72…外部導管、74…誘電性媒体、145…攪拌チャンバ、147,147’…射出装置、151,155…バフル板、153,157…プレナムチャンバ
Claims (24)
- 第1のプラズマ源キャビティと、
前記第1のプラズマ源キャビティに接続される第1のプラズマエネルギー源と、
前記第1のプラズマ源キャビティを通ってのび、サファイアによって構成される第1のガス搬送管と、
第2のプラズマ源キャビティと、
前記第2のプラズマ源キャビティに接続される第2のプラズマエネルギー源と、
前記第2のプラズマ源キャビティを通ってのび、石英によって構成される第2のガス搬送管と、
前記第1および前記第2のプラズマ源キャビティの下流で前記第1のガス搬送管および前記第2のガス搬送管の各々と流体伝達しているプラズマ撹拌チャンバと、
前記撹拌チャンバの下流にあり当該撹拌チャンバと流体伝達している処理チャンバと
を有するデュアルプラズマ源下流式反応炉。 - 前記撹拌チャンバの下流側にあるプレナムチャンバをさらに有し、
前記撹拌チャンバは、前記プレナムチャンバに向かって開いており、
前記処理チャンバは前記プレナムチャンバ下流にあり前記撹拌チャンバと流体伝達している
請求項1に記載の反応炉。 - 前記処理チャンバと前記撹拌チャンバとの間に配置される、パーフォレーションのある第1の板をさらに有する
請求項2に記載の反応炉。 - 前記処理チャンバと前記撹拌チャンバとの間に配置される、パーフォレーションのある第2の板をさらに有し、前記第1および第2の板は、それらのパーフォレーションが同軸上に配列されていない
請求項3に記載の反応炉。 - 前記第1のガス搬送管はフッ素源に連通し、前記第2のガス搬送管は酸素源に連通する
請求項1に記載の反応炉。 - 1/4波長の短絡された同軸導管を含む第1のマイクロ波チョークをさらに有し、
前記短絡された同軸導管は、前記第1のプラズマ源キャビティに隣接する前記第1のガス搬送管を取り囲んでいる第1のチョークの周囲を規定し、
前記第1のチョークの周囲は、3よりも大きい誘電率を持つ固体材料で満たされている
請求項1に記載の反応炉。 - 1/4波長の短絡された同軸導管を含む第1のマイクロ波チョークをさらに有し、
前記短絡された同軸導管は、前記第1のプラズマ源キャビティの上流終端に隣接する前記第1のガス搬送管を取り囲む上流部分、及び、前記第1のプラズマ源キャビティの下流終端に隣接する前記第1のガス搬送管を取り囲む下流部分を有する第1のチョークの周辺を規定し、
前記第1のチョークの周辺の前記上流部分及び前記下流部分は、3よりも大きい誘電率を持つ固体材料で満たされている
請求項1に記載の反応炉。 - 1/4波長の短絡された同軸導管を含む第2のマイクロ波チョークをさらに有し、
前記短絡された同軸導管は、前記第2のプラズマ源キャビティの上流終端に隣接する前記第2のガス搬送管を取り囲む上流部分、及び、前記第2のプラズマ源キャビティの下流終端に隣接する前記第2のガス搬送管を取り囲む下流部分を有する第2のチョークの周辺を規定し、
前記第2のチョークの周辺の前記上流部分及び前記下流部分は、3よりも大きい誘電率を持つ固体材料で満たされている
請求項7に記載の反応炉。 - 前記固体材料はセラミックにより成る
請求項6に記載の反応炉。 - 前記固体材料は5より大きい誘電率を持つ
請求項6に記載の反応炉。 - 前記固体材料は9より大きい誘電率を持つ
請求項10に記載の反応炉。 - 前記第1のプラズマ源キャビティ内側で前記第1のガス搬送管を取り囲む第1の冷却ジャケットをさらに有し、
前記第1の冷却ジャケットは、マイクロ波エネルギーを透過する過フッ素冷却流体により満たされている
請求項1に記載の反応炉。 - 前記第2のプラズマ源キャビティ内側で前記第2のガス搬送管を取り囲む第2の冷却ジャケットをさらに有し、
前記第2の冷却ジャケットは、マイクロ波エネルギーを透過する過フッ素冷却流体により満たされている
請求項12に記載の反応炉。 - 前記冷却流体は、水素を含まない
請求項12に記載の反応炉。 - 前記第1のガス搬送管は、前記第1のプラズマ源キャビティと前記撹拌チャンバとの間に35°よりも大きい角度のひじ継手を含んだ中空のサファイア管を有する
請求項1に記載の反応炉。 - 前記第1のプラズマエネルギー源は、マイクロ波パワー源をさらに有する
請求項15に記載の反応炉。 - 前記マイクロ波パワー源は、前記サファイア管内において、少なくとも、2,450MHzにおいて3,000Wのマイクロ波エネルギーをガスに結合可能である
請求項16に記載の反応炉。 - 前記第1のガス搬送管はフッ素ガス源と流体伝達している
請求項17に記載の反応炉。 - 前記ひじ継手は90°の角度を規定する
請求項15に記載の反応炉。 - 前記第1のプラズマエネルギー源は、
マイクロ波エネルギー発生装置と、
前記マイクロ波エネルギー発生装置からのマイクロ波エネルギー通路と、
第1のプラズマ源キャビティの可変な末端を規定し、前記マイクロ波キャビティのインピーダンスを前記導波管に整合させるために動的に制御されるスライディングショートと
を有し、
前記マイクロ波エネルギー通路は、
前記マイクロ波エネルギー発生装置と連通し、反射するパワーから前記エネルギー発生装置を保護するために構成されているアイソレータモジュールと、
前記アイソレータモジュールと内部端において連通し、前記第1のプラズマ源キャビティの内部端において連通し、前記第1のプラズマ源キャビティはガス流入部とラジカル流出部を含む
請求項15に記載の反応炉。 - 前記マイクロ波エネルギー通路は、前記マイクロ波エネルギー発生装置の方へ指向する反射するエネルギーを測定し、前記スライディングショートの動きを制御する信号を発生させる方向性結合器を有する
請求項20に記載の反応炉。 - 大まかな調整が前記導波管内の固定された調整ノブを介して行われ、微調整が前記スライディングショートにより動的に行われる
請求項20に記載の反応炉。 - 前記撹拌チャンバは、1.0インチよりも低い高さを有する
請求項1に記載の反応炉。 - 前記撹拌チャンバは、0.5インチよりも低い高さを有する
請求項1に記載の反応炉。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12885999P | 1999-04-12 | 1999-04-12 | |
US60/128,859 | 1999-04-12 | ||
PCT/US2000/009729 WO2000061384A1 (en) | 1999-04-12 | 2000-04-12 | Remote plasma generator |
Publications (3)
Publication Number | Publication Date |
---|---|
JP2002541672A JP2002541672A (ja) | 2002-12-03 |
JP2002541672A5 JP2002541672A5 (ja) | 2007-04-05 |
JP4714347B2 true JP4714347B2 (ja) | 2011-06-29 |
Family
ID=22437347
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2000610688A Expired - Lifetime JP4714347B2 (ja) | 1999-04-12 | 2000-04-12 | デュアルプラズマ源下流式反応炉 |
Country Status (6)
Country | Link |
---|---|
US (4) | US6263830B1 (ja) |
EP (1) | EP1177112B1 (ja) |
JP (1) | JP4714347B2 (ja) |
KR (1) | KR100724514B1 (ja) |
AU (1) | AU4081500A (ja) |
WO (1) | WO2000061384A1 (ja) |
Families Citing this family (438)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2001252527A (ja) * | 2000-03-13 | 2001-09-18 | Seiko Epson Corp | Pfcの処理方法および処理装置 |
US6627901B2 (en) * | 2001-01-04 | 2003-09-30 | Nec Electronics, Inc. | Apparatus and method for distribution of dopant gases or vapors in an arc chamber for use in an ionization source |
US6836060B2 (en) * | 2001-03-26 | 2004-12-28 | Agilent Technologies, Inc. | Air cooled gas discharge detector |
JP4799748B2 (ja) * | 2001-03-28 | 2011-10-26 | 忠弘 大見 | マイクロ波プラズマプロセス装置、プラズマ着火方法、プラズマ形成方法及びプラズマプロセス方法 |
US6761796B2 (en) * | 2001-04-06 | 2004-07-13 | Axcelis Technologies, Inc. | Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing |
JP2003100717A (ja) * | 2001-09-21 | 2003-04-04 | Tokyo Electron Ltd | プラズマ処理装置 |
JP3969081B2 (ja) * | 2001-12-14 | 2007-08-29 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP2004165634A (ja) * | 2002-08-15 | 2004-06-10 | Interuniv Micro Electronica Centrum Vzw | Ald表面処理のためのプラズマ処理 |
KR100542740B1 (ko) * | 2002-11-11 | 2006-01-11 | 삼성전자주식회사 | 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법 |
US6893978B1 (en) | 2002-12-03 | 2005-05-17 | Silicon Magnetic Systems | Method for oxidizing a metal layer |
US7473436B1 (en) * | 2002-12-13 | 2009-01-06 | The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administrator | Functionalization of carbon nanotubes |
US7276266B1 (en) * | 2002-12-13 | 2007-10-02 | United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration (Nasa) | Functionalization of carbon nanotubes |
US7767270B1 (en) | 2002-12-13 | 2010-08-03 | The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration | Selective functionalization of carbon nanotubes based upon distance traveled |
US7183514B2 (en) * | 2003-01-30 | 2007-02-27 | Axcelis Technologies, Inc. | Helix coupled remote plasma source |
US20060137613A1 (en) * | 2004-01-27 | 2006-06-29 | Shigeru Kasai | Plasma generating apparatus, plasma generating method and remote plasma processing apparatus |
US7374696B2 (en) * | 2003-02-14 | 2008-05-20 | Applied Materials, Inc. | Method and apparatus for removing a halogen-containing residue |
US8580076B2 (en) * | 2003-05-22 | 2013-11-12 | Lam Research Corporation | Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith |
US20040235299A1 (en) * | 2003-05-22 | 2004-11-25 | Axcelis Technologies, Inc. | Plasma ashing apparatus and endpoint detection process |
KR20050071150A (ko) * | 2003-12-31 | 2005-07-07 | 동부아남반도체 주식회사 | 컬러 포토레지스트 제거 방법 |
US20050284573A1 (en) * | 2004-06-24 | 2005-12-29 | Egley Fred D | Bare aluminum baffles for resist stripping chambers |
JP4588391B2 (ja) * | 2004-09-01 | 2010-12-01 | 芝浦メカトロニクス株式会社 | アッシング方法及びアッシング装置 |
US7338575B2 (en) * | 2004-09-10 | 2008-03-04 | Axcelis Technologies, Inc. | Hydrocarbon dielectric heat transfer fluids for microwave plasma generators |
US7148456B2 (en) * | 2004-09-15 | 2006-12-12 | The Penn State Research Foundation | Method and apparatus for microwave phosphor synthesis |
US20070272299A1 (en) * | 2004-12-03 | 2007-11-29 | Mks Instruments, Inc. | Methods and apparatus for downstream dissociation of gases |
US20060118240A1 (en) * | 2004-12-03 | 2006-06-08 | Applied Science And Technology, Inc. | Methods and apparatus for downstream dissociation of gases |
US20060228889A1 (en) * | 2005-03-31 | 2006-10-12 | Edelberg Erik A | Methods of removing resist from substrates in resist stripping chambers |
US20070006893A1 (en) * | 2005-07-08 | 2007-01-11 | Bing Ji | Free radical initiator in remote plasma chamber clean |
GB2429143B (en) * | 2005-07-11 | 2008-02-13 | Re18 Ltd | Vessel and source of radio frequency electromagnetic radiation, heating apparatus and method of heating a feedstock |
GB0516695D0 (en) * | 2005-08-15 | 2005-09-21 | Boc Group Plc | Microwave plasma reactor |
US7679024B2 (en) * | 2005-12-23 | 2010-03-16 | Lam Research Corporation | Highly efficient gas distribution arrangement for plasma tube of a plasma processing chamber |
US7554053B2 (en) * | 2005-12-23 | 2009-06-30 | Lam Research Corporation | Corrugated plasma trap arrangement for creating a highly efficient downstream microwave plasma system |
US7562638B2 (en) * | 2005-12-23 | 2009-07-21 | Lam Research Corporation | Methods and arrangement for implementing highly efficient plasma traps |
KR100769947B1 (ko) * | 2005-12-29 | 2007-10-25 | 유티오인터내셔날코퍼레이션(영업소) | 마이크로웨이브 발생장치, 마이크로 웨이브 발생방법, 상기 마이크로웨이브 발생장치를 구비하는 플라즈마 발생장치 |
JP4854317B2 (ja) * | 2006-01-31 | 2012-01-18 | 東京エレクトロン株式会社 | 基板処理方法 |
US8653482B2 (en) * | 2006-02-21 | 2014-02-18 | Goji Limited | RF controlled freezing |
WO2007096878A2 (en) | 2006-02-21 | 2007-08-30 | Rf Dynamics Ltd. | Electromagnetic heating |
US10674570B2 (en) | 2006-02-21 | 2020-06-02 | Goji Limited | System and method for applying electromagnetic energy |
AT504487B1 (de) * | 2007-06-13 | 2008-06-15 | Ulrich Dipl Ing Dr Traxlmayr | Vorrichtung zur erzeugung von plasma oder radikalen mittels mikrowellen |
US20090071816A1 (en) * | 2007-09-18 | 2009-03-19 | Todd William Wallin | Radio frequency plasma-water dissociator |
KR101399117B1 (ko) * | 2007-10-19 | 2014-05-28 | 주성엔지니어링(주) | 원격 플라즈마를 이용한 기판 식각장치 및 이를 이용한기판 식각방법 |
US7723240B2 (en) * | 2008-05-15 | 2010-05-25 | Macronix International Co., Ltd. | Methods of low temperature oxidation |
CN101640969B (zh) * | 2008-07-29 | 2012-09-05 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 匹配方法及应用该匹配方法的等离子体装置 |
US20100037820A1 (en) * | 2008-08-13 | 2010-02-18 | Synos Technology, Inc. | Vapor Deposition Reactor |
US20100037824A1 (en) * | 2008-08-13 | 2010-02-18 | Synos Technology, Inc. | Plasma Reactor Having Injector |
US8770142B2 (en) * | 2008-09-17 | 2014-07-08 | Veeco Ald Inc. | Electrode for generating plasma and plasma generator |
US8851012B2 (en) * | 2008-09-17 | 2014-10-07 | Veeco Ald Inc. | Vapor deposition reactor using plasma and method for forming thin film using the same |
JP5225389B2 (ja) * | 2008-10-28 | 2013-07-03 | 三菱電機株式会社 | プラズマcvd装置、半導体膜の製造方法、薄膜太陽電池の製造方法およびプラズマcvd装置のクリーニング方法 |
JP5520959B2 (ja) | 2008-11-10 | 2014-06-11 | ゴジ リミテッド | Rfエネルギを使用して加熱する装置および方法 |
US20100130017A1 (en) * | 2008-11-21 | 2010-05-27 | Axcelis Technologies, Inc. | Front end of line plasma mediated ashing processes and apparatus |
US7921804B2 (en) * | 2008-12-08 | 2011-04-12 | Amarante Technologies, Inc. | Plasma generating nozzle having impedance control mechanism |
US8273259B1 (en) | 2009-01-17 | 2012-09-25 | Novellus Systems, Inc. | Ashing method |
US8871628B2 (en) * | 2009-01-21 | 2014-10-28 | Veeco Ald Inc. | Electrode structure, device comprising the same and method for forming electrode structure |
WO2010095901A2 (en) * | 2009-02-23 | 2010-08-26 | Synos Technology, Inc. | Method for forming thin film using radicals generated by plasma |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8758512B2 (en) * | 2009-06-08 | 2014-06-24 | Veeco Ald Inc. | Vapor deposition reactor and method for forming thin film |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
JP5440604B2 (ja) * | 2009-08-21 | 2014-03-12 | 東京エレクトロン株式会社 | プラズマ処理装置および基板処理方法 |
CN106028495B (zh) | 2009-11-10 | 2019-04-16 | 高知有限公司 | 控制能量的装置与方法 |
US8906195B2 (en) * | 2009-11-18 | 2014-12-09 | Lam Research Corporation | Tuning hardware for plasma ashing apparatus and methods of use thereof |
US20130048880A1 (en) | 2010-05-03 | 2013-02-28 | Pinchas Einziger | Antenna placement in degenerate modal cavities of an electromagnetic energy transfer system |
TW201141316A (en) * | 2010-05-04 | 2011-11-16 | Ind Tech Res Inst | A linear-type microwave plasma source using rectangular waveguide with a biased slot as the plasma reactor |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8771791B2 (en) | 2010-10-18 | 2014-07-08 | Veeco Ald Inc. | Deposition of layer using depositing apparatus with reciprocating susceptor |
KR20140138328A (ko) * | 2010-11-05 | 2014-12-03 | 비코 에이엘디 인코포레이티드 | 다중 플라즈마 챔버를 구비한 라디칼 반응기 |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8877300B2 (en) | 2011-02-16 | 2014-11-04 | Veeco Ald Inc. | Atomic layer deposition using radicals of gas mixture |
US9163310B2 (en) | 2011-02-18 | 2015-10-20 | Veeco Ald Inc. | Enhanced deposition of layer on substrate using radicals |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US9129778B2 (en) | 2011-03-18 | 2015-09-08 | Lam Research Corporation | Fluid distribution members and/or assemblies |
US10225919B2 (en) | 2011-06-30 | 2019-03-05 | Aes Global Holdings, Pte. Ltd | Projected plasma source |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US10049881B2 (en) * | 2011-08-10 | 2018-08-14 | Applied Materials, Inc. | Method and apparatus for selective nitridation process |
US9111727B2 (en) * | 2011-09-30 | 2015-08-18 | Tokyo Electron Limited | Plasma tuning rods in microwave resonator plasma sources |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8846509B2 (en) | 2011-11-15 | 2014-09-30 | Applied Materials, Inc. | Remote radical hydride dopant incorporation for delta doping in silicon |
US9177788B2 (en) | 2012-03-12 | 2015-11-03 | Veeco Ald Inc. | Plasma reactor with conductive member in reaction chamber for shielding substrate from undesirable irradiation |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
AP2015008659A0 (en) * | 2013-01-25 | 2015-08-31 | Hler Barth Gmbh B | Method and device for drying and/or roasting a food |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10256079B2 (en) * | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) * | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
ITRM20130159A1 (it) * | 2013-03-15 | 2014-09-15 | Consiglio Nazionale Ricerche | Elongated microwave powered lamp |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
JP2014192372A (ja) * | 2013-03-27 | 2014-10-06 | Tokyo Electron Ltd | マイクロ波加熱処理装置 |
US9526160B2 (en) | 2013-05-27 | 2016-12-20 | Adtec Plasma Technology Co., Ltd. | Cavity resonator of microwave plasma generating apparatus |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9859145B2 (en) | 2013-07-17 | 2018-01-02 | Lam Research Corporation | Cooled pin lifter paddle for semiconductor substrate processing apparatus |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9560730B2 (en) * | 2013-09-09 | 2017-01-31 | Asml Netherlands B.V. | Transport system for an extreme ultraviolet light source |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9653266B2 (en) * | 2014-03-27 | 2017-05-16 | Mks Instruments, Inc. | Microwave plasma applicator with improved power uniformity |
US20150279626A1 (en) * | 2014-03-27 | 2015-10-01 | Mks Instruments, Inc. | Microwave plasma applicator with improved power uniformity |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US9831066B1 (en) * | 2016-05-27 | 2017-11-28 | Mks Instruments, Inc. | Compact microwave plasma applicator utilizing conjoining electric fields |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10748745B2 (en) | 2016-08-16 | 2020-08-18 | Applied Materials, Inc. | Modular microwave plasma source |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
KR20180068582A (ko) * | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR20180070971A (ko) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US10707058B2 (en) | 2017-04-11 | 2020-07-07 | Applied Materials, Inc. | Symmetric and irregular shaped plasmas using modular microwave sources |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US11037764B2 (en) | 2017-05-06 | 2021-06-15 | Applied Materials, Inc. | Modular microwave source with local Lorentz force |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
NL2021074A (en) | 2017-06-26 | 2019-01-08 | Asml Netherlands Bv | Cooling apparatus and plasma-cleaning station for cooling apparatus |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
JP2019029333A (ja) * | 2017-07-26 | 2019-02-21 | 東芝メモリ株式会社 | プラズマ処理装置および半導体装置の製造方法 |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
CN111316417B (zh) | 2017-11-27 | 2023-12-22 | 阿斯莫Ip控股公司 | 与批式炉偕同使用的用于储存晶圆匣的储存装置 |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
CN111699278B (zh) | 2018-02-14 | 2023-05-16 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10504699B2 (en) | 2018-04-20 | 2019-12-10 | Applied Materials, Inc. | Phased array modular high-frequency source |
US11393661B2 (en) | 2018-04-20 | 2022-07-19 | Applied Materials, Inc. | Remote modular high-frequency source |
US11081317B2 (en) | 2018-04-20 | 2021-08-03 | Applied Materials, Inc. | Modular high-frequency source |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
CN112292477A (zh) | 2018-06-27 | 2021-01-29 | Asm Ip私人控股有限公司 | 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构 |
CN112292478A (zh) | 2018-06-27 | 2021-01-29 | Asm Ip私人控股有限公司 | 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR20200002519A (ko) | 2018-06-29 | 2020-01-08 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
JP2020136677A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための周期的堆積方法および装置 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
JP2020133004A (ja) | 2019-02-22 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材を処理するための基材処理装置および方法 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
WO2020185693A1 (en) * | 2019-03-08 | 2020-09-17 | Dsgi Technologies, Inc. | System and method of low temperature thin film deposition and insitu annealing |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
CN112242296A (zh) | 2019-07-19 | 2021-01-19 | Asm Ip私人控股有限公司 | 形成拓扑受控的无定形碳聚合物膜的方法 |
TW202113936A (zh) | 2019-07-29 | 2021-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
KR20210037318A (ko) | 2019-09-27 | 2021-04-06 | 삼성전자주식회사 | 기판 처리 장치와 방법, 그 처리 방법을 이용한 반도체 소자 제조방법 |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TW202129060A (zh) | 2019-10-08 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | 基板處理裝置、及基板處理方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TW202115273A (zh) | 2019-10-10 | 2021-04-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
JP7253481B2 (ja) * | 2019-10-31 | 2023-04-06 | 日本無線株式会社 | マイクロ波加熱装置 |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
JP2021097227A (ja) | 2019-12-17 | 2021-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
US11551912B2 (en) | 2020-01-20 | 2023-01-10 | Asm Ip Holding B.V. | Method of forming thin film and method of modifying surface of thin film |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
US20230033058A1 (en) * | 2021-07-29 | 2023-02-02 | Applied Materials, Inc. | Reactor with inductively coupled plasma source |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH09503611A (ja) * | 1993-06-04 | 1997-04-08 | アプライド サイエンス アンド テクノロジー インコーポレーテッド | マイクロ波プラズマ反応器 |
WO1997037055A1 (en) * | 1996-04-02 | 1997-10-09 | Fusion Systems Corporation | Plasma device and method utilizing azimuthally and axially uniform electric field |
Family Cites Families (55)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
BE757877A (fr) | 1969-10-24 | 1971-04-01 | Amana Refrigeration Inc | Four a hyperfrequence |
US3878350A (en) | 1971-07-15 | 1975-04-15 | Sharp Kk | Microwave cooking apparatus |
USRE32664E (en) | 1971-11-30 | 1988-05-10 | Raytheon Company | Energy seal for high frequency energy apparatus |
US3819900A (en) | 1972-06-13 | 1974-06-25 | Amana Refrigeration Inc | Waveguide filter for microwave heating apparatus |
US4023279A (en) | 1972-09-14 | 1977-05-17 | Gammaflux, Inc. | Method and apparatus for drying moldable resins |
US3872349A (en) | 1973-03-29 | 1975-03-18 | Fusion Systems Corp | Apparatus and method for generating radiation |
US3882352A (en) | 1974-02-27 | 1975-05-06 | Raytheon Co | Electrically tuned microwave energy device |
CA1054231A (en) | 1975-03-31 | 1979-05-08 | Arnold M. Bucksbaum | Microwave oven door seal system of resonant transmission line structure |
US4137442A (en) | 1975-05-22 | 1979-01-30 | Sharp Kabushiki Kaisha | High-frequency oven having a browning unit |
US4096369A (en) | 1975-11-20 | 1978-06-20 | Matsushita Electric Industrial Co., Ltd. | Microwave oven |
JPS5939178B2 (ja) | 1977-04-25 | 1984-09-21 | 株式会社東芝 | 活性化ガス発生装置 |
JPS5843875B2 (ja) * | 1977-07-11 | 1983-09-29 | 松下電器産業株式会社 | 高周波加熱装置用ヒ−タ装置 |
US4326114A (en) | 1978-12-11 | 1982-04-20 | Gerling-Moore, Inc. | Apparatus for microwave roasting of coffee beans |
US4477706A (en) | 1982-07-19 | 1984-10-16 | Control Data Corporation | Combination microwave/convection and broiling oven |
US4511779A (en) | 1983-03-07 | 1985-04-16 | Amana Refrigeration, Inc. | Microwave oven shelf |
IT8334016V0 (it) | 1983-04-07 | 1983-04-07 | Zanussi A Spa Industrie | Porta per forno combinato a gas o elettrico e a microonde. |
JPS6016424A (ja) | 1983-07-08 | 1985-01-28 | Fujitsu Ltd | マイクロ波プラズマ処理方法及びその装置 |
US4513513A (en) | 1983-11-10 | 1985-04-30 | The United States Of America As Represented By The Secretary Of The Army | Microwave drying of ammonium perchlorate grinding spheres |
ES2019292B3 (es) | 1985-11-30 | 1991-06-16 | Thorn Emi Patents Ltd | Horno de microondas. |
GB8615201D0 (en) | 1986-06-21 | 1986-07-23 | Thorn Emi Appliances | Grilling/browning food |
JPH0622537B2 (ja) | 1987-09-29 | 1994-03-30 | 凸版印刷株式会社 | マイクロ波加熱殺菌方法 |
US4866346A (en) | 1987-06-22 | 1989-09-12 | Applied Science & Technology, Inc. | Microwave plasma generator |
US4844773A (en) | 1987-07-16 | 1989-07-04 | Texas Instruments Incorporated | Process for etching silicon nitride film |
US4771145A (en) | 1987-09-08 | 1988-09-13 | Davis Jr Kenneth E | Light switch extension |
JPH02114530A (ja) | 1988-10-25 | 1990-04-26 | Mitsubishi Electric Corp | 薄膜形成装置 |
JP2993675B2 (ja) * | 1989-02-08 | 1999-12-20 | 株式会社日立製作所 | プラズマ処理方法及びその装置 |
JP2922223B2 (ja) | 1989-09-08 | 1999-07-19 | 株式会社日立製作所 | マイクロ波プラズマ発生装置 |
DE3931859A1 (de) | 1989-09-23 | 1991-04-04 | Bauknecht Hausgeraete | Elektroherd |
US5013400A (en) | 1990-01-30 | 1991-05-07 | General Signal Corporation | Dry etch process for forming champagne profiles, and dry etch apparatus |
US5082517A (en) | 1990-08-23 | 1992-01-21 | Texas Instruments Incorporated | Plasma density controller for semiconductor device processing equipment |
US5264282A (en) * | 1990-08-27 | 1993-11-23 | Rhone-Poulenc Viscosuisse Sa | Polyamide filament, process for producing it and its use |
US5211808A (en) | 1990-11-13 | 1993-05-18 | Savant Instruments | Microwave heating in a vacuum centrifugal concentrator |
US5262610A (en) | 1991-03-29 | 1993-11-16 | The United States Of America As Represented By The Air Force | Low particulate reliability enhanced remote microwave plasma discharge device |
FR2674939B1 (fr) | 1991-04-03 | 1993-07-30 | Tech Nles Ste Gle | Four de fusion a micro-ondes pour la vitrification de materiaux. |
JPH0669923B2 (ja) * | 1992-10-30 | 1994-09-07 | 日産化学工業株式会社 | 除草剤組成物 |
US5800618A (en) | 1992-11-12 | 1998-09-01 | Ngk Insulators, Ltd. | Plasma-generating electrode device, an electrode-embedded article, and a method of manufacturing thereof |
US5556475A (en) | 1993-06-04 | 1996-09-17 | Applied Science And Technology, Inc. | Microwave plasma reactor |
US5498308A (en) | 1994-02-25 | 1996-03-12 | Fusion Systems Corp. | Plasma asher with microwave trap |
IT1267731B1 (it) | 1994-06-22 | 1997-02-07 | Zanussi Grandi Impianti Spa | Forno di cottura combiato gas-microonde con funzionalita' vapore |
EP0702393A3 (en) * | 1994-09-16 | 1997-03-26 | Daihen Corp | Plasma processing apparatus for introducing a micrometric wave from a rectangular waveguide, through an elongated sheet into the plasma chamber |
US5714009A (en) * | 1995-01-11 | 1998-02-03 | Deposition Sciences, Inc. | Apparatus for generating large distributed plasmas by means of plasma-guided microwave power |
EP0726593A1 (en) | 1995-02-13 | 1996-08-14 | Applied Materials, Inc. | A high power, plasma-based, reactive species generator |
US6161498A (en) * | 1995-09-14 | 2000-12-19 | Tokyo Electron Limited | Plasma processing device and a method of plasma process |
US5895548A (en) * | 1996-03-29 | 1999-04-20 | Applied Komatsu Technology, Inc. | High power microwave plasma applicator |
US6015761A (en) | 1996-06-26 | 2000-01-18 | Applied Materials, Inc. | Microwave-activated etching of dielectric layers |
US6029602A (en) | 1997-04-22 | 2000-02-29 | Applied Materials, Inc. | Apparatus and method for efficient and compact remote microwave plasma generation |
KR100233437B1 (ko) * | 1997-06-25 | 1999-12-01 | 윤종용 | 전자렌지의 도어 |
US6209482B1 (en) * | 1997-10-01 | 2001-04-03 | Energy Conversion Devices, Inc. | Large area microwave plasma apparatus with adaptable applicator |
US6016766A (en) * | 1997-12-29 | 2000-01-25 | Lam Research Corporation | Microwave plasma processor |
US6057645A (en) * | 1997-12-31 | 2000-05-02 | Eaton Corporation | Plasma discharge device with dynamic tuning by a movable microwave trap |
US6112696A (en) | 1998-02-17 | 2000-09-05 | Dry Plasma Systems, Inc. | Downstream plasma using oxygen gas mixture |
JP2000100790A (ja) | 1998-09-22 | 2000-04-07 | Canon Inc | プラズマ処理装置及びそれを用いた処理方法 |
JP2000311868A (ja) | 1999-04-27 | 2000-11-07 | Canon Inc | 負イオンを用いた表面処理装置及び表面処理方法及び半導体装置の製造方法 |
WO2000078387A1 (en) | 1999-06-22 | 2000-12-28 | Blanco Ernesto E | Safety trocar with progressive cutting tip guards and gas jet tissue deflector |
US6225745B1 (en) * | 1999-12-17 | 2001-05-01 | Axcelis Technologies, Inc. | Dual plasma source for plasma process chamber |
-
2000
- 2000-04-11 US US09/546,750 patent/US6263830B1/en not_active Expired - Lifetime
- 2000-04-12 AU AU40815/00A patent/AU4081500A/en not_active Abandoned
- 2000-04-12 EP EP00920239A patent/EP1177112B1/en not_active Expired - Lifetime
- 2000-04-12 WO PCT/US2000/009729 patent/WO2000061384A1/en active IP Right Grant
- 2000-04-12 JP JP2000610688A patent/JP4714347B2/ja not_active Expired - Lifetime
- 2000-04-12 KR KR1020017011861A patent/KR100724514B1/ko not_active IP Right Cessation
- 2000-12-22 US US09/747,452 patent/US6439155B1/en not_active Expired - Fee Related
- 2000-12-22 US US09/748,060 patent/US6412438B2/en not_active Expired - Fee Related
- 2000-12-22 US US09/747,822 patent/US6352050B2/en not_active Expired - Lifetime
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH09503611A (ja) * | 1993-06-04 | 1997-04-08 | アプライド サイエンス アンド テクノロジー インコーポレーテッド | マイクロ波プラズマ反応器 |
WO1997037055A1 (en) * | 1996-04-02 | 1997-10-09 | Fusion Systems Corporation | Plasma device and method utilizing azimuthally and axially uniform electric field |
Also Published As
Publication number | Publication date |
---|---|
EP1177112B1 (en) | 2011-06-01 |
KR20020019006A (ko) | 2002-03-09 |
US6263830B1 (en) | 2001-07-24 |
US6439155B1 (en) | 2002-08-27 |
EP1177112A4 (en) | 2008-03-05 |
WO2000061384A1 (en) | 2000-10-19 |
US6412438B2 (en) | 2002-07-02 |
US20020011310A1 (en) | 2002-01-31 |
US20020011214A1 (en) | 2002-01-31 |
JP2002541672A (ja) | 2002-12-03 |
AU4081500A (en) | 2000-11-14 |
US6352050B2 (en) | 2002-03-05 |
US20020112819A1 (en) | 2002-08-22 |
KR100724514B1 (ko) | 2007-06-04 |
EP1177112A1 (en) | 2002-02-06 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4714347B2 (ja) | デュアルプラズマ源下流式反応炉 | |
JP4230556B2 (ja) | 遠隔マイクロ波プラズマ源モジュール | |
US6029602A (en) | Apparatus and method for efficient and compact remote microwave plasma generation | |
US9653266B2 (en) | Microwave plasma applicator with improved power uniformity | |
KR100960424B1 (ko) | 마이크로파 플라즈마 처리 장치 | |
US5734143A (en) | Microwave plasma torch having discretely positioned gas injection holes and method for generating plasma | |
US20020007912A1 (en) | Coolant for plasma generator | |
JPH1171680A (ja) | 基板処理装置と共に用いるための改良型遠隔マイクロ波プラズマソース用装置 | |
US7183514B2 (en) | Helix coupled remote plasma source | |
KR20010021723A (ko) | 원격 플라즈마 세정 장치 | |
US6908530B2 (en) | Microwave plasma processing apparatus | |
US7554053B2 (en) | Corrugated plasma trap arrangement for creating a highly efficient downstream microwave plasma system | |
US6706141B1 (en) | Device to generate excited/ionized particles in a plasma | |
US20150279626A1 (en) | Microwave plasma applicator with improved power uniformity | |
JPH04206726A (ja) | プラズマ処理装置 | |
JP2004235430A (ja) | プラズマ発生装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A711 | Notification of change in applicant |
Free format text: JAPANESE INTERMEDIATE CODE: A712 Effective date: 20040512 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20040528 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20040903 |
|
A711 | Notification of change in applicant |
Free format text: JAPANESE INTERMEDIATE CODE: A711 Effective date: 20050112 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A821 Effective date: 20050112 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20070201 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20070201 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20100209 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20100510 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20100519 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20100609 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20100616 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20100709 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20101102 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20110202 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20110301 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20110328 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 4714347 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
S111 | Request for change of ownership or part of ownership |
Free format text: JAPANESE INTERMEDIATE CODE: R313113 |
|
R360 | Written notification for declining of transfer of rights |
Free format text: JAPANESE INTERMEDIATE CODE: R360 |
|
R370 | Written measure of declining of transfer procedure |
Free format text: JAPANESE INTERMEDIATE CODE: R370 |
|
S111 | Request for change of ownership or part of ownership |
Free format text: JAPANESE INTERMEDIATE CODE: R313113 |
|
R350 | Written notification of registration of transfer |
Free format text: JAPANESE INTERMEDIATE CODE: R350 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
EXPY | Cancellation because of completion of term |