JP4230556B2 - 遠隔マイクロ波プラズマ源モジュール - Google Patents

遠隔マイクロ波プラズマ源モジュール Download PDF

Info

Publication number
JP4230556B2
JP4230556B2 JP05385198A JP5385198A JP4230556B2 JP 4230556 B2 JP4230556 B2 JP 4230556B2 JP 05385198 A JP05385198 A JP 05385198A JP 5385198 A JP5385198 A JP 5385198A JP 4230556 B2 JP4230556 B2 JP 4230556B2
Authority
JP
Japan
Prior art keywords
magnetron
wall
plasma source
waveguide
source module
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP05385198A
Other languages
English (en)
Other versions
JPH10284296A (ja
Inventor
タナカ ツトム
ケルカー ムクール
フェアベアン ケヴィン
ポンネカンティ ハリ
チュング デイヴィッド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH10284296A publication Critical patent/JPH10284296A/ja
Application granted granted Critical
Publication of JP4230556B2 publication Critical patent/JP4230556B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、基板処理に関し、特に、基板処理システムを改良する装置及び方法に関する。本発明の幾つかの実施形態は、基板処理システムにおけるチャンバーを清浄するのに特に有効である。しかし、本発明の他の実施形態は、チャンバー内で処理された基板上に膜をエッチング又は堆積させるのにも有効である。
【0002】
【従来の技術】
最近の半導体装置の製造時の主要なステップの一つは、基板又はウェハ上に酸化物層などの層を形成することである。周知のように、酸化物層は化学気相堆積(CVD)により堆積させることができる。従来の熱CVD工程において、反応性ガスは基板の表面に供給され、ここで熱誘導型の化学反応が起こり、所望の膜が形成される。従来のプラズマ増強CVD(PECVD)プロセスにおいては、反応物ガスは、無線周波(RF)エネルギーのようなエネルギーを基板表面に近接する反応ゾーンに印加することにより解離され、これにより、高度に反応性なスピシーズ(種)のプラズマを生成して所望の膜を形成する。
【0003】
CVD処理において、処理チャンバー内に放出された反応性ガスは、処理される基板の表面に酸化シリコン又は窒化物などの層を形成する。しかしながら、望ましくない酸化物又は窒化物の残査の堆積は、ガス混合ブロックやガス分配マニフォールドの間や、排気チャネル内又はその周りに起こり、さらに、このようなCVD工程の間における処理チャンバーの壁部などのCVD装置の至る所で起こり得る。時間が経過して、CVD装置からの残査を清掃できないと、残査は劣化し、プロセスは信頼性がなくなり、欠陥ウェハがしばしば生じる。代表的には、2種類の清浄手順が用いられる。全てのウェハ又は全てのn個のウェハの処理の間においては、第1の清浄手順は、プラズマと任意に形成されたエッチャントガスを用いて、チャンバー壁部及び他の領域からの残査を除去する。第1の清浄手順よりはるかに少ない頻度では、第2の清浄手順において、処理チャンバーを開放し、チャンバーの壁部、残査が蓄積したイグゾースト及び他の領域を含む全反応器を特殊の布及び洗浄液体で物理的に拭き取る手順を含む。これらの頻繁に行われる洗浄手順がないと、CVD装置で蓄積された残査からの不純物が、ウェハ上に移動するようになる。基板上のデバイスに対して損傷をもたらす不純物の問題は、最近のデバイスの寸法が益々小さくなるということと特に関係する。従って、適切にCVD装置を洗浄することは、基板処理の滑らかな動作、改良されたデバイスの収率、及び製品の良好な性能にとって重要である。
【0004】
【発明が解決しようとする課題】
従来のCVD装置により有効なチャンバーの洗浄が行われるが、効率の問題がある。平行板容量結合型の高周波(RF)プラズマCVD装置などの従来のCVD装置は、その場にプラズマを生成する一体となった高周波源を備えた処理チャンバーを有している。従って、第1の洗浄手順は、このようなCVD装置の処理チャンバーを開けることなく実施できる。しかしながら、処理チャンバー内で生成されたプラズマは、洗浄動作の期間が増加されてより低いエッチング速度を補償しない場合は、残査が堆積した全ての領域を洗浄するには十分ではない。ただし、これは基板の収率及び全体の効率に悪く影響する。さらに、高周波プラズマを用いると、CVD装置の金属部分のイオン衝撃をもたらし、ガス分配マニフォールド及び内側チャンバー壁部に対して物理的な損傷をもたらし、恐らくは金属汚染問題をもたらす。
【0005】
大きく幾分壊れやすい遠隔マイクロ波プラズマシステムに接続された個別の処理チャンバーを持つ従来の他のCVD装置もまた、異なる効率問題をもたらす。このようなCVD装置において、プラズマアプリケータ管、電源付きマグネトロン、アイソレータ、紫外線(UV)ランプ、大きな導波路及び同調アセンブリーを含む全遠隔プラズマ源は、処理チャンバーの蓋上に確実に固定され、チャンバーの基部に対して側部下方に延在する。マイクロ波プラズマの高い絶縁効率は、容量性高周波プラズマにより得られたものより高いエッチング速度(約2μm/分)をもたらすので、これらの遠隔マイクロ波プラズマシステムは、イオン衝撃なしに効率的かつ適切に残査を清浄にできるプラズマを提供する。代表的には、このような遠隔マイクロ波プラズマシステムは、マグネトロンにより約2.5から6キロワット(kW)のマイクロ波パワーを与える高価な、高いワット数の連続波(CW)電源を利用する。しかし、これらのCVD装置を用いて第2の清浄手順(これは、基板の製造に際して厳しいが必要な割り込みである)を行うと、大きく壊れやすい遠隔マイクロ波プラズマシステムを、手による清浄のために開放する必要があるチャンバーの頂部から注意深く除去しなければならないことから、むしろ時間がかかることになる。特に、全体の遠隔プラズマ源アセンブリーは処理チャンバーの頂部近くで、またチャンバーの基部近くで解梱される必要がある。次いで、アセンブリーは、クリーニングを行う間、全アセンブリーの任意の部分を損傷させることなく処理チャンバーから注意深く取り出す必要がある。しばしば困難で扱い難い工程である、大きな遠隔源を除去することは、予防保守洗浄を行うのに必要な全時間をさらに増加させ、また修理が高価な遠隔プラズマ源を損傷させる危険を増加させることになる。さらに、大きな遠隔源を除去することは広範な導波路システムの除去を伴い、また導波システムを置き換えることは、マイクロ波の漏洩をチェックする時間のかかる品質管理プロセスを必要とする。
【0006】
上記の効率問題に加えて、従来の遠隔マイクロ波プラズマシステムと共にCVD装置を用いると、維持費の増加を含む他の問題が生じることになる。特に、これらの従来のマイクロ波プラズマシステムは、アプリケータ管の液体冷却を必要とするアセンブリーを有し、アプリケータ管の比較的小さな物理空間(例えば、1インチ(25.4mm)の直径のアプリケータ管の2インチ(50.8mm)の長さ方向の断面)にプラズマを生成する。従って、高いマイクロ波結合効率を得るためには、高いパワー(出力)密度、高コスト、直流(DC)マイクロ波電源が必要となる。このような高パワー密度電源の動作は、設備費の増加をもたらす。さらに、このような大きな電源を用いたマグネトロンによりこの小さな空間で形成されたプラズマは、高いプラズマ密度を持ち、水冷又は他の液体冷却系による冷却を必要とする。代表的には、液体冷却系は、より高価であり例えば冷却剤流体を供給するなど高い維持費がかかる。さらに、液体冷却システムは、漏洩の問題を有している。このような漏洩は、装置の腐食につながり、これは処理された基板の品質の劣化をもたらす。さらに、腐食損傷に起因して、プラズマ源において部品の頻繁な洗浄又は部品の置き換えも必要である。極端な腐食の場合、遠隔プラズマ源に近接する全遠隔プラズマ源装置又は恐らく他の装置は、代替される必要がある。このような洗浄及び/又は代替手順は、さらに基板の製造を中断する。これらの種類の遅延は、製造者に負の経済的効果を与える。さらに、液体冷却システムのための処理チャンバーの保守は、製造が中断される全時間を増加させるプラズマ源の除去が必要であり、時間の浪費となる。
【0007】
以上から明らかなように、CVD装置の経済的かつ効率的な洗浄を可能とし、予防保守洗浄に必要な時間量を低減させるため容易に操作でき、除去自在のモジュール式で都合のよい大きさの遠隔マイクロ波プラズマ源アセンブリーを有することが望ましい。さらに、CVD装置の性能を改良してコストを最小にしながら改良された洗浄能力を与えるために、既存のCVD装置に対して着脱自在であり、その改良型である比較的安価で高品質の遠隔マイクロ波プラズマ源を提供することが望ましい。
【0008】
【課題を解決するための手段】
本発明は、特定の実施形態に従って、効率的にチャンバーを洗浄できるマイクロ波生成プラズマを与える改良されたCVDシステムに対する装置及び方法を提供する。本発明の改良されたCVDシステムを用いて、基板上に層(layer)をエッチング又は堆積させることも実現される。特定の実施形態においては、本発明は、既存のCVD装置に対し追加又は着脱可能であり、容易に除去でき、良好に操作され比較的安価なマイクロ波プラズマ源を提供する。好適な実施形態においては、アプリケータ管を液体で冷却する必要なく、遠隔マイクロ波プラズマ源は、プラズマを効率的に供給する。他の実施形態において、本発明は、改良されたCVD装置又は既存のプラズマを発生できるCVD装置に追加可能なCVD装置を提供するものであり、必要に応じて効率的にチャンバーを洗浄できる。
【0009】
【発明の実施の形態】
本発明の実施形態を図面に基づいて、さらに詳細に説明する。
【0010】
I.代表的なCVDシステム
本発明の特定の実施形態では、多くの化学蒸気堆積(CVD)処理装置と共に用いられ、又はそれらの機械に追加される。本発明が使用され、又は追加され得る一つの好適なCVD装置が図1及び2に示される。これらの図は、チャンバー15の壁15a及びチャンバー15の蓋アセンブリー15bを含む真空又は処理チャンバー15を有するCVDシステム10を示す側断面図及び要部拡大断面図である。チャンバー15の壁15a及び蓋アセンブリー15bは、図3の分解斜視図及び図4の拡大分解斜視図に示す。
【0011】
反応器10は、処理チャンバーの中心に配置された加熱台座12上に静置された基板(図示しない)に、プロセスガスを分散させるガス分配マニフォールド11を有する。処理の間、基板(例えば、半導体ウェハ)は台座12の平坦(又はわずかに突出した)表面12a上に配置される。台座12は、下部のローデイング/オフローデイング位置(図1に示した)及び、マニフォールド11に密接に隣接する上部処理位置(図1の破線14により示され、また図2に示された)の間を制御自在に移動可能である。センターボード(図示しない)はウェハの位置に関する情報を提供するセンサーを有している。
【0012】
堆積ガス及びキャリアガスは、従来の平坦、円形ガス分配面板13aの貫通孔13b(図14)を通してチャンバー15に導入される。特に、堆積プロセスガスは、入口マニフォールド11を通し、従来の孔あきブロッカー板42を通し、次いでガス分配面板13aの孔13bを通してチャンバー15に流入する(図2の矢印40により示される)。
【0013】
マニフォールドに達する前に、堆積ガス及びキャリアガスはガス源7から導入され、ガス供給ライン8(図2)を通して、ガス混合ブロック又はシステム9に導入されて混合され、次いで、マニフォールド11に送られる。一般に、各々のプロセスガスに対する供給ラインには、(i)プロセスガスのチャンバー15内への流入を手動又は自動で遮断するために用いられる安全遮断弁、及び(ii)供給ラインを通してガス流を測定するマスフローコントローラー(図示しない)が含まれる。プロセスに毒性ガスを用いたときは、従来の構成においては各々のガス供給ラインに幾つかの安全遮断弁が配置される。
【0014】
反応器10で行われる堆積プロセスは、熱プロセス又はプラズマ増強プロセスである。プラズマ増強プロセスにおいては、高周波電源44は電力をガス分配面板13aと台座12の間に供給し、プロセスガス混合物を励起して、ガス分配面板13aと台座11の間の円筒領域内にプラズマを形成する。(この領域をここでは「反応領域」と呼ぶ。)プラズマの構成成分は、反応して台座12上に支持された半導体ウェハの表面に所望の膜を堆積する。高周波電源44は混合周波数高周波電源であり、代表的には、13.56MHzの高周波数(RF1)及び360kHzの低い高周波数(RF2)における電源を供給して真空チャンバー15に導入された反応種の分解を増強する。勿論、高周波電源44はマニフォールド11に単一又は混合周波数高周波電力(又は他の所望の電源)を供給して、チャンバー15に導入された反応種の分解を増強することもできる。熱プロセスにおいては、高周波電源44は利用されず、またプロセスガス混合物は熱的に反応して、台座12上に支持された半導体ウェハの表面に所望の膜を堆積する。この台座12は、抵抗的に加熱され、反応に必要な熱エネルギーを与える。
【0015】
プラズマ増強堆積プロセスの間、プラズマは、排気路23及び遮断弁24を囲むチャンバー本体15aの壁部を含む全反応器10を加熱する。熱堆積プロセスの間、加熱された台座12は、反応器10を加熱させる。プラズマが止められていないとき、又は熱堆積プロセスの間は、高温液体が反応器10の壁15aを通して循環され、チャンバー15を高温に維持する。チャンバー15の壁15aを加熱するために使用される流体には、通常の流体、すなわち水ベースのエチレングリコール又はオイルベースの熱移送流体がある。この加熱により、望ましくない反応生成物の凝縮は都合よく減少又は排除され、ガス流のない期間に冷却真空路の壁上に凝縮されるか又は処理チャンバー内に逆に移動されるプロセスガス及び汚染物の揮発性生成物の除去が改善される。
【0016】
反応生成物を含む層内に堆積されないガス混合物の残部は、真空ポンプ(図示しない)によりチャンバー15から排気される。特に、ガスは反応領域を囲む環状スロット形状のオリフィス16を通して、環状排気プレナム17中に排気される。環状スロット16及びプレナム17は、チャンバー15の円筒状側壁15a(壁の上部誘電体ライニング19を含む)の頂部と円形チャンバー15の蓋20の底部との間の間隙により画定される。スロットオリフィス16及びプレナム17は、360度の円形対称性及び一様性であり、これは、ウェハ上に一様な膜を堆積するように、ウェハにプロセスガスの一様な流れを得るのに重要である。
【0017】
排気プレナム17の横方向拡設部21の下方のガス流は、下方に延在するガス流路23を通して観測ポート(図示しない)を通り、真空遮断弁24(その本体は下部のチャンバー壁15aと一体化されている)を通り、さらに、フォアライン(図示しない)を通して外部真空ポンプ(図示しない)に連なる排気出口25に至る。
【0018】
抵抗的に加熱される台座12のウェハ支持プラッタは、平行同心円をなして二つの完全なターンを行うように構成された単一ループ埋設加熱器要素を用いて加熱される。加熱要素の外部部分は、支持プラッタの周囲に隣接して延在し、一方、内部部分はより小さな半径の同心円の経路上に延在する。加熱要素に対する配線は、台座12のステムを通過する。台座12は、アルミニウム、セラミック又はその組み合わせを含む材料から作成してもよい。
【0019】
代表的には、チャンバー15のライニングや、ガス入口マニフォルド面板、及び他の各種の反応器のハードウエアのいずれか又は全ては、アルミニウムや、陽極処理されたアルミニウム又はセラミックなどの材料から形成される。このようなCVD装置の一例は、ここにその全体が引用により組入れられるZhaoらに発行され、「CVD処理チャンバー」と題する米国特許5,558,717号に開示されている。
【0020】
リフト機構及びモータ32(図1)は、ウェハがロボットブレード(図示しない)によりチャンバー10の側部の挿入/除去開口26を通してチャンバーの本体に、及びそれから移送されるとき、加熱台座アセンブリー12及びそのウェハリフトピン12bを上昇、下降させる。モータ32は、処理位置14と下部ウェハ装填位置の間で台座12を上昇、下降させる。供給ライン8、ガス配送システム、絞り弁、高周波電源44、チャンバー及び基板加熱システムに接続されたモータ、弁又は流量制御装置は、一部が図示してある制御ライン36を越えてシステム制御装置34(図2)により全て制御される。制御装置34は、光センサからのフィードバックに従って、この制御装置34により制御される適当なモータにより移動される絞り弁や台座などの可動機械アセンブリーの位置を決定する。
【0021】
好適な実施形態において、システム制御装置は、ハードデイスクドライブ(メモリ38),フロッピデイスクドライブ及びプロセッサ37を有する。プロセッサは、単一ボードコンピュータ(SBC)、アナログ及びデイジタル入力/出力ボード、インタフェースボード及びステッパモータコントローラボードを有する。CVDシステム10の各種の部分は、ボード、カードケージ、及びコネクタの寸法と種類を規定するVersa Modular European(VME)Standard(バーサヨーロピアンスタンダード)に従う。このVME標準はまた、バス構造を16ビットデータバスと24ビットアドレスバスを有するものとして規定する。
【0022】
システム制御装置34は、CVD装置の動作の全てを制御する。このシステム制御装置34は、メモリ38などのコンピュータで読み取り自在な媒体に記憶されたコンピュータプログラムであるシステム制御ソフトウエアを実行する。好適には、メモリ38はハ−ドデイスクドライブであるが、このメモリ38は他の種類のメモリであってもよい。コンピュータプログラムには、特定の工程のタイミング、ガスの混合物、チャンバーの圧力、チャンバーの温度、高周波パワ−のレベル、台座位置、及び他のパラメータを指令する命令の組が含まれる。他のメモリー装置に格納された他のコンピュータプログラムも、例えばフロッピーデイスク又はその他の適当なドライブも用いられ、制御装置34を操作させてもよい。
【0023】
ユーザ及び制御装置34の間のインタフェースは、図5に示すように、CRTモニタ50a及びライトペン50bを介して行われ、この図は、一つ以上のチャンバーを含んでもよい基板処理システムにおけるシステムモニタ及びCVDシステム10の概略図である。好適な実施形態においては、2つのモニタ50aが用いられ、一方はオペレータに対するクリーンルームの壁部に装着され、他方はサービス技術者に対する壁の背後に装着される。モニタ50aは、同じ情報を同時に表示するが、1つのライトペン50bのみが使用可能である。ライトペン50bの先端の光センサは、CRTデイスプレイにより放射された光を検出する。特定のスクリーン又は機能を選択するために、オペレータはデイスプレイスクリーンの指示された領域に触れ、ペン50b上のボタンを押す。触れられた領域はそのハイライト色を変え、又は新しいメニュー又はスクリーンが表示され、ライトペンとデイスプレイスクリーンの間の通信を確認する。キーボードやマウス、又は他の指示又は通信装置などの他の装置は、ライトペン50bの代わりに又はそれに加えて用いてもよく、ユーザが制御装置34と通信することを許容する。
【0024】
膜を堆積する工程は、制御装置34により実施されるコンピュータプログラムプロダクトを用いて実施することができる。コンピュータプログラムコードは、従来のコンピュータ読み取り自在なプログラミング言語、例えば68000アセンブリー言語、C、C++、パスカル、フォートランその他の言語に書き込むことができる。適切なプログラムコードは、従来のテキストエデイタを用いて単一ファイル又は多重ファイルに入力され、さらにコンピュータのメモリーシステムなどのコンピュータ使用可能媒体に格納又は収録される。入力されたコードテキストがハイレベル言語で表されるときは、コードはコンパイルされ、さらに得られたコンパイラコードが次にプレコンパイルされたWindows(ウィンドウズ、登録商標名)ライブラリルーチンのオブジェクトコードとリンクされる。リンクされ、コンパイルされたオブジェクトコードを実行するために、システムユーザはオブジェクトコードを呼び出す。次に、CPUはコードを読み取り、実行し、プログラムで同定されたタスクを実施する。
【0025】
図6は、特定の実施形態によるシステム制御ソフトウエア、コンピュータプログラム70の階層制御構造を示す概略ブロック図である。ライトペンインタフェ−スを用いて、ユーザは、CRTモニタに表示されたメニュー又はスクリーンに応じて、プロセスセレクタサブル−チン73にプロセスセット数及びプロセスチャンバー数を入力する。プロセスセットは、特定のプロセスを実行するのに必要なプロセスパラメータの所定のセットであり、所定のセット数により同定される。プロセスセレクタサブルーチン73は、(i)所望の処理チャンバー及び(ii)プロセスチャンバーを動作させて所望のプロセスを行うのに必要な所望のプロセスのセットを同定する。特定のプロセスを行うプロセスパラメータは、例えばプロセスガス組成及び流量、温度、圧力、高周波パワーレベル及び低周波高周波数などのプラズマ条件、冷却ガス圧力、及びチャンバー壁温度などの処理条件に関係する。これらのパラメータは、処方書の形でユーザに与えられ、またライトペン/CRTモニタインタフェースを利用して入力される。
【0026】
プロセスをモニタする信号は、システム制御装置のアナログ・デイジタル入力ボードにより与えられ、プロセスを制御する信号はCVDシステム10のアナログ・デイジタル出力ボードに出力される。
【0027】
プロセスシーケンササブルーチン75は、プロセスセレクタサブルーチン73から同定された処理チャンバー及びプロセスパラメータのセットを受け、かつ各種処理チャンバーの動作を制御するプログラムコードからなる。多くのユーザは、プロセスセット数及び処理チャンバー数を入力することができ、又はユーザは多重プロセスセット数及び処理チャンバー数を入力する。これにより、シ−ケンササブルーチン75は、所望のシ−ケンスの選択されたプロセスをスケジュールするように動作する。好適には、シーケンササブル−チン75には、(i)処理チャンバーの動作をモニタして、チャンバーが用いられるているかを決定するステップと、(ii)どんなプロセスが用いられているチャンバーで実行すかを決定するステップと、(iii)処理チャンバーの有効性及び実行されるべきプロセスの種類に基づいて、所望のプロセスを実行するステップとを行うプログラムコードが含まれる。処理チャンバーをモニタするポーリングなどの従来の方法を、用いることができる。どのプロセスが実行されるべきかを予定するとき、シーケンササブルーチン75は、選択されたプロセス、又は各々の特定のユーザが入力したリクエストの「年数」(age)、又は予定の優先順位を決定するために、プログラマが望む他の関連する因子に対する所望の処理条件と比較して用いられている処理チャンバーの現在の条件を考慮する。
【0028】
シーケンササブルーチン75が、どの処理チャンバーとプロセスセットの組み合わせが次に実行されようとしているかを一旦決定すると、シーケンササブルーチン75は、このシーケンササブルーチン75により決定されたプロセスセットに従って処理チャンバー15における多重処理タスクを制御するチャンバー管理サブルーチン77a−cに特定のプロセスセットパラメータを通過させることにより、プロセスセットの実行を開始する。例えば、チャンバー管理サブルーチン77aは、プロセスチャンバー15におけるスパッタリングとCVDプロセス動作を制御するプログラムコードからなる。さらに、チャンバー管理サブルーチン77aは、選択されたプロセスセットを実行するのに必要なチャンバーの構成要素の動作を制御する各種チャンバー構成要素サブルーチンの実行を制御する。チャンバー構成要素サンブルーチンの例は、基板位置決めサブルーチン80、プロセスガス制御サブルーチン83、圧力制御サブルーチン85、ヒータ制御サブルーチン87、及びプラズマ制御サブルーチン90である。当業者は、他のチャンバー制御サブルーチンが、処理チャンバー15でなされるべきプロセスに依存して含まれることができることを容易に認識するであろう。動作に際しては、チャンバー管理サブルーチン77aは選択的に、実行されている特定のプロセスに従って、プロセス構成要素サブルーチンをスケジュールし、コールする。チャンバー管理サブルーチン77aは、シーケンササブルーチン75がどの処理チャンバー15及びプロセスセットが次に実行されようとしているかを指定するのと同様に、プロセス構成要素サブルーチンを指定する。代表的には、チャンバー管理サブルーチン77aは、各種のチャンバー構成要素をモニタするステップと、どの構成要素が実行されるべきプロセスセットに対するプロセスパラメータに基づいて動作される必要が有るかを決定するステップと、さらにモニタ及び決定ステップに応じてチャンバー構成要素サブルーチンの実行をもたらすステップとを含む。
【0029】
特定のチャンバー構成要素サブルーチンを、ここで図6を参照して説明する。基板位置決めサブルーチン80は、基板を台座12上に装填するために用いられ、また選択的には、基板をチャンバー15内の所望の高さにリフトして基板とガス分配マニフォールドの間の間隔を制御するために用いられるチャンバー構成要素を制御するプログラムコードからなる。基板が処理チャンバー15にロ−ドされると、台座12は基板を受けるため降下され、その後台座12はチャンバー内の所望の高さに上昇されて、CVDプロセスの間、基板をガス分配マニフォールドから第1の距離又は間隔を置いて維持する。動作時には、基板位置決めサブルーチン80は、チャンバー管理サブルーチン77aから移送される支持高さに関係するプロセスセットパラメータに応じて台座12の運動を制御する。
【0030】
プロセスガス制御サブルーチン83は、プロセスガス組成と流量を制御するプログラムコードを有する。プロセスガス制御サブルーチン83は安全遮断弁の開閉位置を制御し、質量流制御装置を開閉させて所望のガス流量を得る。プロセスガス制御サブルーチン83は、全てのチャンバー構成要素サブルーチンと同様に、チャンバー管理サブルーチン77aにより呼び出され、そして所望のガス流量に関係するプロセスパラメータからチャンバー管理サブルーチンを受ける。代表的には、プロセスガス制御サブルーチン83はガス供給ラインを開放し、反復して、(i)必要な質量流制御装置を読み取り、(ii)読み取り値をチャンバー管理サブルーチン77aから受けた所望の流量と比較し、(iii)必要に応じてガス供給ラインの流量を調節することにより動作する。さらに、プロセスガス制御サブルーチン83は、危険となるガス流量をモニタすると共に、危険条件が検出されたときは、安全遮断弁を作動させる工程を含む。
【0031】
幾つかのプロセスにおいては、ヘリウム又はアルゴンなどの不活性ガスがチャンバー15内に流入され、反応プロセスガスが導入される前にチャンバー内の圧力を安定にする。これらのプロセスに対して、プロセスガス制御サブルーチン83は、チャンバー内の圧力を安定化するのに必要な時間で不活性ガスをチャンバー15に流入させるステップを含み、次いで、上記のステップが実行される。さらに、プロセスガスが液体前駆体例えばテトラエチルオルソシラン(「TEOS」)から蒸発されるとき、プロセスガス制御サブルーチン83は、バブラーアセンブリーの液体前駆体を通してヘリウムなどの配送ガスをバブルし、又はヘリウム又は窒素などのキャリアガスを液体注入システムに導入するステップを含むように書かれる。この種のプロセスに対してバブラーを用いたとき、プロセスガス制御サブルーチン83は所望のガス流量を得るために配送ガスの流れ、バブラーの圧力、及びバブラー温度を調節する。上記のように、所望のプロセスガス流量は、プロセスパラメータとしてプロセスガス制御サブルーチン83に転送される。さらに、プロセスガス制御サブルーチン83は、所定のプロセスガス流量に対して必要な値を格納する記憶テーブルをアクセスすることにより、所望のプロセスガス流量に対する必要な配送ガス流量を得るステップを含む。必要な値が一旦得られると、配送ガス流量、バブラー圧力、バブラー温度がモニタされ、必要な値と比較され、調節される。
【0032】
圧力制御サブルーチン85は、チャンバーの排気システムにおける絞り弁の開口の大きさを調節することにより、チャンバー15の圧力を制御するプログラムコードからなる。絞り弁の開口の大きさは、チャンバーの圧力を、全プロセスガス流れ、処理チャンバーの大きさ、及び排気システムに対するポンピング接点圧力に関係する所望のレベルに制御するようにセットされる。圧力制御サブルーチン85が呼び出されると、ターゲット圧力レベルがチャンバー管理サブルーチン77aからのパラメータとして受け取られる。圧力制御サブルーチン85は、チャンバーに接続された一つ以上の従来の圧力マノメータを読み取ることにより、チャンバー15の圧力を測定し、測定値をターゲット圧力と比較し、ターゲット圧力に対応する記憶された圧力テーブルからPID(比例、積分、及び微分)値を得、圧力テーブルから得られたPID値に従って絞り弁を調節するように動作する。一方、圧力制御サブルーチン85は、絞り弁を特定の開口の大きさに開放又は閉鎖して、チャンバー15を所望の圧力に調整するように書くことができる。
【0033】
ヒータ制御サブルーチン87は、基板20を加熱するために用いられる加熱ユニットの電流を制御するプログラムコードからなる。ヒータ制御サブルーチン87は、チャンバー管理サブルーチン77aにより呼び出され、ターゲット、セットポイント、又は温度パラメータを受け取る。ヒータ制御サブルーチン87は、台座12内に配置された熱電対の出力電圧を測定し、測定温度をセットポイント温度と比較し、さらに加熱ユニットに印加された電流を増加又は減少させてセットポイント温度を得ることにより温度を測定する。温度は記憶された変換テーブルに対応する温度を参照することにより、又は四次の多項式を用いて温度を計算することにより、測定電圧から得られる。埋設されたループが台座12を加熱するために用いられるときは、ヒータ制御サブルーチン87は、ループに印加された電流の増減を徐々に制御する。さらに、内臓型フェイルセーフモードは、プロセスの安全コンプライアンスを検出するために含めることができ、また処理チャンバー15が適切にセットアップされてないとき、加熱ユニットの動作を遮断することができる。
【0034】
プラズマ制御サブルーチン90は、チャンバー15のプロセス電極に加えられる低周波数及び高周波数の高周波パワーレベルをセットするプログラムコード、及び使用される低周波高周波数をセットするプログラムコードからなる。また、プラズマ制御サブルーチン90は、マグネトロンをオンにし、それに加えられたパワーレベルをセット/調節するプログラムコードを含む。既に示したチャンバー構成要素サブルーチンと同様に、プラズマ制御サブルーチン90は、チャンバー管理サブルーチン77aにより呼び出される。
【0035】
上記反応器の説明は主として説明のためのものであり、さらに電子サイクロトロン共鳴(ECR)プラズマCVD装置、誘導結合高周波数高密度プラズマCVD装置などの他の装置が本発明と共に用いられ、改良された装置を提供することができる。さらに、台座設計、ヒータ設計、高周波パワー周波数、高周波パワー接続の位置における変形例などの上記システムの変形例が可能である。例えば、ウェハは石英ランプにより支持され、加熱され得る。本発明は、任意の特定の装置と共に使用されることに、またその改善に制限されるものではない。
【0036】
II.代表的な構造
図7は、本発明の使用によって製造される集積回路200の概略断面図である。図に示すように、集積回路200はNMOS及びPMOSトランジスタ203及び206を有し、これらはシリコンの局所酸化(LOCOS)により形成されたフィールド酸化物領域220により、又は他の技術により互いに隔離され、電気的に絶縁されている。一方、トランジスタ203と206は、これらのトランジスタ203と206が共にNMOS又は共にPMOSのとき、溝トレンチ分離(図示しない)により互いに隔離又は電気的に絶縁される。各々のトランジスタ203と206は、ソース領域212、ドレイン領域215、及びゲート領域218からなる。
【0037】
プレメタル誘電体(PMD)層221は、金属層240とコンタクト224により形成されたトランジスタの間の接続により、金属層240からトランジスタ203と206を分離する。金属層240は、集積回路200に含まれる4個の金属層240、242、244、及び246の1つである。各々の金属層240、242、244、及び246は、それぞれの金属間誘電体(IMD)層227、228、又は229により、隣接した金属層から分離される。隣接した金属層は、ビア(vias)226により選択された開口で接続される。金属層246には、メッキ化不導体層230が堆積される。
【0038】
簡略化された集積回路200は、説明を目的とするためだけのものであることを理解されたい。当業者は、マイクロプロセッサや、アプリケーシヨンの特定の集積回路(ASICs)、メモリ装置などの他の集積回路の製造に関係して、本発明を実施できる。さらに、本発明はPMOS、NMOS、CMOS、バイポーラ、又はBiCMOSデバイスに適用してもよい。
【0039】
III.特定の実施形態:マイクロ波マグネトロンアセンブリを用いたプラズマ源
本発明の特定の実施形態によれば、既存のCVD装置に遠隔マイクロ波プラズマ源を装着し、又は既存CVD装置を改装してマイクロ波プラズマ源を取り込むことにより改良されたCVD装置が提供される。以下の説明は主としてこれらの特定の実施形態についてなされるが、本発明の範囲内で他の実施形態についても明らかである。また、図8−23に示す構造は、必ずしも実際の縮尺を示すものではないことに注目すべきである。
【0040】
本発明の特定の実施形態によれば、図8は、チャンバーの上部蓋に(例えば、図9に示したような)装着自在な遠隔マイクロ波プラズマ源モジュール300の平面図である。この特定の実施形態において、遠隔マイクロ波プラズマ源モジュール300の全体のアセンブリーは、導波システム310に結合されたマグネトロン305、窓345を介して導波システム310に結合された共振器キャビティ315、共振器キャビティ315、及び共振器キャビティ315の内部に配置されたプラズマ放電又はアプリケータ管320(破線)を有する。プラズマ放電管320は、ガス入口375に結合された入力端部365、及び出力端部385を有する。ガス供給ライン375はガス源に結合され、反応性ガスを放電管320に導入される。放電管320では、マグネトロン305から窓345を介してキャビティ315に導入されたマイクロ波によりエネルギーが与えられ、放電管320内にプラズマを形成する。このとき、形成されたプラズマからのラジカルは、出力端部385から放電管320を出てチャンバー内での使用に供する。
【0041】
より詳細には、導波システム310は、特定の実施形態によれば、導波セクション330の長さ(lw)に沿う多重点に、導波セクション330と多重チューニングスタブ335を有する。マグネトロン305のスタブアンテナ340は、マイクロ波を導波セクション330に結合する。特定の実施形態において、導波セクション330は、長方形断面の導波路のセクションでもよいが、他の断面寸法の導波路を他の実施形態に使用してもよい。導波セクション330の一部は、一端部がマグネトロン305に隣接し、他端部が共振器キャビティ315に隣接する。好適には、導波セクション330は、共振器キャビティ315の他端に隣接し、一体に形成される。導波セクション330は、その他方の端部で窓345を除いて共振器キャビティ315で閉塞されている。導波システム310はさらに、反射パワーをモニタする方向性カップラ又は位相検出器、及び/又はマグネトロンを損傷させる反射マイクロ波パワーを吸収する負荷を有するアイソレータなどを含んでもよく、特性を最適化させることができる。上記のように、マグネトロン305からのマイクロ波は、導波セクション330を通して送信され、窓345を介してキャビティ315に入射し、さらに放電管320内の反応性ガスを活性化する。
【0042】
モジュール300では、放電管320の長さが共振器キャビティ315の幅(WR)にほぼ対応するように、放電管320が共振器キャビティ315を通して配置され、かつ共振器キャビティ315に収容される。放電管320は、実質的にキャビティ315を貫通して配置され、また長さ方向に放電管320がキャビティ315内の放射、例えばマイクロ波の電場(Eーフィールド)成分の最大値と一致するように配置される。放電管320は、特定の実施形態によれば、円形断面を有する管である。放電管320の端部は、第1の装着アセンブリ350及び第2装着アセンブリ355により、共振器キャビティ315上に接続される。第1の装着アセンブリ350 は、ハウジング360を含み、このハウジング360は、放電管320の入力端部365を含むと共に保持する。放電管320の端部365は、共振器キャビティ315の壁及びアセンブリ350の対応する孔を通してねじ(又は、他のファスナ又はエポキシ樹脂を用いてもよい)で固定され、端部365の外周にはシール部材370、好適にはOーリングを有し、放電管320からの真空損失を防止する。端部365は、ハウジング360に結合されたガス供給ライン375を除いて気密な開放真空封止端部である。第2装着アセンブリ355は、放電管320の出力端部385を収容すると共に保持する出口マニフォールド380に接続され、ねじ(又は、他の固定部材又はエポキシ樹脂を用いてもよい)により共振器キャビティ315の対向する壁及びアセンブリ355の対応する孔を通して共振器キャビティ315上に固定される。放電管320の出力端部385は、端部が開放されており、処理チャンバーのガス導入ラインに接続可能な出口マニフォールド380に通じている。任意ではあるが、端部385もまた、放電管320とガス導入ラインとの間の漏洩を防止する封止部材をその外周に有してもよい。最適には、第2装着アセンブリは、第1装着アセンブリ350と比べて長さ方向に延在され、放電管320の出口と端部385で用いられる封止部材との間に、十分な距離を提供する。従って、封止部材は、放電管320の出口における外向きのプラズ種からの高温度に起因して、溶解することはない。 モジュール300はさらに、図9に示すように、処理チャンバーに装着されるコンパクトで容易に操作されるモジュールに、空冷パス325を有する。空冷パス325は、ファン(図示しない)からの空気を放電管320の周りに流す。これにより、マイクロ波プラズマ発生により放電管320で発生した熱は、液体冷却よりもむしろ空気冷却により散逸される。
【0043】
遠隔マイクロ波プラズマ源モジュール300は、共振器キャビティ315内の放電管320中にプラズマを形成するために、共振器キャビティ315に導波システム310を通して送られるエネルギー源として、マグネトロン305を使用する。多数の異なるマイクロ波電源が可能であるが、本発明では、通常約2.5ー6kWの間でマグネトロンからマイクロ波パワーを発生する高価な高いワット数の連続波(CW)電源よりも、むしろ約1ー1.5kWの間でマイクロ波パワーをマグメトロンから発生する安価なパルス低ワット数の電源を利用する。好適な実施形態においては、マグネトロン305(例えば、幾つかのマイクロ波炉で使用されるマグネトロンのタイプ)は、低コスト、低ワット数、パルス60Hz半波整流電源(これは大きなリップルを含む)により作動され、約2.45ギガヘルツ(GHz)の周波数のマイクロ波を与える。このようなパルス、低ワット数のマイクロ波発生器は、高パワーCWマイクロ波発生器又は高周波発生器より値段を少なくとも二桁程度低くすることができる。
【0044】
マイクロ波エネルギーは、マグネトロン305から導波システム310を介して、放電管320を含む共振器キャビティ315に伝搬される。特に、マグネトロン305のスタブアンテナ340からのマイクロ波放射は、導波システム310に伝達される。スタブアンテナ340は、特定の実施形態に従って、ほぼ約四分の一波長(動作マイクロ波周波数における)のオーダで位置し、又は導波セクション330の端部から等価な距離で離れて最適化された距離に位置する。一方、サブアンテナ340は、当業者の一人には周知のように、マグネトロン305からのマイクロ波を導波システム310に伝達することができるスロットアンテナ、又は他の放射要素と置き換えてもよい。導波セクション330は、特定のモードを選択的に導くよりもむしろ、マグネトロンのマイクロ波エネルギーを共振器キャビティ315に送出することが単に必要とされる寸法を有する。さらに、導波セクション330は、使用されるマグネトロン源及び共振器キャビティ315と近接し、かつモジュール調整されて収容されるのに十分な長さを有する。特定の実施形態においては、導波セクション330は約10インチ(254mm)の長さ(lWG),約1.7インチ(43.2mm)の幅(WWG)、及び約3.4インチ(86.4mm)の高さ(hWG)を有する。
【0045】
特定の実施形態によると、導波セクションの一部は共振器キャビティ315と一体となり、共振器キャビティ315の内部に対して遮るものがない開放窓345を有する。窓345を通して送信されたマイクロ波のTE10モードのEーフィ−ルド(電界)成分は、窓345を有する共振器キャビティ315の壁部に平行な面に沿って変動する。特定の実施形態においては、窓345は導波セクション330と共振器キャビティ315を結合する。この実施形態においては、窓345は、共振器キャビティ315の壁の中心にほぼ配置された約2インチ(50.8mm)の長さ(lW)及び約1インチ(25.4mm)の幅(WW)の矩形開口である。特定の実施形態においては、lWはhWGに実質的に対応し、又はそれよりもわずかに小さい。他の実施形態においては、窓345は誘電体窓(又は、他のマイクロ波透過材料)を装着され、又は周知のように、スタブアンテナ又は他の放射要素により代替してもよい。導波セクション330の長さ方向に沿うチューニングスタブ335は、窓345から導波セクション330を出たマイクロ波のモード/位相を同調させるために用いられてもよい。ここではチューニングスタブ335は二つだけが示されたが、他の実施形態は所望に従ってより多くのスタブを有してもよい。
【0046】
ガス供給ライン370を介して放電管320に供給される反応性ガスは、キャビティ315で共振するマイクロ波により活性化される。マイクロ波エネルギーの定常波が共振器キャビティ315内で形成され、キャビティ315の幅(WR)にほぼ対応する放電管320の全体の長さに実質的に沿い、放電管320内の反応性ガスを活性化する。共振器キャビティ315と導波システム310は、銅、アルミニウム、ステンレススチール、又は他の導電材料などの金属から構成してもよい。特定の実施形態によると、共振器キャビティ315は、約7インチ(178mm)の長さ(lR)、約6インチ(152mm)の幅(WR),及び約5.1インチ(130mm)の高さ(hR)を持つ単一モードキャビティであり、優勢なモードは約2.45GHzの周波数を持つマイクロ波のTE102モードである(「伝搬方向」はlRの軸線に沿い、放電管320の長さ方向に垂直であると仮定する)。キャビティ315は、例えばキャビティの幅(WR)及び放電管320の長さを増加させるとにより、多重モードキャビティであってもよい。
【0047】
幾つかの好適な実施形態によれば、共振器キャビティ315の壁の少なくとも一つは、壁の位置を調節することにより共振の同調を許容するように移動自在としてもよい。上述したように、スタブ335を用いた同調は、反応性ガスの導入及び放電管320中の反応性ガスの引き続くイオン化によりもたらされるインピーダンス変化に応じて行われる。上記の実施形態では、単一モード共振を利用している。しかし、他の実施形態では、多重モード共振の利点を採用し、あるいは約2.45GHzの他に他の周波数の使用を考慮して、共振器キャビティ315に対して異なる寸法を用いてもよい。多重モード共振を備える共振器キャビティを用いると、放電管320中の反応性ガスのイオン化が増加し、またマイクロ波が図8の特定の実施形態の共振器キャビティ315と共に使用したものより長い放電管に結合されることを可能にする。より長い放電管を用いると、より多くの反応性ガスが放電管の長さ方向に沿って、印加されたマイクロ波により解離される。
【0048】
放電管320は、好適には誘電材料で作製されてもよく、誘電材料はマイクロ波に対してかなり透過性であり、かつセラミック又はサファイヤ形態のアルミナなどのプラズマ中のラジカルによるエッチングに対して、耐性がある。放電管320の長さは、WRにほぼ対応するか、或いは放電管320の端部にOリングを受けるためわずかに長くするべきである。放電管320は、その直径が放電管320の長さ方向に沿い放射パターン最大、例えばE−フィールド(電場)最大と重なるように、共振器キャビティ315内に配置されるべきである。さらに、放電管320の直径は、放電管320の直径が少なくとも一つの放射(例えば、E−フィールド)最大とその長さ方向に沿って重なるように、動作マイクロ波周波数において約四分の一波長より長くするべきである。好適には、放電管320の直径と配置は、プラズマが放電管320内で衝突され、放電管320の中心におけるガスのイオン化が生じ得るように、最適化される。単一モードキャビティ315を利用した特定の実施形態によれば、放電管320はアルミナで構成され、好適には約1.87インチ(47.5mm)の外径、約1.65インチ(41.9mm)の内径、さらに約6−8インチ(152−203mm)の長さ、最も好適には、7.8インチ(198mm)の長さを有する。勿論、多重モードキャビティを利用した実施形態の場合は、適切に配置された放電管320の直径は、各々のモードの少なくとも一つの放射(例えば、E−フィ−ルド)最大と、放電管の長さ方向に沿って重なるのに十分大きいのが好適である。
【0049】
本発明によると、プラズマはUVランプを用いずに管320内で衝突され、これにより、モジュール空間のより経済的な使用を許容する。処理チャンバーの真空は、マイクロ波が生成したプラズマ中のラジカルを出口マニフォールド380を介して放電管320放出し、さらに接続された真空チャンバーに逐次供給する。放電管320内での反応性ガスの導入及びイオン化による共振器キャビティ315内でのインピーダンスの変化に起因して、チューニングスタブ335を用いることにより、マイクロ波のエネルギー結合を最適化する。他の好適な実施形態において、多重方向カップラ又は位相検出器などのE−フィールド検出器又はプローブは、導波路330内でのマイクロ波エネルギーを計測し、E−フィールド検出器又はプローブからの測定を受信するように接続されるシステム制御装置34の制御の下で、ロボット化されたモータを介して、スタブ335の自動同調を可能にする。
【0050】
図8及び9に示すように、モジュール300の全体にわたる物理的寸法(約12インチ(305mm)幅、約12インチ(305mm)長、及び約8インチ(203mm)高さ)はコンパクトであり、特定の実施形態に従って、容易に操作されるユニットにパッケージされ得る。有利には、遠隔モジュール300は、従来の大きい遠隔マイクロ波プラズマ源とは異なり、UVランプは必要とせず、コンパクトな導波システムを有する。全アセンブリの物理的構成のため、遠隔マイクロ波プラズマ源モジュール300は、高いマイクロ波結合効率を犠牲にせずに、高価な高パワー、CW電源の代わりに、マグネトロンに対する低コスト、パルス化、低ワット数の電源を用いることができる。より詳細には、共振器キャビティ315で共振するマイクロ波は、共振器キャビティ315内でその全長に沿い放電管320内の反応性ガスを活性化することができる。図8の実施形態においては、放電管320内のガス分子は、比較的長い滞在時間を有する(約0.016秒)。従って、マグネトロンを供給して約1−1.5kWのマイクロ波エネルギーを生成する低ワット数のパルスパワー(出力)を用いた場合であっても、遠隔プラズマ源モジュール300は高いマイクロ波結合効率を実現することができる。特に、放電管320の所定の体積に対してプラズマ中に結合されたマイクロ波エネルギーの量は約80−100%である。
【0051】
マイクロ波を放電管の小さな断面に結合する従来の導波路結合方法及び装置は、高エネルギー集中によりもたらされる高いレベルの熱のため、液体冷却システムを必要とする。本発明による放電管320及び共振器キャビティ315を用いたエネルギー密度は、従来の方法の高いエネルギー密度よりかなり低いので、低レベルの熱は、共振器キャビティ315内の放電管320の広い領域に分散される。熱は、プラズマが形成される放電管320の増加された領域のため、より早く散逸される。空気路325を通るファンからの強制空気は、放電管320上に分布された熱を散逸させるのに十分である。熱的勾配は本発明を用いた場合はより緩やかであるが、放電管320はより長い有用な寿命を有する。放電管320は頻繁に取り替えることを必要としないので、本発明は維持費を低減させる。さらに、本発明の特定の実施形態は、液体冷却システムに係わる腐食を回避し、従って、頻繁に取り替える必要のないモジュール300の金属要素に対する寿命が延長される。
【0052】
遠隔マイクロ波プラズマモジュール300は小さ設置場所を有し、モジュール300及び処理チャンバー400の平面図である図9に示すように、処理チャンバーの頂部又は他の都合のよい配置場所に容易に設置することができる。図9に示し処理チャンバー400は例示としての処理チャンバーであり、この処理チャンバーにモジュール300が配設されてもよい。処理チャンバー400は、比較的平坦な頂部表面又は蓋405を有し、これらは、多くのCVD処理チャンバーによく見られるように、広い面積と多角形形状を有している。特定の実施形態においては、蓋405とチャンバー400は、絞り弁とガス出口に近接する面積が減少された領域410に先が細くなっている。また、蓋405は、上端部又は底端部のいずれかによりアクセスできる入口415(破線で示した)を有し、これを通して反応性ガスは、ガス流路(図1及び4における腕8の)を通してガス混合ブロック又はガス分布板13を通過することにより、チャンバー400に導入される。通常では、堆積ガスは、入口415の底端部に入り(一方その上端部は閉鎖されている)、ガス流路を通してガス混合ブロックに至り、かつガス分配板を通してチャンバーに至る。特定の実施形態においては、入口415の上端部は、モジュール300の出口マニフォールド380に直接接続されてもよく、出口マニフォールド380では、個別の供給ライン(図示しない)が放電管320の頂部端部から端部385を介して、入口415に接続されてもよく、ラジカルが放電管320から入口415に至ることを可能とする(その間、入口415の底端部は閉鎖されている)。
【0053】
頂部にモジュール300を配置した蓋405は、処理チャンバー400上に配置され、反応性ガスは供給ライン375に供給され、モジュール300が必要に応じて処理チャンバー400の洗浄動作のために活性化される。この活性化と共に、放電管320内の反応性ガスからプラズマが形成され、またラジカルがプラズマ出口管320から形成される。従って、処理チャンバー400内の酸化物又は窒化物残査が付着した下流領域は、モジュール300から入口415に供給されたプラズマ中のラジカルにより洗浄される。入口415は、腕8内のガス流路をガス混合ブロック9へ導く。ガス混合ブロック9は、ガス分配板13を介してチャンバー15に接続されている。ガス混合ブロックとガス排気口との間における処理チャンバー400内の残査、及び入口415とガス混合ブロックとの間の残査は、装着された遠隔マイクロ波プラズマ源モジュール300からのラジカルによって洗浄される。次に、真空ポンプシステムを用いた排気システムは、処理チャンバー400から残査とガスを、真空マニフォールド内へのポート及び排気ラインを介して排気する。排気ラインを通してガスと残基が開放される圧力は、絞り弁とポンプシステムにより制御される。
【0054】
本発明の更に他の実施形態では、モジュール300のモジュール性と効率を維持しながら、一つ以上の放電管及びマグネトロンを利用し、物理的構成に対して変形例を有する。図8及び9に示す実施形態では、共振器キャビティ315内に一つの放電管320を利用するものである。ただし、他の実施形態では、共振器キャビティ315内で二つ以上の放電管を用いてもよい。特定の実施形態における入力供給ラインは、質量流量制御装置又は放電管内への反応性ガスの流れを制御する弁を有する。さらに、複数の放電管は、個別の又は共有の入力フィードを用いてもよく、及び/又は個別の又は共有の出力フィードを用いてもよく、さらに、これらの組み合わせを用いてもよい。
【0055】
図10は、共振器キャビティ320内に多数の例えば2つの放電管を含むモジュール300の他の実施形態を示す平面図である。図10において、放電管320a及び320bは、共有の入力フィード375a及び個別の出力フィードを有し、これらは、1つの入口415への共有出口フイ−ド(図示しない)に、又は処理チャンバー400の異なる入口への個別の出力フイ−ド(図示しない)に接続されてもよい。多重放電管を用いると、活性化されたガスの量が増加し、放電管への高いガス流量を許容し、単一の放電管と比べて、同じイオン化レベルを実現する。
【0056】
図11は、処理チャンバー400の蓋405に関する図8又は10に示されたモジュール300の部分断面図である。特に、図11は、共振器キャビティ315、放電管320、マグネトロン305、及び導波セクション330を示す側面図である。処理チャンバー400の入口415は、フィードライン(図示しない)を介して、放電管320の端部385に接続される。単一の放電管が用いられるか、多重放電管が用いられるかとは関係なく、遠隔マイクロ波プラズマ源モジュール300のモジュール化及びコンパクト化が図られる。
【0057】
本発明の他の特定の実施形態によれば、図12と13は、チャンバー400を使用した遠隔マイクロ波プラズマ源モジュール420を示すそれぞれ平面図及び側面図である。特に、図13は、図12のA−A’線に沿ったモジュール420の一部破断した側面図である。特に、図13は、図12のA−A’線に沿ったモジュール420の部分断面図である。図12に示すように、本発明によるモジュール420の全体にわたる物理的寸法(約12インチ(305mm)の広さ、約12インチの長さ、及び約8インチ(203mm)以下の高さ)もコンパクトであり、図12及び13に示すように、処理チャンバーに装着される容易に操作されるユニットにパッケージされる。全アセンブリの物理的構成のため、遠隔マイクロ波プラズマ源モジュール420も図8−9の実施形態に対して以上に示したものと同様の利点を有している。例えば、モジュール420は、高いマイクロ波結合効率を犠牲にすることなく、高価な、ハイパワー、CWマイクロ波源(約2.5−6kWのマイクロ波パワーを与えれる)の代わりに、低コスト、低ワット数、パルス化マイクロ波源(約1−1.5kWマイクロ波パワー)を用いることができる。さらに、モジュール420の放電管320の空気冷却は十分であり、放電管320並びにモジュール420内の他の金属要素の寿命を延長させる。図12に示すように、遠隔マイクロ波プラズマモジュール420は小さな設置場所を有し、処理チャンバー400の頂部又は他の都合のよい配置場所に容易に設置することができる。
【0058】
一般に、遠隔モジュール420は上記の遠隔モジュールと類似の構成を有する。特に、図12は、遠隔マイクロ波プラズマ源モジュール420の平面図であり、このモジュール420は、導波システム310に結合されたマグネトロン305、窓345を介して導波システム310に結合された共振器キャビティ315、共鳴器キャビティ315を介しその内部に配置されたプラズマ放電管320(破線)、及び空冷パス325を有する。導波システム310は、導波セクション330と、導波セクション330の長さ(lw)に沿う点における同調スタブ335とを含む。マグネトロン305の突出金属リング423は、マイクロ波を導波セクション330に結合し、キャビティ315の窓345を介して使用に供される。放電管320は、ガス供給ライン375に結合され反応性ガスを導入する入力端部365と、出力端部385を含む。マグネトロン305からのマイクロ波は、導波セクション330及び窓345を介してキャビティ315に送出され、そこで管320内の反応性ガスが活性化されてプラズマを形成する。プラズマからのラジカルは、出力端部385を介して放電管320に送出され、チャンバー内での使用に供される。
【0059】
図12及び13に示した本実施形態においては、導波セクション330は、一般に矩形断面の導波路である。導波セクション330は、耐性の強い金属、例えば銅、アルミニウム、ステンレススチール、又は他の導電性材料で作製されると共に、マグネトロン源及び共振キャビティ315を接近して収容するのに十分な長さを有する。遠隔モジュールにおいては、導波セクション330は、マグネトロン305と共振器キャビティ315が確実に装着される装着板425としても作用する一つの壁部を有する。導波セクション330の他の3枚の壁は、装着板425に溶接されて導波セクション330を形成する。本実施形態においては、導波セクション330は、約10インチ(254mm)の長さ(lWG)、約1.7インチ(43.2mm)の幅(WWG)、及び約3.4インチ(86.4mm)の高さ(hWG)を有する。図12に示したように、装着板425は導波セクション330の全長に装着され、またマグネトロン305と共振器315の確実な装着を得るために導波セクション330の寸法より大きくする。装着板425として作用する導波セクション330の一つの壁は、ファスナ(固定部材)427を介してマグネトロン305に装着される。マグネトロン305は、装着板425に装着され、導波セクション330の一部は、装着板425を介して、マグネトロン305に密接に隣接する。マグネトロン305の突出金属リング420は、マグネトロン305と導波セクション330との間の必要な電気的接続を与える。勿論、装着板425は、特定の実施形態において、約1.4インチ(35.6mm)の直径を持つリング423の直径にほぼ対応する孔を有する。特に、銅、アルミニウム、又は他の導電性材料からなるリング423は、マグネトロン305の金網に固定される。
【0060】
さらに、遠隔モジュール420の導波セクション310は、最適化要素430を含み、この最適化要素430は、例えば方向性カップラー又は位相検出器であり、反射マイクロ波や反射マイクロ波を吸収するアイソレータをモニタする。導波セクション330の一部は、他方の傾斜端部433(約35−55℃の間、好適には約45℃の角度を持つ)にある共振器キャビティ315に装着板425を介して結合して隣接される。共振器キャビティ315に近接する端部433は、セクション330から窓345を介してキャビティ315への改良されたマイクロ波結合を与える。一方、傾斜端部433は湾曲端部で置き換えてもよい。勿論、導波セクション330の装着板425は、窓345を含み、導波セクション330からのマイクロ波は、窓345を介して、ファスナ435により装着板425に装着された共振器キャビティ315に到達する。本実施形態においては、窓345は約1.4インチ(35.6mm)の幅(WW)と約3.4インチ(86.4mm)の長さ(lW)を有し、これは共振器キャビティ315の壁部のほぼ中央に配置される。装着板425と共振器キャビティ315の間には、導波セクション330及びキャビティ315間の裂け目を介して、キャビティ315からマイクロ波エネルギーが漏洩しないように遮蔽するマイクロ波遮蔽ガスケット436が設けられている。図13に示すように、装着板425は、ファスナ427、435により、その上に導波セクション330、マグネトロン305、及び共振器キャビティ315を安定に固定するように成形されている。ファスナ427、435としては、ねじ、ボルト、又は他の従来の固定部材又はエポキシ樹脂等が使用できる。装着板425の特定の形状は、他の実施形態におけるものと異なっていてもよい。
【0061】
モジュール420において、放電管320は、長さ方向でキャビティ315を貫通して配置され位置決めされる。これにより、放電管320は放射パターンの最大、例えばキャビティ315内で回転しているマイクロ波の電場(E−フィールド)成分と重なり合う。本実施形態によれば、共振器キャビティ315は、単一モードキャビティであり、約5.9インチ(150mm)の長さ(lR)と、約6インチ(152mm)の幅(WR)と、約5.1インチ(130mm)の高さ(hR)を有する。優勢なモードは、約2.45GHzの周波数を有するマイクロ波のTE102モードである(lRの軸線に沿い、放電管320の長さに垂直な「伝搬方向」を仮定する)。共振器キャビティ315は、銅や、アルミニウム、ステインレススチール、又は他の導電性材料などの金属から構成してもよい。放電管320は、円形断面のアルミナで構成された管であり、本実施形態においては約1.87インチ(47.5mm)の外径、約1.65インチ(41.9mm)の内径、及び約6−8インチ(152−203mm)、好適には約7.8インチ(198mm)の長さを有している。さらに、放電管320の長さに沿う中心軸線は、窓345から約四分の一波長の3倍(共振周波数におけるマイクロ波エネルギー)の距離(d)、又はその最適な窓345からの等価距離だけ変位される。本実施形態においては、dは約4.08インチ(104mm)である。
【0062】
図12及び13に示すように、放電管320の端部は、第1の装着アセンブリ350及び第2の装着アセンブリ355により共振器キャビティ315上に接続される。第1の装着アセンブリ350は、ハウジング360を含み、ハウジング360は、ねじ(又は、他の固定部材又はエポキシ樹脂を用いてもよい)により、共振器キャビティ315の壁部及びアセンブリ350の対応する孔を通して共振器キャビティ315上に固定される放電管320の入力端部365を収容し、保持する。入力端部365は、その外径周りに封止部材370、好適にはOリングを有し、放電管320からの真空漏洩を防止する。端部365は、ハウジング360に結合されたガス供給ライン375を除いて気密な開放真空封止端部である。ガス供給ライン375は、清浄供給マニフォールド445に結合されて、反応性ガスを放電管320に導入し、マイクロ波により活性化されて放電管320内にプラズマを形成する。第2の装着アセンブリ355は、放電管320の他の端部を収容して保持し、この端部はねじ(又は、他の固定部材又はエポキシ樹脂を用いてもよい)により、共振器キャビティ315の対向する壁及びアセンブリ355の対応する孔を通して、共振器キャビティ315に固定される。
【0063】
放電管320の出力端部385は開放されており、図13に示すように、処理チャンバーのガス混合ブロック9に高周波アイソレータ438を介して結合された出口マニフォールド380に導かれる。出口マニフォールド380は、各種の実施形態におけるアルミニウムや銅、ニッケル又はステンレススチ−ルなどの金属から形成され、遠隔モジュールの放電管320から高周波アイソレータ438を介してチャンバーに至るラジカルに対する導管を提供する。出口マニフォールド380の他の特徴を、以下にさらに説明する。他の実施形態において、出口マニフォールド380は、アイソレータ438に関して以下に示すラジカル−抵抗性材料が内張りされていてもよい。
特定の実施形態に従って、出口マニフォールド380が図14−16にさらに詳細に示される。図14は、放電管320の端部385に関係して示した図13の出口マニフォールド380の側部断面図である(破線で示した)。図15と16は、B−B’線に関係する出口マニフォールド380の正面図及び背面図である。図14,15に示すように、出口マニフォールド380は入口及び出口を持つ導管又は流路を与える。出力マニフォールド380の導管は、好適には断面が円形をなし、或いは他の断面形状をなしてもよく、さらに放電管320とチャンバー400への入口の相対配置を収容するように、適切な角度を付与される。本実施形態においては、端部385はその外周に封止部材437、好適にはOーリングを有して、管320と出口マニフォールド380との間の漏洩を防止する。出口マニフォールド380は、端部385と封止部材437を収容するように、端ぐり(深ざぐり)されている。放電管385の端部385及び封止部材437は、出口マニフォールド380のそれぞれ深ざぐりされたセクションに挿入されて、真空封止される。かくして、出力端部385がこの深ざぐり入口に配置されると、出口マニフォールド380の入口の突出部分450は、或る距離で放電管320内に突出する。この突出部分450の外面は、放電管320の長さの一部に沿い放電管320内に懸垂される。突出部分450は、ラジカル出口管により端部385で生成された熱を散逸させるヒートシンクとして有効に作用して、放電管320内に十分延在し、管320内の内容積から対流的にまた放射的に熱を引き出す。有利には、出口マニフォールド380の突出部分450は放電管320の端部385を冷却し、さらに上記のように長さ方向が空冷される放電管320の寿命を延ばすように寄与する。放電管320の内径から出口マニフォールド380に設けられた導管の直径方向への緩徐な遷移を与えるために、突出部分450はフンネルのように内部的に成形され(水平から、約25−50度の間で好ましくは30度で半径方向外方に傾斜された)、ラジカルを導管に送出する。このろうと形状は都合がよいことにラジカルの層流を導管内に、タ−ビュランスとエデイが最小になされるように導管内へと維持する援助をなす。漏斗状のより大きな開口は、特定の実施形態によれば、放電管320の内径(約1.65インチ(41.9mm))よりわずかに小さい直径(約1.5インチ(38.1mm))を持ち、漏斗のより小さな開口は約0.80インチ(20.3mm)であり、さらに出口マニフォールド380における導管の垂直要部は約0.67インチ(17.0mm)の直径を有する。
【0064】
図13−16に示すように、出口マニフォールド380はまた、フランジ452とアイソレータ438が(図示しないが、対応する通し孔を有する)これらの通し孔を通して配置されたねじ又はボルトによりガス混合ブロック9に接続されるように通し孔(図示しない)を有するフランジ452を有する出口を備える。図14に示されるように、出口マニフォールド380の導管の出力は、ガス混合ブロック9内へのアイソレータ438と蓋405を通る入口管のアラインメントに供する深ざぐり454を有する。入口管周りの封止部材も出口マニフォールド380の深ざぐりされた出口に用いられて、真空封止を確保する。出口マニフォールド380はまた、その上部セクションを通して配置された多数の通し孔456を有し、これによりねじ又はボルトを用いて、キャビティ315上へのアセンブリ355の対応する通し孔を通して出口マニフォールド380の入口を確保し、かくしてラジカルは出口マニフォールド380内への管320を出る。
【0065】
図16に示すように、出口マニフォールド380の背部外面の孔458は、システム制御装置34に接続された安全スイッチを持つ熱電対などの温度連動装置(図示しない)を装着するために設けられ、これは、出口マニフォールド380の温度が管320の熱的クラックに対するしきい温度に近づく場合に、マグネトロンへの電源を自動的に遮断するようにプログラムにすることができる。任意ではあるが、熱電対439は、管320の熱的なクラックに対するしきい温度が越えられないように、放電管320の温度をモニタするために用いられる(プラズマ種出口管320)。
【0066】
RFアイソレータ438はガス混合ブロック9を(これはRFハイにある)出口マニフォールド380、蓋405、及びチャンバーの本体(これら全て接地される。)から分離する。RFアイソレータ438は好適には、アルミナ又はポリテトラフルオロエチレン(PTFE)などのRF分離を与える材料から形成され、そしてそれはラジカル(NF3のようなフッ素含有ガスを用いてプラズマを形成するときのフッ素ラジカルのような)によるエッチング又は堆積に耐性である。PTFE(例えば、テフロン(登録商標名)PTFEとして市販されている)を含むこのような材料は洗浄プロセスの間に、出口マニフォールド380により与えられる導管の内側でのフッ素ラジカルの再結合には寄与しない。PTFEの他に、PFAなどのフッ素化ポリマー(これは、ポリテトラフルオロエチエン樹脂のフッ素バックボーンをペルフルオロアルコキシ側鎖と結合させるポリマである)、フッ素化エチレン−プロピレン(TFE)を含むフッ素化材料を用いてもよい。勿論、使用される特定の反応性化学試薬に耐性の他の材料を用いてもよい。
【0067】
上記のように、図12−13に示した処理チャンバー400は、モジュール420が着座され、それと使用するために装着された例示としての処理チャンバーである。処理チャンバー400は、多くのCVD処理チャンバーの場合に見られるように、広い面積と多角形状を持つ比較的平坦な上面又は蓋405を有する。特定の実施形態においては、蓋405とチャンバー400は、絞り弁とガス出口に近接する逓減領域410内に漸減する(図9及び13に示した)。処理チャンバー400の蓋405は、冷却液入口マニフォールド440、入口415(図12で破線で示した)、及び清浄ガス供給マニフォールド445を備える。本実施形態によれば、冷却液入口マニフォールド440は、マニフォールド440の入口で入力された冷却液流体が蓋405内で冷却液流路に流入することを許容する。洗浄ガス供給マニフォールド445は、幾つかの実施形態においてステンレススチール、アルミニウム、銅、又は他の金属で形成される。他の実施形態においては、洗浄ガス供給マニフォールド445はアイソレータ438に対して上記したものと同様のラジカル耐性材料からなる。
【0068】
本実施形態によれば、図12−13に示した遠隔モジュール420は、ガス混合ブロック9へのガス流路(腕8内の)に入口415を介してラジカルを与える図9に示した実施形態とは異なり、処理チャンバー400へのガス分配板13aを介した導入に供する出口マニフォールド380とアイソレータ438を介して、放電管320に形成されたプラズマからガス混合ブロック9内にラジカルを与える。上記のように、入口415は(破線で示した)、ガス流路(図1と図4の腕8内の)を通してガス混合ブロックへ通し、次にガス分配板13を通すことにより、処理チャンバー400にガスが導入される上端部又は底端部のいずれかによりアクセス可能である。図12−13の実施形態においては、入口415を用いて清浄ガス供給マニフォールド445内に反応性ガスを提供し、放電管320内への導入に供してプラズマ含有ラジカルを形成する。特に、反応性ガスは、入口415の開放上端部を介して送出され、放電管320への導入に供する。入口415に結合された(図1及び図4の腕8の)ガス流路は、もし反応性ガスを遠隔モジュール420にのみ送出することが望まれるときは、閉塞されたままになされる。しかし、入口415のガス流路は、反応性ガスが遠隔モジュールだけでなく、チャンバー400にも送出されるように開放のままになされる。ガス流路は、開放のままになされたとき、真空チャンバー内へのガス混合ブロック9とガス分布板13aへの導入のため反応性ガスを受ける。代表的には、堆積ガスは入口415に入り(その上部端部が閉じられているとき、その底端部から)ガス混合ブロックへのガス流路内への導入に供する。図5に示した実施形態においては、洗浄に用いられる反応性ガスは、入口415の上端部を開くことによりガス供給マニフォールド445に流入することが許容される。次に、入口415の底部端部を通して入力された洗浄ガスはガス供給ライン375内に、また遠隔モジュール420の放電管320に洗浄マニフォールドを通ることができる。かくして、これらのガスはプラズマが形成される放電管320に導入され、そしてマイクロ波生成プラズマからのラジカルは、管320からガス混合部ロック9内への出口マニフォールド380(及びアイソレータ438)からガス混合ブロック9内に導入され、そこでラジカルはガス分配板13aを介してチャンバー400に流入する。他の実施形態においては、入口415は、上記の実施形態よりはむしろ、洗浄ガスが洗浄ガス供給マニフォールド445に送られる流路を与え、堆積ガスはガス流路(腕8の)ガス流路におくられる個別の流路を与える。
【0069】
図12−13に示した実施形態は、ガスとラジカルのチャンバー400内への二重流入路を許容する(開放された入口415にガス流路を結合させたままにすることにより)。ガス及びラジカルの二重流入は幾つかの用途で望まれ、一方、ラジカルのみをチャンバー400に導入するための洗浄ガスの遠隔モジュール420内への単一の流入もまた他の用途に望まれる。勿論、入口415を介した、及び/又は遠隔モジュール420を介したプロセスガスの流入も、遠隔モジュール420が用いられる他の実施形態に従って、他の用途に望まれる。洗浄用途に対しては、ガス混合ブロック9とガス排気マニフォールドの間の処理チャンバー400内の残査が装着された遠隔マイクロ波プラズマ源モジュールから、ラジカルにより洗浄される。次に処理チャンバー400から、排気システムは真空ポンプシステムにより真空マニフォールド内への及び排気ラインからのポートを介して残査とガスを排気する。ガス及び残査が排気ラインを通して解放される圧力は、絞り弁及びポンピングシステムにより制御される。
【0070】
図17及び18は、本発明の遠隔マイクロ波プラズマ源モジュール500のさらに他の実施形態の概略平面図及び側面図である。図17と18の本実施形態によれば、遠隔マイクロ波プラズマ源モジュール500は、二つのマグネトロン505aと505b(図示しない)、共振器キャビティ515、共振器キャビティ515内のT字状放電管520、共振器キャビティ515内の二つの開口又は窓545a、545b、さらに二つの導波システム555aと555bであって、各々の窓545aと545bに対して一つ設けられ、それぞれのマグネトロン505aと505bに導く導波システムを有する。簡単化のため、図18は完全な導波システム555aと555bの窓545aと545bのみを示す。強制空冷システムも本実施形態と共に用いられる。それぞれの導波システム555と窓545を持つ各々のマグネトロン505の構成と説明は図8の実施形態に対して上記したものに類似する。アルミナから構成され、放電管520は、中央の排気ポートとして作用する垂直ステム565で接合する二つの水平ブランチ560aと560bを有する。反応性ガスは放電管520の各々のブランチ560の外部端部に入力される。次に、ブランチ560aと560bにおける反応性ガスは、マグネトロン505aと505bからそれぞれの導波システム555aと555bを介し、それぞれの窓545aと545bを通して送出されるマイクロ波放射によって活性化される。これにより、プラズマは放電管520のブランチ560aと560b内に形成される。次に、ブランチ560aと560b内に形成されたプラズマからのラジカルはステム560を介して放電管520から出る。ステム560から出力されたラジカルは、その分配マニフォールドを通して処理チャンバー400に供給される。ステム560と処理チャンバー400の間のフィードの短さはラジカルの再結合を低減させる。ラジカルは、遠隔モジュール500から残査とガスが排気される、装着したCVD装置に流入する。装着したCVD装置から、排気システムは、真空ポンプシステムにより真空マニフォールドを介して、排気ラインからポートの外へ残査及びガスを排気する。ガス及び残査が排気ラインを通して解放される圧力は、絞り弁及びポンピングシステムにより制御される。
【0071】
多重間欠パルス化マグネトロンを用いると、ガスは多数回イオン化され、前イオン化とラジカルの形成を増強する。すなわち、放電管の同じ微分体積のガスが、多重マグネトロンからのマイクロ波により活性化され、所定時間に放電管に印加されたマイクロ波エネルギーの量が増加する。放電管への所定の低ガス流を得るため、多重パルス化マグネトロン(各々は低いマイクロ波パワーを提供する)を用いてイオン化及びラジカル形成の或るレベルを実現する。放電管へのより高いガス流を得たい場合、同じ低マイクロ波パワーを各々が提供する多数回以上頻繁にパルス化されたマグネトロンが用いられ、このイオン化レベルとラジカル形成を実現する。例えば、多重マグネトロンシステムは、以下に示すように、二つのマネトロンを用いてもよい。図17と18の例示としての実施形態を含む二重マグネトロンの実施形態においては、二つのマグネトロン源が交互に活性化され、これによりマイクロ波が約120Hzで一定にパルス化される。図19に示すように、各々のマグネトロン505aと505bは各々のそれぞれのパルス化電源を用いて、パルス的に(約60Hz)マイクロ波を生成する(約2.45GHzで)。他のマグネトロン505bに関して、約180度の位相差により一つのマグネトロン505bのパルスを遅らせることで、図20に示したように、マグネトロンの二つのパルス化電源は図21から分かる様に、一定のパルス約120Hzでマイクロ波を提供することができる。図21では、第1サイクルの波形(MA)がマグネトロン505aにより生成され、また第2サイクル(MB)がマグネトロン505bにより生成される。約700標準立法センチメータ(sccm)に対して、約1.2Torr以下のチャンバー圧力に対して、マグネトロン(各々の活性化されたマグネトロンは約750Wマイクロ波パワーを与える)により生成された約1.5kWの全マイクロ波パワーは、約99%のガス破壊効率を生成することができる。かくして、交互にパルス化された電源により活性化されたこのような二重マグネトロンは、容量的に結合された電極よりさらに高い洗浄効率をもたらし、それらは通常は約15−30%の間のガス破壊効率を提供する。さらに、マグネトロンに対して低出力、パルス化電源を用いると、放電管にはより少ない熱が放電管520に生成され、また空冷は、上記のように、放電管520に熱を散逸させるのに十分である。勿論、各種実施形態におけるマグネトロンは液体又は空冷を必要とする。
【0072】
図22と23は、新しく改良されたCVD装置又は改装された既存CVD装置を与える本発明の他の特定の実施形態を示す概略断面図である。本実施形態はCVD装置に対して実際に一体化して改良された能力に対するマイクロ波プラズマ源を提供する。
【0073】
特に、図22は、本発明の他の特定の実施形態に従って、二つのマグネトロン源605aと605bを利用するチャンバー603を有した改良/改装CVD装置600を示す。勿論、他の実施形態が単一のマグネトロン源のみを使用でき、又は二つのマグネトロン源を用いてもよいということが認識されるべきである。図622に示すように、CVD装置600は、壁部613と上部カバー615とベース617を有するチャンバー本体610を有し、さらに抵抗的に加熱された台座620上に静置されたウェハ又は基板(図示しない)へのマニフォールド619に孔を通して反応性ガスを分散させるガス分配マニフォールド619を有する。台座620は高熱伝導性であり、台座620が(そして、若し存在するなら、基板は台座620の上部面に支持される)下部ローデイング/オフ−ローデイング位置とマニフォールド619に隣接する上部処理位置の間でリフト機構630により制御自在に移動され得るように支持体625に装着される。
【0074】
図22に示したように、壁部613とベース617の間の接合部近傍で、CVD装置600は、誘電体窓635aと635b、好適にはセラミック又はサファイヤ形態をなしてアルミナから形成される。例えば、既存CVD装置が機械加工されて窓及びそれに適合した誘電体窓を提供する。誘電体窓635aと636bはまた、マイクロ波に対して比較的透過性であり、チャンバー603内のプラズマ中に形成されたラジカルによるエッチングに耐性の他の材料から形成してもよい。
【0075】
マグネトロン605aと605bはそれぞれ、誘電体窓635aと635bに繋がる導波路640aと640bに結合される。マグネトロン605aと605bからのマイクロ波エネルギーは、導波路640aと640bにより誘電体窓635aと635bを通してチャンバー603に送出される。導波路640aと640bは、主としてマグネトロン605aと605bの都合のよい配置又は位置を提供し、かつそれからマイクロ波エネルギーを方向付けする。他の実施形態においては、導波路640aと640bは省略され、またマグネトロン605aと605bは、図23に示すように、誘電体窓635aと635bに近接して配置される。さらに、導波路なしに第1マグネトロンを導波路及び第2マグネトロンとの組み合わせは、CVD装置600の空間的拘束に依存して用いてもよい。マグネトロン605aと605bはそれぞれ約2.45GHzのマイクロ波を放射する低コストマイクロ波マグネトロンであり、約60Hzで動作する低ワット数のパルス化電源を有する。好適には、マグネトロン605aと605bは、図19−21に対して、上記したように交互にパルス化されて約120Hzの一定パルス化マイクロ波を提供する。
【0076】
勿論、CVD装置600はさらに、ガス供給ラインや、ガス混合システム、質量流制御装置/弁などの他の要素を有し、それらは既に図1−6の例示としてCVDシステム10に対して既に説明しているので、ここでは説明、図示はしない。質量流制御装置を有するガス供給ラインを通してガス混合システムに供給される反応性ガスは、マニフォールド619に送出される。図22と23の特定の実施形態によれば、マニフォールド619に供給される反応性ガスは、一般的にまた一様に台座に向けて排気され、マグネトロン605a、605bから誘電体窓635a、635bを通して放射するマイクロ波エネルギーによりイオン化される。反応性ガスがチャンバー603への分布の後イオン化されるので、面板(フェイスプレート)619を通る流路上でのイオン再結合の可能性は、マグネトロンを用いた本実施形態においては回避される。すなわち、本発明によりマグネトロン源により生成されたプラズマは、純粋に化学的な効果を持つイオンを生成する。しかし、バイアスのかかったプラズマを生成する際の使用電圧又は電位差はチャンバーの各種の部分に対する物理的スパッタリング効果から長期にわたって大きなイオン損傷を受ける。特に、電位差は、プラズマ中のイオンが、例えばチャンバーの壁部に向けて加速され、攻撃される。本発明は電位差の適用無しに動作し、このようなスパッタリング効果無しに化学的性質を持つイオンを提供する。従って、本発明は、これらの他のCVDシステムよりチャンバーの各種部分に対してイオン損傷をさらに少なくする。
【0077】
本実施形態においては、チャンバー603の内部空間は、マグネトロン605aと605bからのマイクロ波に対する共振キャビティとして作用する。一般に、チャンバー603は、台座620がその最低位置にあるとき、約14インチ(356mm)の幅、約19インチ(483mm)の長さ、約7インチ(178mm)の高さをなす。このようなチャンバーの体積603は、一般に約2.45GHzの共振に対して適している。しかし、共振チャンバー体積603の同調は、CVD装置600の位置を調節することにより実現される。特定の実施形態によれば、面板619と台座620の間の可変間隔を用いてチャンバー/キャビティ603を同調させ、共鳴に供する。イオン化及びラジカル形成の効率は、面板619とチャンバー613の台座620の間で共振体積を実現することにより実質的に増加させることができる。次に、台座620の運動はチャンバー/キャビティ603を同調させ、マイクロ波によるプラズマの着火の前後でインピーダンス変化を調節する。特に、台座620はプラズマの着火に対して共振体積を規定する第1の位置に面板619に対して移動される。次に、台座620は、プラズマが衝突された後のインピーダンス変化を補償するように共振体積を調節する第2の位置へと移動する。一方、共振チャンバー体積603の同調も移動自在なチャンバー壁部613を与えることにより達成される。チャンバー壁613の間の可変間隔を用いてチャンバー/キャビティ603を同調させ共振に供する。イオン化及びラジカル形成の効率は、チャンバー壁613の間の共振体積を実現することによりかなり増加させることができる。チャンバー壁613の間の間隔の調節も、プレ及びポストプラズマ着火共鳴位置の両者に対して実現され、インピーダンス変化を補償する。
【0078】
マイクロ波源によるガスイオン化の高い効率のため、低圧又は低流動領域を用いてチャンバー603のプラズマの局在化を回避する。遠隔マイクロ波プラズマ源において放電管を用いる上記の他の実施形態とは異なり、本実施形態は、放電管を使用しなくても済むが、代わりに、チャンバー本体610に装着された誘電体窓を必要とする。従って、チャンバー603は組み合わされた共振器キャビティとプラズマアプリケータとして使用される。さらに、壁部613は温度制御され、約80℃の温度に維持される。従って、チャンバーの壁部613は誘電体窓635aと635bに対して良好な熱散逸を与え、これにより誘電体窓の能動的な冷却(空気又は液体)に対する必要性を排除する。次に、真空ポンプシステムを取り込んだ排気システムが、ポートを介して残査及びガスを真空マニフォールドを通じて排気ライン外へ排気する。ガス及び残査が排気ラインを通して解放される圧力は、絞り弁及びポンピングシステムにより制御される。
【0079】
本発明の上記実施形態は洗浄CVD装置又は他の装置に有用である。本発明の有効性は例示としての反応性ガスとしてNF3を用いたCVD装置の洗浄に対して示される。ただし、CF4やClF3などの他の反応性ガスを用いてもよい。
【0080】
本発明の遠隔モジュール300、420、又は500(図8−18)に対する以下の説明は、図1−6に対して示したCVDシステム10に類似の処理チャンバーに関係して示したが、本発明はまた他のCVD装置あるいは他の装置と共に使用してもよい。処理チャンバーを洗浄するため、制御装置34はロボットアームの適切なモータを制御して、処理チャンバーで処理されているウェハを台座12からアンロードする。次に、台座12はガス分配マニフォールド11から、特定の実施形態において、約999ミル(mil、25.4mm)であるその最低未処理位置に移動され、遠隔モジュール300、420、500に形成された上流プラズマからのラジカルによりチャンバーの最適洗浄を補償する。台座12が適切に位置決めされると、システム制御装置34は好適には約300−500℃の温度、最も好適には約400℃の温度に台座12を維持する。これらの温度範囲は、洗浄手順を通して維持される。処理チャンバーは、幾つかの実施形態において、約1.5Torr(トル)以下の圧力、好適には約50ミリTorrから約1.5Torrの範囲内で、最も好適には約0.7−1.2Torrの圧力に維持される。放電管内の圧力は、プラズマを衝突させるため約3Torr以下、幾つかの実施形態においては約1.5−3Torrである。次に、反応性ガスNF3が入口415を介して洗浄ガスマニフォールド445に導入され、フィード375を遠隔モジュール300の放電管320に入力し、又はマイクロ波がNF3をイオン化させる遠隔モジュール500の放電管520への入口に入力する。NF3の流量は分当たり約400−1000標準立法センチメータの間で、最も好適には約700sccmであると好適である。反応性ガスが放電管に導入される割合は、ガス供給ライン375又は入口の弁又は質量流制御装置を通して、CVDシステム10のシステム制御装置34により制御される。任意には、NF3はまた、入口415からの流れを入口415からガス流路を通してガス混合ブロックに流し、チャンバー内への導入に供する。反応性ガスは、始めはマグネトロンへの電源の印加なしに流動し、ガス流の安定化を提供する。このガス流の安定化は、マグネトロンを活性化する前の特定の実施形態において約5秒継続する。次に、遠隔モジュールで生成されたプラズマからのフッ素ラジカル(及び、任意には、NF3も)は、処理チャンバーのマニフォールド11を通して下流に流れ、処理チャンバー内の残査を効率的に洗浄する。選択した処理チャンバー圧力がセットされ、真空ポンプシステムと関連して絞り弁による堆積を通して維持される。絞り弁と真空ポンプシステムは、全て選択された圧力のセット及び維持に際してシステム制御装置34により制御される。処理条件は、セットされた後、選択された時間の間、好適には約40−80秒の間、最も好適には約50−65秒システム制御装置により維持され、全洗浄手順に供される。洗浄が完了した後マグネトロンが消勢されると、圧力は、チャンバー内で生じる引き続くプロセスステップに対して圧力を所望のレベルにする前に、約5秒の間安定になることが許容される。約1.2Torrより小さいチャンバー圧力において約3Torr以下の圧力で放電管内への約700sccmのNF3ガス流に対してマグネトロンにより生成された約1.5kWのマイクロ波パワーは、約99%のガス破壊効率を与えることができる。
【0081】
本発明の改良/改善されたCVD装置600に対する以下の説明は、図1−16に対して示したCVDシステム10と同様に、処理チャンバーに関連して説明されるが、本発明はまた、他のCVDシステムと共に使用してもよい。CVD装置600を洗浄するために、システム制御装置34はロボットアームの適当なモータを制御し、処理チャンバー603で処理されているウェハを台座620からアンロードする。台座620はその最低の未処理位置に移動されるが、これは、その場で形成されたプラズマからのフッ素ラジカルによる最適洗浄を補償する。台座620が適切に位置決めされると、制御装置34は好適には約350−450℃の温度で、最も好適には約400℃の温度に台座620を維持する。次に、台座620は、ガス分配マニフォールド619から約999milであるその最低未処理位置に移動されてチャンバー603において、その場で形成されたプラズマからのフッ素ラジカルにより最適の洗浄がなされる。台座が適切に位置決めされると、制御装置34は約350−450℃の間の温度で、最も好適には約40℃の温度に台座を維持する。これらの温度範囲は洗浄手順を通して維持される。処理チャンバー603は約1.5Torrまでの約50ミリTorrの範囲内の圧力に維持される。圧力安定化ステップも行われる。次に、反応性ガスNF3は、ガスマニフォールド619へのガス供給ラインを通して共振チャンバー603内に導入され、そこでマグネトロンからのマイクロ波は効率的にNF3をイオン化する。NF3の流量は、好適には約400−800sccmの間にあり、最も効率的には約600sccmである。反応性ガスが処理チャンバー603に導入される割合はガス供給ラインの弁又は質量流制御装置を通して、CVDシステム10の制御装置34により制御される。マイクロ波プラズマからのフッ素ラジカルは処理チャンバー603を通して残査を効率的に洗浄する。他の実施形態においては、フッ素を含有する他のガスが共振チャンバー603に導入される。システム制御装置34はまた、台座620の位置を調節してプレ及びポストプラズマ着火共振に対して同調するリフト機構630を制御する。共振チャンバー603の選択された圧力は、真空ポンプシステム及び反応性ガスの導入と関連して絞り弁による洗浄を通してセット及び維持される。絞り弁と真空ポンプシステムは全て、選択された圧力をセット及び維持する際に、制御装置34により全て制御される。処理条件は、セットされた後、CVDシステムを効率的に洗浄する選択された時間の間制御装置34により維持される。
【0082】
洗浄手順の改良された能力を与える他に、図22,23の実施形態はその場で他のプロセスに対して要求されたとき、デポジションとエッチングのために使用可能であり、これにより時間を節約し、他の利点を与えることができる。これらの実施形態はまた、処理チャンバー603に遠隔モジュールを装着する必要なしに、一体のマイクロ波プラズマを用いてウェハ又は基板をエッチング又はデポジットするために用いられることがわかる。さらに、遠隔モジュールが処理チャンバー603に装着されたときも、処理チャンバー603の蓋から遠隔モジュールを単に除去することにより容易に実現される。従って、処理チャンバー603の保守洗浄は、妨げとならない蓋を開放するか、又は遠隔モジュールを容易に除去して次に蓋を開放するかのいずれかを含み、無駄な時間を減少する。
【0083】
上記のガス流、チャンバーの圧力と温度範囲は、多重ウェハ又は基板を処理した後、長期にわたって形成される望ましくない酸化物及び窒化物残査を除去するのに十分な洗浄手順を与える。上記プロセスにおけるパラメータはクレームに限定されるものではない。特定の洗浄手法に対して選択された実際の値(温度、圧力、ガス流など)は各種の用途に従って変化する。さらに、上記の流れ値はアプライドマテリアルズ社(株)により製造されたDxZチャンバー(200mmウェハに対して装着され、全容積が約5リットル)に対してのものであるが、流れ値は使用するチャンバーの種類又は大きさに依存して異なる。例えば、多重モードチャンバーは異なる流れ値を必要とする。さらに、上記の流れ弁は特定の実施形態に従った寸法を持つアプリケータ管に対するものであり、他の寸法を持つアプリケータ管に対しては異なる。当業者はまた、他の化学薬品、チャンバーのパラメータ、及び本発明による洗浄に対する条件を用いてもよい。
【0084】
上記の説明は例示のためであり、何らの限定では無いことは理解されたい。多くの実施形態は上記の説明を再吟味する際に、当業者には明らかであろう。例により本発明は主として洗浄装置に対して示されたが、本発明はそのように限定されるものではない。当業者は、本発明のクレームの範囲内で誘電体層を堆積する他の等価なもの、又は代替方法を認識するであろう。上記の説明は特にNF3についてのものであるが、希釈なF2,CF4,C26,C38,SF6,又はClF3を含む他の反応性ガスを酸化珪素を堆積するために用いられる基板処理システムを洗浄するために用いてもよい。一方、マイクロ波プラズマシステムが堆積又はエッチングのために用いられる実施形態に対して堆積又はエッチングガスに用いてもよい。CVDチャンバーと共に使用される他に、上記の遠隔プラズマモジュールはエッチングチャンバー、物理的気相堆積(PVD)チャンバー、又は他のチャンバーと共に使用してもよい。さらに、当該装置の各部分に対して特定の寸法が特定の実施形態に従って示されたが、幾つかの特定の寸法は例示としてのものであり、他の実施形態に対して他の寸法を用いてもよい。従って、本発明の範囲は上記の説明を参照して決定されるべきではなく、代わりに、かかるクレームが与える等価なものの完全な範囲と共に、添付したクレームを参照して決定されるべきである。
【図面の簡単な説明】
【図1】本発明の一実施形態による化学蒸着堆積装置を示す側断面図である。
【図2】本発明の一実施形態による化学蒸着堆積装置を示す要部拡大断面図である。
【図3】本発明の一実施形態による化学蒸着堆積装置を示す要部分解斜視図である。
【図4】本発明の一実施形態による化学蒸着堆積装置を示す要部拡大分解斜視図である。
【図5】1つ以上のチャンバーを有するシステムにおけるCVDシステム及びシステムモニタを示す概略図である。
【図6】本発明の一実施形態によるシステム制御ソフトウエア、コンピュータプログラムの階層制御構造を示す概略ブロック図である。
【図7】本発明方法により製造された半導体装置を示す概略断面図である。
【図8】本発明の一実施形態による遠隔マイクロ波プラズマ源モジュールを示す平面図である。
【図9】本発明の一実施形態による処理チャンバーに関連するモジュールを示す平面図である。
【図10】本発明の一実施形態による空洞共振器キャビティ内の2個の放電管を含むモジュールを示す平面図である。
【図11】図8及び10に示されたモジュールを示す要部側面図である。
【図12】本発明の一実施形態による遠隔マイクロ波プラズマ源モジュールを示す平面図である。
【図13】本発明の一実施形態による遠隔マイクロ波プラズマ源モジュールを示す側断面図である。
【図14】図13に示された出口マニフォールドを示す側断面図である。
【図15】図14のB−B’線に沿った正面図である。
【図16】図14のB−B’線に沿った背面図である。
【図17】本発明の他の実施形態による遠隔マイクロ波プラズマ源を示す概略平面図である。
【図18】本発明の他の実施形態による遠隔マイクロ波プラズマ源を示す概略側面図である。
【図19】二重マグネトロンにおける出力の経時変化を示す線図である。
【図20】二重マグネトロンにおける出力の経時変化を示す線図である。
【図21】二重マグネトロンにおける出力の経時変化を示す線図である。
【図22】本発明のさらに他の実施形態によるCVD装置を示す概略側面図である。
【図23】本発明のさらに他の実施形態によるCVD装置を示す概略側面図である。
【符号の説明】
8…ガス供給ライン、9…ガス混合ブロック、10…反応器、11…入口マニホールド、12、620…台座、13a…ガス分配面板、13b…孔、14…処理位置、15、603…チャンバー、15a…壁、15b…蓋アッセンブリー、16…オリフィス、17…プレナム、23…排気路、24…遮断弁、32…モータ、34…制御装置、44…高周波電源、50a…モニタ、50b…ペン、73…プロセスセレクター(サブルーチン)75…プロセスシーケンサ(サブルーチン)、77a〜77c…チャンバーマネージャー(チャンバー管理サブルーチン)、80…基板位置決めサブルーチン、83…プロセスガス制御サブルーチン、85…圧力制御サブルーチン、87…ヒータ制御サブルーチン、90…プラズマ制御サブルーチン、200…集積回路、203,206…トランジスタ、212…ソース領域、215…ドレイン領域、218…ゲート領域、240,246…金属層、227,228,229…金属間誘電体、230…メッキ化不導体層、300、420、500…遠隔マイクロ波プラズマ源モジュール、305…マグネット(マグネトロン)、310…導波システム、315…共振器キャビティ、320…放電管、330…導波セクション、345…窓、360…ハウジング、380…出口マニフォールド、405…蓋、438…アイソレータ、450…突出部分、452…フランジ、600…CVD装置、603…処理チャンバー、610…チャンバー本体、605a、605b…マグネトロン、613…壁部、619…ガスマニフォールド、635a、635b…誘電体窓。

Claims (20)

  1. 処理チャンバ内において台座の表面上に配置された基板を処理するように構成された基板処理装置と共に使用する遠隔マイクロ波プラズマ源モジュールであって、
    第1のパルス電源で動作し、マイクロ波パワーが1〜1.5kWのマイクロ波を供給する第1のマグネトロンを含むマグネトロンシステムと、
    前面壁と、前記前面壁に対向する背面壁と、第1の窓を有する第1の表面を有し、前記マイクロ波を共振させる共振器キャビティと、
    前記第1のマグネトロンに結合された第1の導波路を含み、前記第1の窓を介して前記共振器キャビティに結合され、前記マグネトロンと前記共振器キャビティの間で前記処理チャンバ内の前記台座の表面に実質的に平行に延在する前記第1の導波路は前記第1マグネトロンからのマイクロ波を前記第1の窓を介して前記共振器キャビティに送出する導波システムと、
    管長、管径、第1の開口及び第2の開口を有し、前記共振器キャビティ内に配置された第1のプラズマ放電管であって、前記第1の開口は前記前面壁に接続され、前記第2の開口は前記背面壁に接続され、前記マイクロ波の電場最大部は、前記処理チャンバ内の前記台座の表面に平行で前記第1の表面に実質的に平行な前記管長に沿って前記管径と重なり、前記第1のプラズマ放電管は、前記共振器キャビティ内で前記マイクロ波により活性化された反応性ガスからの放電ラジカル及び反応性ガスを受容する第1のプラズマ放電管とを備え、
    前記遠隔マイクロ波プラズマ源モジュールは、前記処理チャンバの蓋に装着可能であるモジュラーユニットとして構成され、前記蓋は前記台座の表面の上方において前記台座の表面に実質的に平行であり、且つ、前記遠隔マイクロ波プラズマ源モジュールは前記蓋に取り付け可能なマニフォールドを通じて前記放電管から前記処理チャンバにラジカルを放出するように構成されており、前記モジュラーユニットは、前記処理チャンバの前記蓋と同程度の底面積を有することを特徴とする遠隔マイクロ波プラズマ源モジュール。
  2. 前記第1の導波路は、第1の端部、この第1の端部に対向する第2の端部、第1の壁部、及びこの第1の壁部に対向する第2の壁部を備え、前記第1の導波路は前記第1の端部近傍の前記第1のマグネトロンに結合され、前記第2の端部近傍の前記第2の壁部の一部は前記第1の窓を含むことを特徴とする請求項1に記載の遠隔マイクロ波プラズマ源モジュール。
  3. 前記第1の壁部は、前記キャビティで前記マイクロ波に同調する少なくとも1つの同調スタブを含むことを特徴とする請求項2に記載の遠隔マイクロ波プラズマ源モジュール。
  4. 前記共振器キャビティは、頂部壁、底部壁、前記前面壁、前記背面壁、及び第1及び第2の側部壁を含む矩形のキャビティを備え、前記頂部壁は前記前面壁、前記背面壁、前記第1及び第2の側部壁に結合され、前記底部壁は前記前面、後面、第1及び第2の側壁に結合され、前記前面壁は前記背面壁に対向し、前記第1の側壁は前記第2の側壁に対向し、前記頂部壁は前記底部壁に対向し、前記第1の側壁は前記第1の窓を含む前記第1の面を含むことを特徴とする請求項2に記載の遠隔マイクロ波プラズマ源モジュール。
  5. 前記第1の開口は反応性ガスの入口として作用し、前記第2の開口は活性化された反応性ガスからのラジカルの出口として作用することを特徴とする請求項2に記載の遠隔マイクロ波プラズマ源モジュール。
  6. 前記第1の開口は反応性ガスの入口として作用し、前記第2の開口は反応性ガスに対する付加的な入口として作用し、前記第1の導波路は活性化された反応性ガスからのラジカルの出口として作用する第3の開口をさらに備えており、前記第3の開口は前記底部壁を介して配置されていることを特徴とする請求項4に記載の遠隔マイクロ波プラズマ源モジュール。
  7. 複数個のプラズマ放電管をさらに備え、
    前記第1のプラズマ放電管は前記複数個の放電管の1つであり、他の電場最大部はその長さ方向に沿う前記複数個の放電管の他の前記直径に重なるように、前記複数個のプラズマ放電管は前記共振器キャビティ内に配置され、前記複数個の放電管は前記第1及び第2の側壁に実質的に平行に配置され、前記共振器キャビティ内で前記マイクロ波により活性化された前記反応性ガスからの放電ラジカル及び反応性ガスを受容することを特徴とする請求項4に記載の遠隔マイクロ波プラズマ源モジュール。
  8. 前記共振器キャビティは、単一モードキャビティであることを特徴とする請求項1に記載の遠隔マイクロ波プラズマ源モジュール。
  9. 前記共振器キャビティは、多重モードキャビティであることを特徴とする請求項1に記載の遠隔マイクロ波プラズマ源モジュール。
  10. 前記マグネトロンシステムは、パルス電源で動作する第2のマグネトロンをさらに含み、前記第2のマグネトロンは前記第1のマグネトロンに対向する前記第1の端部近傍で前記第1の導波路に結合されることを特徴とする請求項2に記載の遠隔マイクロ波プラズマ源モジュール。
  11. 前記第1の導波路は、第3の壁部と、この第3の壁部に平行かつ対向する第4の壁部をさらに含むことを特徴とする請求項2に記載の遠隔マイクロ波プラズマ源モジュール。
  12. 前記マグネトロンシステムは、前記第1のパルス電源に関係して交互にパルス動作する第2のパルス電源で動作する第2のマグネトロンをさらに含み、前記共振器キャビティの前記第2の側壁は第2の窓を有し、前記導波システムは、第2の導波路をさらに含み、前記第2の導波路は、第3の端部、この第3の端部に対向する第4の端部、第5の壁部、及びこの第5の壁部に対向する第6の壁部を有し、前記第4の端部近傍の前記第6の壁部の一部は、前記第2の窓を介して前記共振器キャビティに結合され、前記第2の導波路は、前記第3の端部近傍の前記第2のマグネトロンに結合され、前記第2の導波路は、前記第2の窓を介して前記共振器キャビティ内に前記第2のマグネトロンからマイクロ波を送出することを特徴とする請求項4に記載の遠隔マイクロ波プラズマ源モジュール。
  13. 前記第1及び第2のマグネトロンは、交互にパルス動作するように活性化されることを特徴とする請求項12に記載の遠隔マイクロ波プラズマ源モジュール。
  14. 前記第3の端部は、前記第1及び第2のマグネトロンが互いに直接対向するように、前記第1端部に直接対向することを特徴とする請求項12に記載の遠隔マイクロ波プラズマ源モジュール。
  15. 前記第3の端部は、前記第1及び第2のマグネトロンが互いに斜めに対向するように、前記第1の端部に斜めに対向することを特徴とする請求項12に記載の遠隔マイクロ波プラズマ源モジュール。
  16. 前記マグネトロンシステムは、前記第1のパルス電源に関係して交互にパルス動作する第2のパルス電源で動作する第2マグネトロンをさらに含み、前記共振器キャビティの前記第2の側壁は第2の窓を有し、前記導波システムはさらに第2の導波路を含み、前記第2の導波路は、第3の端部、この第3の端部に平行でかつ対向する第4の端部、少なくとも1つの同調スタブを有する第5の壁部、前記第4の端部に近接する前記第6の壁部の一部は、前記共振器キャビティの前記第2の側壁に平行に隣接し、前記第2の導波路は、前記第3の端部に近接して前記第2のマグネトロンに接続することにより、前記第2の導波路の前記第6の壁部は、前記第2の窓を介して前記共振器キャビティ内に開口し、前記第2の導波路は、前記第2の窓を介してマイクロ波を前記第2マグネトロンから前記共振器キャビティに導くことを特徴とする請求項4に記載の遠隔マイクロ波プラズマ源モジュール。
  17. 前記第3の端部は、前記第1及び第2のマグネトロンが互いに直接対向するように、前記第1端部に直接対向することを特徴とする請求項16に記載の遠隔マイクロ波プラズマ源モジュール。
  18. 前記第3の端部は、前記第1及び第2マグネトロンが互いに斜めに対向するように、前記第1端部に斜めに対向することを特徴とする請求項16に記載の遠隔マイクロ波プラズマ源モジュール。
  19. 記遠隔マイクロ波プラズマ源モジュールは前記基板処理装置に装着されることを特徴とする請求項1に記載の遠隔マイクロ波プラズマ源モジュール。
  20. 前記共振器キャビティの前記壁部の少なくとも一つは、その位置を移動できることを特徴とする請求項4に記載の遠隔マイクロ波プラズマ源モジュール。
JP05385198A 1997-03-05 1998-03-05 遠隔マイクロ波プラズマ源モジュール Expired - Fee Related JP4230556B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/811,627 US6039834A (en) 1997-03-05 1997-03-05 Apparatus and methods for upgraded substrate processing system with microwave plasma source
US08/811627 1997-03-05

Publications (2)

Publication Number Publication Date
JPH10284296A JPH10284296A (ja) 1998-10-23
JP4230556B2 true JP4230556B2 (ja) 2009-02-25

Family

ID=25207086

Family Applications (1)

Application Number Title Priority Date Filing Date
JP05385198A Expired - Fee Related JP4230556B2 (ja) 1997-03-05 1998-03-05 遠隔マイクロ波プラズマ源モジュール

Country Status (5)

Country Link
US (3) US6039834A (ja)
EP (1) EP0863536A3 (ja)
JP (1) JP4230556B2 (ja)
KR (1) KR100528357B1 (ja)
TW (1) TW451286B (ja)

Families Citing this family (185)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6797188B1 (en) * 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
EP0989595A3 (en) * 1998-09-18 2001-09-19 Ims-Ionen Mikrofabrikations Systeme Gmbh Device for processing a surface of a substrate
JP2000124204A (ja) * 1998-10-20 2000-04-28 Tokyo Electron Ltd プラズマ中の負イオンの測定方法、プラズマ処理方法及びその装置
US6176198B1 (en) * 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
US6186154B1 (en) * 1998-12-07 2001-02-13 Taiwan Semiconductor Manufacturing Company Find end point of CLF3 clean by pressure change
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6163007A (en) * 1999-03-19 2000-12-19 Applied Materials, Inc. Microwave plasma generating apparatus with improved heat protection of sealing O-rings
US20020129902A1 (en) * 1999-05-14 2002-09-19 Babayan Steven E. Low-temperature compatible wide-pressure-range plasma flow device
US7091605B2 (en) * 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
JP2001168086A (ja) * 1999-12-09 2001-06-22 Kawasaki Steel Corp 半導体装置の製造方法および製造装置
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
TW451351B (en) * 2000-01-31 2001-08-21 Mosel Vitelic Inc Method of removing the polymer byproduct accumulated on the bottom electrode of the etch reaction chamber
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
JP4730572B2 (ja) * 2000-08-21 2011-07-20 株式会社アルバック プラズマ成膜装置及びそのクリーニング方法
DE10041698A1 (de) * 2000-08-24 2002-03-14 Infineon Technologies Ag Verfahren zur Herstellung einer ferroelektrischen Festkörperschicht unter Verwendung eines Hilfsstoffes
KR100705189B1 (ko) * 2000-12-30 2007-04-06 주식회사 하이닉스반도체 반도체 소자의 박막 형성 방법
US20040221800A1 (en) * 2001-02-27 2004-11-11 Tokyo Electron Limited Method and apparatus for plasma processing
US6810886B2 (en) * 2001-05-24 2004-11-02 Applied Materials, Inc. Chamber cleaning via rapid thermal process during a cleaning period
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
US6868856B2 (en) * 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US6719641B2 (en) * 2002-04-26 2004-04-13 Nicklaus Golf Equipment Company Golf iron having a customizable weighting feature
US20060237398A1 (en) * 2002-05-08 2006-10-26 Dougherty Mike L Sr Plasma-assisted processing in a manufacturing line
AU2003245264A1 (en) * 2002-05-08 2003-11-11 Dana Corporation Plasma-assisted joining
US20060233682A1 (en) * 2002-05-08 2006-10-19 Cherian Kuruvilla A Plasma-assisted engine exhaust treatment
US20060228497A1 (en) * 2002-05-08 2006-10-12 Satyendra Kumar Plasma-assisted coating
TW200405770A (en) * 2002-08-30 2004-04-01 Axcelis Tech Inc Gas tube end cap for a microwave plasma generator
US20040231798A1 (en) * 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
KR100542740B1 (ko) 2002-11-11 2006-01-11 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
US7189940B2 (en) * 2002-12-04 2007-03-13 Btu International Inc. Plasma-assisted melting
US20040129385A1 (en) * 2003-01-02 2004-07-08 International Business Machines Corporation Pre-loaded plasma reactor apparatus and application thereof
CN101457338B (zh) * 2003-02-14 2011-04-27 应用材料股份有限公司 利用含氢自由基清洁自生氧化物的方法和设备
WO2004077519A2 (en) * 2003-02-27 2004-09-10 Mukundan Narasimhan Dielectric barrier layer films
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US20040216845A1 (en) * 2003-05-02 2004-11-04 Czeslaw Golkowski Non-thermal plasma generator device
US6835664B1 (en) 2003-06-26 2004-12-28 Micron Technology, Inc. Methods of forming trenched isolation regions
US7431772B2 (en) * 2004-03-09 2008-10-07 Applied Materials, Inc. Gas distributor having directed gas flow and cleaning method
US7164095B2 (en) * 2004-07-07 2007-01-16 Noritsu Koki Co., Ltd. Microwave plasma nozzle with enhanced plume stability and heating efficiency
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US20060021633A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
US20060052883A1 (en) * 2004-09-08 2006-03-09 Lee Sang H System and method for optimizing data acquisition of plasma using a feedback control module
WO2006127037A2 (en) * 2004-11-05 2006-11-30 Dana Corporation Atmospheric pressure processing using microwave-generated plasmas
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US8193096B2 (en) * 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
JP4727266B2 (ja) * 2005-03-22 2011-07-20 東京エレクトロン株式会社 基板処理方法および記録媒体
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US7247582B2 (en) * 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
JP4576291B2 (ja) * 2005-06-06 2010-11-04 株式会社日立ハイテクノロジーズ プラズマ処理装置
GB0522088D0 (en) 2005-10-28 2005-12-07 Boc Group Plc Plasma abatement device
JP4837394B2 (ja) * 2006-02-17 2011-12-14 株式会社サイアン プラズマ発生装置およびそれを用いるワーク処理装置
TW200742506A (en) * 2006-02-17 2007-11-01 Noritsu Koki Co Ltd Plasma generation apparatus and work process apparatus
US20070266946A1 (en) * 2006-05-22 2007-11-22 Byung-Chul Choi Semiconductor device manufacturing apparatus and method of using the same
KR101501426B1 (ko) * 2006-06-02 2015-03-11 어플라이드 머티어리얼스, 인코포레이티드 차압 측정들에 의한 가스 유동 제어
FR2904726B1 (fr) * 2006-08-07 2008-12-26 Sidel Participations Procede et dispositif pour le depot de couche barriere par plasma froid et machine utilisant un tel dispositif
TW200816881A (en) * 2006-08-30 2008-04-01 Noritsu Koki Co Ltd Plasma generation apparatus and workpiece processing apparatus using the same
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
WO2009104379A1 (ja) * 2008-02-18 2009-08-27 三井造船株式会社 原子層成長装置および原子層成長方法
JP4585574B2 (ja) * 2008-02-26 2010-11-24 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7902625B2 (en) * 2008-04-21 2011-03-08 International Business Machines Corporation Metal-gate thermocouple
US20100074810A1 (en) * 2008-09-23 2010-03-25 Sang Hun Lee Plasma generating system having tunable plasma nozzle
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7967913B2 (en) * 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
US7921804B2 (en) * 2008-12-08 2011-04-12 Amarante Technologies, Inc. Plasma generating nozzle having impedance control mechanism
US20100201272A1 (en) * 2009-02-09 2010-08-12 Sang Hun Lee Plasma generating system having nozzle with electrical biasing
CN101851746A (zh) * 2009-04-03 2010-10-06 鸿富锦精密工业(深圳)有限公司 磁控式溅镀靶及磁控式溅镀系统
US20100254853A1 (en) * 2009-04-06 2010-10-07 Sang Hun Lee Method of sterilization using plasma generated sterilant gas
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
CN102652351B (zh) * 2009-12-11 2016-10-05 诺发系统有限公司 在高剂量植入剥除前保护硅的增强式钝化工艺
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
WO2011125524A1 (ja) * 2010-03-31 2011-10-13 東京エレクトロン株式会社 プラズマ処理装置用誘電体窓、プラズマ処理装置、およびプラズマ処理装置用誘電体窓の取り付け方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8746284B2 (en) * 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
KR101241049B1 (ko) 2011-08-01 2013-03-15 주식회사 플라즈마트 플라즈마 발생 장치 및 플라즈마 발생 방법
US10049881B2 (en) * 2011-08-10 2018-08-14 Applied Materials, Inc. Method and apparatus for selective nitridation process
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
JP2013069603A (ja) * 2011-09-26 2013-04-18 Tokyo Electron Ltd マイクロ波処理装置および被処理体の処理方法
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
NL2010488C2 (en) 2012-03-20 2014-10-21 Mapper Lithography Ip Bv Arrangement and method for transporting radicals.
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
EP3150032A4 (en) 2014-05-30 2018-01-24 Pelican Biotech&Chemical Labs Pvt. Ltd. Novel design of multiple microwave/radiofrequency (mw/rf) magnetrons heated single vessel/reactor/chamber and its various applications including a novel dehydration process employing solvent extraction and solvent recovery
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
RU2595156C2 (ru) * 2014-12-15 2016-08-20 Федеральное государственное бюджетное научное учреждение "Федеральный исследовательский центр Институт прикладной физики Российской академии наук" (ИПФ РАН) Плазменный свч реактор для газофазного осаждения алмазных пленок в потоке газа (варианты)
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9736920B2 (en) * 2015-02-06 2017-08-15 Mks Instruments, Inc. Apparatus and method for plasma ignition with a self-resonating device
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
KR102315304B1 (ko) * 2016-03-22 2021-10-19 도쿄엘렉트론가부시키가이샤 플라즈마 처리 시스템 내의 온도 제어를 위한 시스템 및 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10366859B2 (en) * 2016-08-24 2019-07-30 Varian Medical Systems, Inc. Electromagnetic interference containment for accelerator systems
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
JP6913060B2 (ja) * 2018-07-24 2021-08-04 株式会社日立ハイテク プラズマ処理装置及びプラズマ処理方法
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10751765B2 (en) 2018-08-13 2020-08-25 Applied Materials, Inc. Remote plasma source cleaning nozzle for cleaning a gas distribution plate
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN109920717B (zh) * 2019-03-08 2022-06-17 拓荆科技股份有限公司 晶圆处理装置
KR102144094B1 (ko) * 2019-07-26 2020-08-12 주식회사 엠디케이 블레이드를 갖는 마이크로 웨이브 챔버
US11373845B2 (en) * 2020-06-05 2022-06-28 Applied Materials, Inc. Methods and apparatus for symmetrical hollow cathode electrode and discharge mode for remote plasma processes
KR20220099004A (ko) 2021-01-05 2022-07-12 삼성전자주식회사 웨이퍼 처리 장치

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3906892A (en) 1971-04-27 1975-09-23 Cit Alcatel Plasma deposition of thin layers of substrated or the like
FR2245779B1 (ja) 1973-09-28 1978-02-10 Cit Alcatel
JPS5782955A (en) * 1980-11-12 1982-05-24 Hitachi Ltd Microwave plasma generating apparatus
AU544534B2 (en) * 1983-06-14 1985-06-06 Toyota Jidosha Kabushiki Kaisha Plasma coating
JPS6074626A (ja) * 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
US5364519A (en) * 1984-11-30 1994-11-15 Fujitsu Limited Microwave plasma processing process and apparatus
JPH0740566B2 (ja) * 1986-02-04 1995-05-01 株式会社日立製作所 プラズマ処理方法及びその装置
US4736304A (en) * 1986-04-07 1988-04-05 Energy Conversion Devices, Inc. Method and apparatus for operating one or more deposition systems
JPS63114973A (ja) * 1986-10-31 1988-05-19 Canon Inc マイクロ波プラズマcvd法による機能性堆積膜の形成装置
KR880013424A (ko) * 1987-04-08 1988-11-30 미타 가츠시게 플라즈머 장치
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JPH0192375A (ja) * 1987-10-05 1989-04-11 Canon Inc マイクロ波プラズマcvd法による機能性堆積膜形成装置
US4996077A (en) * 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US5084126A (en) * 1988-12-29 1992-01-28 Texas Instruments Incorporated Method and apparatus for uniform flow distribution in plasma reactors
US4943345A (en) * 1989-03-23 1990-07-24 Board Of Trustees Operating Michigan State University Plasma reactor apparatus and method for treating a substrate
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US4946549A (en) * 1989-10-27 1990-08-07 At&T Bell Laboratories Method for fabricating or modifying an article comprising the removal of a polymer coating
JP2966029B2 (ja) * 1990-03-30 1999-10-25 新日本無線株式会社 マイクロ波プラズマcvd装置
US5008593A (en) * 1990-07-13 1991-04-16 The United States Of America As Represented By The Secretary Of The Air Force Coaxial liquid cooling of high power microwave excited plasma UV lamps
FR2665323B1 (fr) * 1990-07-27 1996-09-27 Reydel J Dispositif de production d'un plasma.
JP3056772B2 (ja) * 1990-08-20 2000-06-26 株式会社日立製作所 プラズマの制御方法ならびにプラズマ処理方法およびその装置
US5082517A (en) * 1990-08-23 1992-01-21 Texas Instruments Incorporated Plasma density controller for semiconductor device processing equipment
US5273609A (en) * 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
US5173641A (en) * 1990-09-14 1992-12-22 Tokyo Electron Limited Plasma generating apparatus
DE69123808T2 (de) * 1990-09-26 1997-06-26 Hitachi Ltd Verfahren und Gerät zur Bearbeitung mittels Mikrowellenplasma
US5111111A (en) * 1990-09-27 1992-05-05 Consortium For Surface Processing, Inc. Method and apparatus for coupling a microwave source in an electron cyclotron resonance system
JPH04144992A (ja) * 1990-10-01 1992-05-19 Idemitsu Petrochem Co Ltd マイクロ波プラズマ発生装置およびそれを利用するダイヤモンド膜の製造方法
US5217570A (en) * 1991-01-31 1993-06-08 Sony Corporation Dry etching method
US5234526A (en) * 1991-05-24 1993-08-10 Lam Research Corporation Window for microwave plasma processing device
DE4132558C1 (ja) * 1991-09-30 1992-12-03 Secon Halbleiterproduktionsgeraete Ges.M.B.H., Wien, At
US5211995A (en) * 1991-09-30 1993-05-18 Manfred R. Kuehnle Method of protecting an organic surface by deposition of an inorganic refractory coating thereon
US5234529A (en) * 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
US5282899A (en) * 1992-06-10 1994-02-01 Ruxam, Inc. Apparatus for the production of a dissociated atomic particle flow
US5306985A (en) * 1992-07-17 1994-04-26 Sematech, Inc. ECR apparatus with magnetic coil for plasma refractive index control
AU5098293A (en) * 1992-09-02 1994-03-29 University Of North Carolina At Chapel Hill, The Method for plasma processing at high pressures
US5389153A (en) * 1993-02-19 1995-02-14 Texas Instruments Incorporated Plasma processing system using surface wave plasma generating apparatus and method
US5567241A (en) * 1993-04-30 1996-10-22 Energy Conversion Devices, Inc. Method and apparatus for the improved microwave deposition of thin films
US5387288A (en) * 1993-05-14 1995-02-07 Modular Process Technology Corp. Apparatus for depositing diamond and refractory materials comprising rotating antenna
IT1261918B (it) * 1993-06-11 1996-06-04 Cetev Cent Tecnolog Vuoto Struttura per deposizione reattiva di metalli in impianti da vuoto continui e relativo processo.
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
US5498308A (en) * 1994-02-25 1996-03-12 Fusion Systems Corp. Plasma asher with microwave trap
EP0697467A1 (en) * 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US6029602A (en) * 1997-04-22 2000-02-29 Applied Materials, Inc. Apparatus and method for efficient and compact remote microwave plasma generation

Also Published As

Publication number Publication date
JPH10284296A (ja) 1998-10-23
KR100528357B1 (ko) 2006-01-27
TW451286B (en) 2001-08-21
KR19980079855A (ko) 1998-11-25
US6039834A (en) 2000-03-21
US6230652B1 (en) 2001-05-15
EP0863536A2 (en) 1998-09-09
EP0863536A3 (en) 2000-11-02
US6361707B1 (en) 2002-03-26

Similar Documents

Publication Publication Date Title
JP4230556B2 (ja) 遠隔マイクロ波プラズマ源モジュール
US6026762A (en) Apparatus for improved remote microwave plasma source for use with substrate processing systems
TWI390605B (zh) Processing device
KR100801377B1 (ko) 희석 원격 플라즈마 세척
KR100960424B1 (ko) 마이크로파 플라즈마 처리 장치
KR100927913B1 (ko) 기판 탑재 기구 및 기판 처리 장치
US8075789B1 (en) Remote plasma cleaning source having reduced reactivity with a substrate processing chamber
US7897009B2 (en) Plasma processing apparatus
KR100794806B1 (ko) 플라즈마 처리 장치 및 방법과, 슬롯 안테나
KR101002513B1 (ko) 플라즈마 처리 장치
US20030066486A1 (en) Microwave heat shield for plasma chamber
US10968513B2 (en) Plasma film-forming apparatus and substrate pedestal
KR100886030B1 (ko) 처리 장치 및 덮개의 개폐 기구
KR20080021669A (ko) 플라즈마 처리 장치
JP2002134417A (ja) プラズマ処理装置
JP2002231637A (ja) プラズマ処理装置
JP2003203869A (ja) プラズマ処理装置
US20110114021A1 (en) Planar antenna member and plasma processing apparatus including the same
JP2002146538A (ja) 外付けイオン化補助cvd装置
US20010042513A1 (en) Apparatus for improved remote microwave plasma source for use with substrate processing systems
WO2017149738A1 (ja) プラズマ処理装置及びプラズマ処理用反応容器の構造
JPH1197197A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080122

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080422

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080425

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080522

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20080522

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080708

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20081008

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20081014

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081020

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20081118

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20081204

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111212

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111212

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111212

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121212

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121212

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131212

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees