KR100528357B1 - 마이크로파플라즈마공급원을구비한기판처리시스템을개선하기위한장치및방법 - Google Patents

마이크로파플라즈마공급원을구비한기판처리시스템을개선하기위한장치및방법 Download PDF

Info

Publication number
KR100528357B1
KR100528357B1 KR1019980007012A KR19980007012A KR100528357B1 KR 100528357 B1 KR100528357 B1 KR 100528357B1 KR 1019980007012 A KR1019980007012 A KR 1019980007012A KR 19980007012 A KR19980007012 A KR 19980007012A KR 100528357 B1 KR100528357 B1 KR 100528357B1
Authority
KR
South Korea
Prior art keywords
plasma
magnetron
microwave
processing chamber
discharge tube
Prior art date
Application number
KR1019980007012A
Other languages
English (en)
Other versions
KR19980079855A (ko
Inventor
추토무 타나카
무컬 켈카
케빈 페어베른
하리 폰네칸티
데이비드 청
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR19980079855A publication Critical patent/KR19980079855A/ko
Application granted granted Critical
Publication of KR100528357B1 publication Critical patent/KR100528357B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream

Abstract

본 발명에 따라서, 챔버를 효율적으로 세정할 수 있는 플라즈마를 공급하는 개선된 CVD 시스템용 장치 및 방법이 제공된다. 본 발명의 개선된 CVD 시스템을 사용함으로써 기판 상의 층을 에칭하거나 피복할 수 있다. 특정 실시예에서, 본 발명은 성능 개선을 목적으로, 용이하게 해체될 수 있고, 편리하게 조작될 수 있는 저렴한 마이크로파 플라즈마 공급원을 기존의 CVD 장치에 제공한다. 바람직한 실시예에서, 상기 원격 플라즈마 공급원은 플라즈마 어플리케이터 튜브를 액체 냉각시킬 필요 없이 플라즈마를 효율적으로 공급한다. 다른 실시예에서, 본 발명은 필요 시 챔버를 효율적으로 세정하기 위한 플라즈마를 발생시킬 수 있는 개선 또는 개장된 CVD 장치를 제공한다.

Description

마이크로파 플라즈마 공급원을 구비한 기판 처리 시스템을 개선하기 위한 장치 및 방법 {APPARATUS AND METHODS FOR UPGRADED SUBSTRATE PROCESSING SYSTEM WITH MICROWAVE PLASMA SOURCE}
본 발명은 기판 처리에 관한 것이며, 특히 기판 처리 시스템을 개선하기 위한 장치 및 방법에 관한 것이다. 본 발명의 일부 실시예는 기판 처리 시스템에서 챔버를 세정하는데 특히 유용하다. 그러나 본 발명의 또 다른 실시예 역시 챔버 내에서 처리될 기판 상에 필름을 에칭하거나 증착하는데 특히 유용하다.
근래의 반도체 장치 제조에서 주요 단계의 하나는 기판 또는 웨이퍼 상에 산화층과 같은 층을 형성하는 단계이다. 공지된 바와 같이, 산화층은 화학 기상 증착(CVD)에 의해 증착될 수 있다. 종래의 열 CVD 공정에서는 반응 가스가 기판 표면에 공급되고, 이때 열 유기 화학 반응이 일어나 원하는 필름을 만들어낸다. 종래의 플라즈마 CVD(PECVD) 공정에서는, 기판 표면에 근접한 반응 영역에 RF 에너지와 같은 에너지를 인가함으로써 반응성 가스가 해리되고, 이에 따라 높은 반응성 플라즈마를 발생시켜 원하는 필름을 형성한다.
CVD 처리 시, 처리 챔버 내부로 보내진 반응성 가스는 처리될 기판 표면에 실리콘 산화물 또는 질화물 같은 층을 형성한다. 그러나 원하지 않는 산화물이나 질화물의 잔류물이, 가스 혼합 영역과 가스 분포 다기관 사이의 영역 내부, 배기 채널 내부 또는 그 둘레, 또는 CVD 공정 시 처리 챔버 벽 위와 같은 CVD 장치 내 어느 곳에서나 증착될 수 있다. CVD 장치에서 잔류물을 세정하지 않으면 시간이 지남에 따라 공정의 질과 신뢰도가 떨어지고 결함 있는 웨이퍼가 얻어진다. 일반적으로, 두 가지 형태의 세정 공정이 사용된다. 매 웨이퍼 또는 매 n개의 웨이퍼의 처리 시마다 이루어지는 제 1 세정 공정에서는 에칭 가스, 선택적으로는 플라즈마로 이루어지는 에칭 가스를 사용하여, 챔버 벽 또는 다른 영역으로부터 잔류물을 제거한다. 제 1 세정 공정보다는 자주 실시되지 않는, 제 2 세정 공정은 처리 챔버를 개방하는 단계와, 잔류물이 축적된 챔버 벽, 배기 영역 및 다른 영역을 포함한 반응기 전체를 특정 천이나 세정 유체를 가지고 수동으로 닦아내는 단계를 포함한다. 이러한 빈번한 세정 공정이 없다면, CVD 장치에서 생성되는 잔류물로부터 발생하는 불순물은 웨이퍼 상으로 이동할 것이다. 기판 위의 소자를 손상시키는 불순물은, 구조체(features)의 크기가 작아지고 있는 근래의 소자에 있어서 관심이 점차 증가되는 문제이다. 따라서 기판 처리 작업을 원활하게 하고 소자의 수율을 높이며 제품 성능을 개선하기 위해서는 CVD 장치를 적절히 세정하는 것이 중요하다.
종래의 CVD 장치에 대해 효과적인 챔버 세정이 이루어진다고 하더라도, 효율의 문제에 부딪치게 된다. 평행판으로 용량 결합된 RF 플라즈마 CVD 장치와 같은 일부 종래의 CVD 장치는 인시츄 방식으로 플라즈마를 발생시키는 내부 RF 공급원을 가지는 처리 챔버를 가진다. 따라서 제 1 세정 공정은 이러한 CVD 장치에서 처리 챔버를 개방하지 않고 시행된다. 그러나 낮은 에칭 속도를 보상하도록 세정 작업 시간이 늘어나지 않는다면, 처리 챔버 내에서 발생된 플라즈마는 잔류물이 축적된 모든 영역을 세정하기에는 충분하지 못하다. 그리고 이는 기판 처리량 및 전체 효율에 악영향을 미친다. 더욱이 RF 플라즈마를 사용하면 CVD 장치의 금속성 부분에 이온 충돌을 초래하여, 가스 분배 다기관 및 내부 챔버 벽에 손상을 일으키며 금속 오염 문제를 발생시킬 수도 있다.
부피가 크고 다소 취약한(fragile) 원격 마이크로파 플라즈마 시스템에 연결된 별도의 처리 챔버를 가지는 종래의 또 다른 CVD 장치에는 다른 효율 문제가 있다. 이러한 CVD 장치에서, 플라즈마 어플리케이터 튜브(plasma applicator tube), 전력원이 구비된 마그네트론, 절연체, 자외선(UV) 램프, 부피가 큰 도파관(waveguide) 및 동조 어셈블리를 포함하는 전체 원격 마이크로파 플라즈마 공급원은 처리 챔버 뚜껑에 고정되어 위치하며 챔버의 측면에서 바닥을 향해 연장된다. 마이크로파 플라즈마의 높은 브레이크다운 효율에 의해, 용량성 RF 플라즈마로 얻어지는 것보다 에칭률(약 2㎛/min 차수)이 더 높기 때문에, 이러한 원격 마이크로파 플라즈마 시스템은 이온 충돌 없이 효과적으로 잔류물을 세정할 수 있는 플라즈마를 제공한다. 이러한 원격 마이크로파 플라즈마 시스템은 일반적으로 비싸고 높은 와트수의 연속파(CW, continuous wave) 전력원을 사용하여, 마그네트론이 2.5∼6킬로와트(kW)의 마이크로파 전력을 제공한다. 그러나 이들 CVD 장치에 대한 (필요하기는 하나 기판 제조에 심각한 중단이 되는) 제 2 세정 공정의 실시에는 시간이 더 많이 소요되는데, 이는 부피가 크고 취약한 원격 마이크로파 플라즈마 시스템이 챔버의 상층으로부터 조심스럽게 이동되어야 하기 때문이며, 챔버는 수동 세정을 위해 개방되어야 한다. 특히 원격 플라즈마 공급원 조립체 전체는 챔버의 바닥 근처와 처리 챔버의 상층 부근에서 풀려져 있어야 한다. 이러한 조립체는 세정이 이루어지는 동안, 전체 조립체의 임의의 부근에 손상을 가하지 않고 처리 챔버로부터 주의 깊게 추출될 필요가 있다. 때때로 부피가 큰 원격 공급원을 이동시키는 까다로운 공정은 예방 세정의 수행에 필요한 시간을 더 많게 하며, 원격 플라즈마 공급원은 많이 손상되며 수리비용도 많이 든다. 더욱이, 부피가 큰 원격 공급원을 이동시키는 것은 대규모의 도파관 시스템을 이동시키는 것이고, 도파관 시스템을 교체하는 데에는 도파관 파손을 검사하기 위해 많은 시간이 소모되는 품질 제어 공정이 필요하다.
전술한 효율 문제 이외에도, 종래의 원격 마이크로파 플라즈마 시스템을 가지는 CVD 장치를 사용하면 유지비용이 증가되는 것을 포함, 다른 문제점이 있다. 특히, 어플리케이터 튜브의 액체 냉각이 필요한 구조의 조립체인 이러한 종래의 도파관 플라즈마 시스템은 어플리케이터 튜브 내의 비교적 작은 공간(예를 들어 지름 1인치인 어플리케이터에서 2인치의 길이방향 부분(lengthwise section)) 내에서 플라즈마를 생성시키며 그 결과 높은 전력 밀도, 높은 비용, 높은 도파관 결합 효율을 얻기 위해 직류(DC) 마이크로파 전력원이 필요하다. 높은 전력 밀도의 전력원을 작동시키는 데는 비용이 많이 든다. 게다가, 높은 전력원을 사용하는 마그네트론이 구비되는 좁은 공간 내에서 형성되는 플라즈마는 플라즈마 밀도가 높으며, 필요한 냉각 구조에는 수랭식 또는 액체 냉각 시스템의 사용이 필요하다. 일반적으로, 액체 냉각식 시스템은 예를 들어 냉각 유체를 제공해야 하는 등 값이 비싸고 유지비용도 높다. 또 액체 냉각식 시스템에는 누출의 문제가 있다. 이러한 누출은 장치를 부식시키며, 처리된 기판의 품질의 떨어뜨린다. 더욱이 부식 손상 때문에 플라즈마 공급원 내 부품의 빈번한 세정 또는 교체가 필요할 수도 있다. 부식이 심한 경우에는, 전체 원격 플라즈마 공급원 또는 원격 플라즈마 공급원에 근접한 또 다른 장치가 교체되어야 할 수도 있다. 이러한 세정 및 교체 과정 역시 기판 제조에 방해가 된다. 이러한 지연은 제조자에게는 경제적으로 나쁜 영향을 준다. 또 액체 냉각식의 시스템을 위한 처리 챔버의 유지에는 플라즈마 공급원의 제거, 시간이 걸리고 복잡한 공정이 필요하여, 전체 시간이 길어지고 제조가 방해된다.
전술한 내용으로 보아, 경제적이고 효율적으로 CVD 장치를 세정할 수 있으며 보수 유지 세정에 필요한 시간을 줄이기 위해 쉽게 조종할 수 있고 제거 가능한 모듈식의 편리한 크기의 원격 마이크로파 플라즈마 조립체를 가지는 것이 바람직하다. 또 비용은 최소로 하면서도 세정 능력은 개선시키기 위해, 기존 CVD 장치에 대해 추가로 또는 개장에 의해, 상대적으로 저렴하면서도 성능은 우수한 원격 마이크로파 플라즈마 공급원을 제공하는 것도 바람직하다.
본 발명은 구체적인 실시예에 따라 챔버를 효과적으로 세정할 수 있는, 마이크로파에 의해 발생되는 플라즈마를 제공하는 개선된 CVD 시스템용 장치 및 방법을 제공하는 것이다. 에칭 또는 기판 위에의 증착 역시도 본 발명의 개선된 CVD 시스템에 의해 이루어질 수 있다. 구체적인 실시예에서 본 발명은, 분리가 쉽고 취급이 용이하며 상대적으로 저렴한 마이크로파 플라즈마 공급원을, 기존의 CVD 장치에 대해 추가물로서 또는 개장물(retrofit)로서 제공하는 것이다. 바람직한 실시예에서, 원격 마이크로파 플라즈마 공급원은 어플리케이터 튜브의 액체 냉각이 필요 없이 플라즈마를 효과적으로 제공한다. 또 다른 실시예에서 본 발명은, 필요할 때 챔버를 효과적으로 세정할 수 있는 플라즈마를 생성할 수 있는 개선된 CVD 장치 또는 기존의 CVD 장치에 대한 개조를 제공한다.
첨부된 도면을 참조하여 이러한 본 발명의 실시예 및 다른 실시예와 다른 특성 및 장점을 보다 자세히 설명한다.
1. CVD 시스템
본 발명의 특정 실시예는 다양한 화학 기상 증착(CVD) 처리기에 사용된다. 본 발명에 따라 사용되거나 개장될 수 있는 적절한 CVD 장치가 도 1(a) 및 도 1(b)에 도시되어 있는데, 이들 도면은 챔버 벽(15a)과 챔버 뚜껑 조립체(15b)를 포함하는 진공 챔버 즉 처리 챔버(15)를 가지는 CVD 시스템(10)의 수직 단면도이다. 챔버 벽(15a) 및 챔버 뚜껑 조립체(15b)는 도 1(c) 및 도 1(d)에 도시되어 있다.
반응기(10)는, 처리 챔버 중앙의 가열된 받침대(heated pedestal, 12)에 놓여 있는 (도시되지 않은) 기판에 처리 가스를 분배하기 위한 가스 분배 다기관(11)을 포함한다. 처리 시, (예를 들어 반도체 웨이퍼인) 기판은 받침대(12)의 편평한 (또는 약간 볼록한) 표면(12a)에 위치된다. 받침대(12)는 (도 1a에 도시된) 하부의 장착/탈착 위치와 (도 1a의 점선 14 또는 도 1b에 도시된) 상부의 처리 위치 사이에서 제어 가능하게 이동될 수 있으며, 처리 위치는 다기관(11)에 인접되어 있다. (도시되지 않은) 중심판(centerboard)은 웨이퍼 위치에 대한 정보를 제공하는 센서를 포함한다.
증착 가스 및 캐리어 가스는 종래의 편평하고 원형인 가스 분배 페이스 플레이트(face plate, 13a)의 천공된 구멍(13b)(도 1d)을 통해 챔버(15) 내로 도입된다. 보다 구체적으로 증착 처리 가스는, 유입 다기관(11)을 통해, 종래의 천공된 블로커 플레이트(blocker plate, 42)를 통해, 그리고 가스 분배 페이스 플레이트(13a)의 관통 구멍(13b)을 통해 (도 1b에 화살표 40으로 도시된 것과 같이) 챔버(15) 내로 도입된다.
증착 가스 및 캐리어 가스는, 다기관에 도달하기 전에, 가스 혼합 블록 또는 가스 공급원(7)에서부터 (도 1b의) 가스 공급 라인(8)을 통해 가스 혼합 블록 또는 시스템(9)으로 유입되며, 여기서 혼합되고 다기관(11)으로 보내진다. 일반적으로, 각 처리 가스의 공급 라인은 (i)챔버 내부로 처리 가스의 흐름을 자동 또는 수동으로 차단하는데 사용될 수 있는 (도시되지 않은) 몇몇 안전 차단 밸브, 및 (ii)공급 라인을 통해 가스의 흐름을 측정하는 (도시되지 않은) 질량 유동 제어기를 포함한다. 독성 가스가 공정에서 사용될 때, 일부 안전 차단 밸브는 종래의 구조에서 각각의 가스 공급 라인 위에 위치된다.
반응로(10) 내에서 이루어지는 증착 공정은 열 공정 또는 플라즈마 강화 공정(plasma-enhanced process)일 것이다. 플라즈마 강화 공정에서, RF 전력원(44)은 페이스 플레이트(13a)와 받침대(12) 사이의 원형 영역 내에서 플라즈마를 형성하기 위해 처리 가스 혼합물을 여기시키도록 하기 위해 가스 분배 페이스 플레이트(13a)와 받침대(12) 사이에 전력을 공급한다. (이 영역은 "반응 영역"으로 지칭된다.) 플라즈마의 조성물은 받침대(12)에 지지된 반도체 웨이퍼의 표면에 원하는 필름을 증착하는데 사용된다. RF 전력원(44)은 진공 챔버(15) 내부로 도입된 반응물의 분해를 강화시키기 위해 13.56MHz의 높은 RF 주파수(RF1)와 360MHz의 낮은 RF 주파수(RF2)에서 전력을 공급하는 혼합 주파수 RF 전력원이다. 물론, RF 전력원(44)은 챔버(15) 내부로 도입된 반응물의 분해를 강화시키기 위해 다기관(11)에 단일 또는 혼합 주파수 RF 전력(또는 다른 소정의 변환)에 공급될 수 있다. 열 공정에 있어서, RF 전력원(44)은 이용되지 않으며, 처리 가스 혼합물은 받침대(12) 상에 지지된 반도체 웨이퍼의 표면 위에 필름을 증착하기 위해 반응하며, 반응에 필요한 열 에너지를 제공하기 위해 가열된다.
플라즈마 증착 공정 시, 플라즈마는 배기 통로(23) 및 차단 밸브(24)를 둘러싸고 있는 챔버 몸체(15)의 벽을 포함, 전체 반응로(10)를 가열시킨다. 열 증착 공정 동안, 가열된 받침대(12)는 반응로(10)를 가열시킨다. 플라즈마가 작동되지 않을 때 또는 열 증착 공정 시, 고온의 액체는 챔버를 상승된 온도에 유지시키기 위해 반응로(10)의 벽(15)을 통해 순환된다. 챔버 벽(15A)을 가열시키는데 사용되는 유체에는 일반적인 유체 형태, 예를 들어 수성(water-based) 에틸렌 글리콜 또는 유성(oil-based) 열전달 유체가 포함한다. 상기 가열은 바람직하지 못한 반응물 제품의 응축을 감소시키거나 제거하고 냉각 진공 통로의 벽 상에 응축이 발생하는 것 또는 가스 흐름이 없는 동안 공정 챔버 내부로 되돌아오는 처리 가스 또는 오염 인자의 휘발성 제품의 제거를 개선시킨다.
반응 제품을 포함한, 층 내에 증착되지 않은 가스 혼합물의 잔여물은 (도시되지 않은) 진공 펌프에 의해 챔버로부터 배기된다. 특히, 환형의 배기 플리넘(exhaust plenum, 17) 내부로 반응 영역을 둘러싼 환형의 슬롯 형상의 오리피스(16)를 통해 가스가 배출된다. 환형의 슬롯(16) 및 플리넘(17)은 (벽 위에 상부 절연 라이닝(19)을 포함한) 챔버 원형 측벽(15a)의 상층과 원형의 챔버 뚜껑(20)의 바닥 사이의 간극에 의해 형성된다. 슬롯 오리피스(16) 및 플리넘(17)의 360ㅀ원형 대칭 및 균일도는 웨이퍼 상에 균일한 필름을 증착하기 위해 웨이퍼 위로 처리 가스의 균일한 흐름을 가지는 것이 중요하다.
배기 플리넘(17)의 측면 연장 부분(21) 아래의 가스 흐름은 (도시되지 않은) 조망 포트(viewing port)를 지나, 아래로 연장되는 가스 통로(23)를 통해서 (하부 챔버 벽(15a)에 대해 일체로 형성된) 진공 차단 밸브(24)를 지나, (도시되지 않은) 전방 라인을 통해 (도시되지 않은) 외부 진공 펌프에 연결된 배기 출구(25) 내부로 들어간다.
저항 가열된 받침대(12)의 웨이퍼 지지 플래터(wafer support platter)는 평행한 동심원의 형태로 두 번 완전히 감겨 내장된 단일 루프 가열기 부재를 사용하여 가열된다. 가열기 부재의 외부는 지지 플래터의 주변부에 인접하여 작동하며, 내부는 반지름이 작은 동심원의 경로 상에서 작동된다. 가열기 부재의 배선은 받침대(12)의 스템을 통해 통과한다. 받침대(12)는 알루미늄, 세라믹, 또는 그 혼합물을 포함하는 재료로 제조된다.
일반적으로, 챔버 라이닝의 일부 또는 전부, 가스 유입구 다기관 페이스 플레이트, 및 다양한 다른 반응기들은 알루미늄, 양극 처리된 알루미늄, 또는 세라믹과 같은 재료로 제조된다. 이러한 CVD 장치의 실시예는 미국 특허 5,558,717호에 설명되어 있다.
(도 1a의) 리프트 기구 및 모터(32)는 가열기 받침대 조립체(12)를 상승 또는 하강시키고, 웨이퍼가 챔버(10)의 측면 내에서 삽입/제거 개구부(26)를 통해 챔버 몸체의 내부 또는 외부로 (도시되지 않은) 로봇 블레이드에 의해 전달됨에 따라, 그 웨이퍼는 핀(12b)을 상승시킨다. 모터(32)는 처리 위치(14)와 하부 웨이퍼 하중 위치 사이의 받침대(12)를 상승 또는 하강시킨다. 모터, 공급 라인(8)에 연결된 밸브 또는 흐름 제어기, 가스 전달 시스템, 조절 밸브, RF 전력원(44), 및 챔버 및 기판 가열 시스템은 도시된 일부의 제어 라인(36)위로 (도 1b의) 시스템 제어기(34)에 의해 모두 제어된다. 제어기(34)는 광학 센서로부터의 피드백에 따라, 스로틀 밸브 및 받침대와 같은 이동 가능한 기계 조립체의 위치를 결정하는데, 받침대는 제어기(34)에 의해 제어되는 적절한 모터에 의해 움직인다.
바람직한 실시예에서, 시스템 제어기는 하드 디스크 드라이브(메모리 38), 플로피 디스크 드라이브 및 프로세서(37)를 포함한다. 프로세서는 단일 보드 컴퓨터(SBC), 아날로그 및 디지털 입력 및 출력 보드, 인터페이스 보드 및 스테퍼 모터 제어기 보드를 포함한다. CVD 시스템(10)의 다양한 부품은 보드, 카드 케이지, 및 연결기 크기 및 형태를 규정하는 VME(Versa Modular European)를 따른다. VME 표준값은 16 비트 데이터 모선 및 24 비트 어드레스 모선도 규정한다.
시스템 제어기(34)는 CVD 기계의 모든 활동을 제어한다. 이러한 시스템 제어기는 시스템 제어 소프트웨어를 실행하며, 메모리(38)와 같은 컴퓨터 판독 매체 내에 저장된 컴퓨터 프로그램이다. 메모리(38)는 하드 디스크 드라이브인 것이 바람직하나, 다른 종류의 메모리여도 된다. 컴퓨터 프로그램은 타이밍, 가스 혼합물, 챔버압, 챔버 온도, RF 전력 레벨, 받침대 위치, 및 특정 공정의 다른 변수를 지시하는 명령 세트를 포함한다. 플로피 디스크 또는 서로 다른 적절한 수단을 포함하는 다른 메모리 장치에 저장된 다른 컴퓨터 프로그램은 제어기(34)를 작동하기 위해 사용된다.
사용자와 제어기(34) 사이의 인터페이스는 도 1(e)에 도시된 CRT 모니터(50a)와 라이트펜(50b)을 통해 이루어지는데, 도 1(e)는 기판 처리 시스템 내의 시스템 모니터와 CVD 시스템(10)을 개략적으로 도시한 도면이며, 이 시스템은 하나 이상의 챔버를 포함할 수 있다. 바람직한 실시예에서, 두 개의 모니터(50a)가 사용되며, 하나는 작업자를 위해 청정실 내에 장착되며, 다른 하나는 서비스 엔지니어를 위해 벽 뒤에 장착된다. 모니터(50a)는 동일한 정보를 동시에 나타내나, 하나의 라이트 펜(50b)만이 활성화된다. 라이트펜(50b) 끝부분의 광센서는 CRT에 의해 방전된 빛을 탐지한다. 특정 스크린 또는 기능을 선택하기 위해서는, 작업자는 디스플레이 스크린의 지정된 영역을 접촉하고 상기 펜(50b) 상의 버튼을 누른다. 접촉된 영역은 색이 하이라이트되거나, 새로운 메뉴 또는 스크린을 나타내어, 라이트펜과 디스플레이 스크린 사이에 통신이 이루어지게 한다. 라이트펜(50b)에 추가로 또는 대신, 키보드, 마우스, 또는 다른 지시 및 통신 장치가 사용되어, 사용자들이 제어기(34)와 소통하게 하게 한다.
필름을 증착하기 위한 공정은 제어기(34)에 의해 실행된 컴퓨터 프로그램 제품을 사용하여 시행될 수 있다. 컴퓨터 프로그램 코드는 종래의 컴퓨터로 판독 가능한 프로그램 언어 중에서 쓰여질 수 있다. 예를 들어 68000 어셈블리 언어, C, C++, 파스칼, 포트란 등이다. 적절한 프로그램 코드는 종래의 텍스트 에디터를 사용하여 하나 또는 여럿의 파일로 입력되며 컴퓨터의 메모리 시스템과 같이 컴퓨터로 사용 가능한 매체 내에 저장되거나 포함된다. 입력된 코드 텍스트가 고수준의 언어라면, 코드는 다른 기계어로 번역하고, 최종적인 컴파일러 코드는 미리 기계어로 번역된 윈도우(WINDOWTM) 라이브러리 루틴의 목적 코드와 연결된다. 연결되고 번역된 목적 코드를 실행하기 위해, 시스템 사용자는 목적 코드를 호출하여, 컴퓨터 시스템이 메모리 내에 코드를 로딩하게 한다. 이때 CPU는 프로그램에 의해 식별된 작업을 시행하기 위해 코드를 판독하고 실행한다.
도 1(f)는 본 발명의 특정 실시예에 따른 컴퓨터 프로그램(70)인 시스템 제어 소프트웨어의 제어 구조를 단계별로 도시한 블록선도이다. 라이트펜 계면을 사용하여, 사용자는 CRT 모니터 상에 나타난 메뉴 또는 스크린에 대해 공정 선택기 서브루틴(process selector subroutine)에 공정 세트 수와 공정 챔버 수를 입력한다. 공정 세트는 특정한 공정을 수행하는데 필요한 소정 공정 변수의 세트이며, 미리 정의된 세트 수에 의해 구별된다. 공정 선택기 서브루틴(73)은 (i)공정 챔버, 및 (ii)소정의 공정을 시행하기 위해 공정 챔버를 작동시키는데 필요한 공정 세트를 식별한다. 특정 공정을 시행하기 위한 공정 변수는 처리 가스 조성 및 유량, 온도, 압력, RF전력 수치 및 저주파 RF 주파수와 같은 플라즈마 조건 등과 같은 공정 조건에 관한 것이다. 이러한 변수들은 레시피의 형태로 사용자에게 제공되며 라이트펜/CRT 모니터 인터페이스를 이용하여 입력된다.
상기 공정을 측정하기 위한 신호는 시스템 제어기의 아날로그 및 디지털 입력 보드에 의해 제공되며, 공정 제어 신호는 CVD 시스템(10)의 아날로그 및 디지털 출력 보드 상에 출력된다.
공정 순차 서브루틴(process sequencer subroutine, 75)은 공정 선택기 서브루틴(73)으로부터 식별된 공정 챔버 및 공정 변수 세트를 수용하기 위한 프로그램 코드 및 다양한 공정 챔버의 작동을 제어하기 위한 프로그램 코드를 포함한다. 다수의 사용자들이 공정 세트 수 및 공정 챔버 수를 입력할 수 있거나, 한 명의 사용자가 다수의 공정 세트 수 및 공정 챔버 수를 입력할 수 있으며, 순차 서브루틴(75)은 소정의 순차 내에서 선택된 공정을 작성하기 위해 작동된다. 바람직하게, 순차 서브루틴(75)은 (i)챔버가 사용되는지를 결정하기 위해 공정 챔버의 작동을 측정하는 단계와, (ii)공정이 사용되는 챔버 내에서 수행되는지를 결정하는 단계와, (iii)수행될 공정 챔버의 이용 가능성과 공정 형태를 기초로 소정의 공정을 시행하는 단계를 포함한다. 공정 챔버를 측정하는 종래의 방법은 폴링(polling)과 같은 방법이 사용된다. 시행될 공정을 예정할 때 순차 서브루틴(75)은, 선택된 공정에 대해 요구되는 조건과 비교하여 현재 사용되는 공정 챔버의 조건, 요청을 입력한 각 사용자의 "연령(age)", 또는 시스템 프로그래머가 작업 우선권을 결정할 때 포함하기 원하는 다른 요소를 고려할 수 있다.
순차 서브루틴(75)은, 일단 어떤 공정 챔버와 공정 세트 조합이 다음에 시행될지를 결정하면, 챔버 매니저 서브루틴(77a-c)에 특정 공정 세트 변수(particular process set parameter)를 보냄으로써 공정 세트를 실행하며, 순차 서브루틴(75)에 의해 결정된 공정 세트에 따라 공정 챔버(15) 내의 다수의 처리 작업이 제어된다. 예를 들어, 챔버 매니저 서브루틴(77a)은 공정 챔버(15) 내의 스퍼터링/CVD 공정 작업을 제어하기 위한 프로그램 코드를 포함한다. 챔버 매니저 서브루틴(77)은 선택된 공정 세트를 실행하기 위해 필요한 챔버 부품의 작업을 제어하는 다양한 챔버 요소 서브루틴(chamber component subroutine)의 실행도 제어한다. 챔버 요소 서브루틴의 실시예는 기판 위치 선정 서브루틴(80), 처리 가스 제어 서브루틴(83), 압력 제어 서브루틴(85), 가열기 제어 서브루틴(87), 및 플라즈마 제어 서브루틴(90)이다. 당업자라면 다른 챔버 제어 서브루틴이 공정 챔버(15) 내에서 시행될 공정에 따라 포함될 수 있음을 용이하게 이해할 것이다. 작동 중에, 챔버 매니저 서브루틴(77a)은 시행될 특정 공정에 따라 공정 부품 서브루틴을 선택적으로 진행하거나 지정한다. 챔버 매니저 서브루틴(77a)은, 공정 챔버(15) 및 공정 세트가 다음에 시행될 지에 대해 순차 서브루틴(75) 스케줄과 유사한 방식으로 공정 부품 서브루틴을 수행한다. 일반적으로, 챔버 매니저 서브루틴(77a)은 다양한 챔버 부품을 측정하는 단계와, 시행될 공정 세트용 공정 변수에 기초하여 작동될 부품을 결정하는 단계와, 상기 측정 및 결정 단계에 대해 챔버 요소 서브루틴을 실행하는 단계를 포함한다.
특정 챔버 요소 서브루틴의 작동이 도 1(f)을 참조하여 설명될 것이다. 기판 위치 선정 서브루틴(80)은 프로그램 코드를 포함하여, 받침대(12) 상에 기판을 적재하고, 선택적으로는 기판과 가스 분배 다기관(11) 사이의 공간을 제어하기 위해 챔버(15) 내 소정의 높이에 기판을 상승시키는데 사용되는 챔버 부품을 제어한다. 기판이 공정 챔버(15) 내부로 적재될 때, 받침대(12)는 기판을 수용하기 위해 하강하며, 그 후 받침대(12)는 CVD 공정 시 가스 분배관으로부터 제 1 거리 또는 공간에 기판을 유지시키기 위해 챔버 내에서 소정의 높이로 상승한다. 작동 중에, 기판 위치선정 서브루틴(80)은 챔버 매니저 서브루틴(77a)으로부터 이송되는 높이를 지지하기 위해 관련된 공정 세트 변수에 대한 받침대(12)의 이동을 제어한다.
처리 가스 제어 서브루틴(83)은 처리 가스 조성 및 유량을 제어하기 위한 프로그램 코드를 가진다. 처리 가스 제어 서브루틴(83)은 안전 차단 밸브의 개방/밀폐된 위치를 제어하고, 소정의 가스 유량을 얻기 위해 질량 유동 제어기를 통해 유량을 상승/하강시킨다. 처리 가스 제어 서브루틴(83)은 다른 모든 챔버 요소 서브루틴과 마찬가지로, 챔버 매니저 서브루틴(77a)에 의해 호출되어 소정의 가스 유량에 관련된 챔버 매니저 서브루틴 공정 변수를 수용한다. 일반적으로, 처리 가스 제어 서브루틴(83)은 가스 공급 라인을 개방함으로써 (i)필요한 질량 유동 제어기를 판독하며 (ii) 챔버 매니저 서브루틴(77a)으로부터 수용된 소정의 유량과 상기 판독을 비교하고 (iii)필요에 따라 가스 공급 라인의 유량을 조절하는 단계를 반복적으로 작동한다. 더욱이, 처리 가스 제어 서브루틴(83)은 위험한 속도의 가스 유량을 측정하는 단계와 위험한 상태가 탐지될 때 안전 차단 밸브를 활성화시키는 단계를 포함한다.
일부 공정에 있어서, 헬륨이나 아르곤과 같은 비활성 가스는 반응성 처리 가스가 유입되기 이전에 챔버 내부의 압력을 안정시키기 위해 챔버(15) 내부로 유입된다. 이러한 공정에서, 처리 가스 제어 서브루틴(83)은 챔버 내의 압력을 안정시키기 위해 필요한 시간 동안 챔버(15) 내부로 비활성 가스를 흐르도록 하는 단계를 포함하며, 이후 전술한 단계가 시행될 것이다. 부가적으로, 처리 가스가 테트라에틸오르토시레인("TEOS")과 같은 액체 전구체로부터 증발되면, 공정 가스 제어 서브루틴(83)은 버블러 조립체 내의 액체 전구체를 통해 헬륨과 같은 전달 가스를 거품이 생기도록 하거나 액체 주입 시스템에 헬륨이나 질소 같은 캐리어 가스를 유입시키는 단계를 포함한다. 이러한 형태의 공정에서 버블러가 사용될 때, 처리 가스 제어 서브루틴(83)은 소정의 가스 유량을 얻기 위해 전달 가스의 흐름, 버블러 내의 압력, 및 버블러의 온도를 조절한다. 전술한 바와 같이, 소정의 처리 가스 유량은 공정 변수에 따라 처리 가스 제어 서브루틴(83)으로 전달된다. 더욱이, 처리 가스 제어 서브루틴(83)은 주어진 처리 가스 유량에 필요한 수치를 포함하는 저장된 테이블에 접근함으로써 소정의 처리 가스 유량의 필요한 전달 가스 유량, 버블러 압력, 및 버블러 온도를 얻기 위한 단계를 포함한다. 필요한 수치가 얻어지면, 전달 가스의 유량, 버블러 압력 및 버블러 온도는 측정되고, 필요한 값과 비교되며 조절된다.
압력 제어 서브루틴(85)은 챔버 배기 시스템 내의 조절 밸브의 개구부 크기를 조절함으로써 챔버(15) 내의 압력을 조절하기 위한 프로그램 코드를 포함한다. 조절 밸브의 개구부 크기는 배기 시스템용 전체 처리 가스 유동, 공정 챔버의 크기, 및 펌핑 설정점 압력과 관련하여 소정의 수치에 챔버 압력을 조절하도록 설정된다. 압력 제어 서브루틴(85)이 호출될 때, 목표물의 압력 수치는 챔버 매니저 서브루틴(77a)으로부터 변수로 수용된다. 압력 제어 서브루틴(85)은 챔버에 연결된 하나 이상의 종래의 압력계를 판독함으로써 챔버(15) 내의 압력을 측정하고, 목표물의 압력에 측정된 수치를 비교하고, 목표물 압력에 대응하는 저장된 압력 테이블로부터 PID(비례, 적분 및 미분(proportional, integral and differential)) 수치를 얻고, 압력 테이블로부터 얻어진 PID 수치에 따른 조절 밸브를 조절하도록 작동한다. 또 압력 서브루틴(85)은 챔버(15)를 소정의 압력으로 조절하기 위해 조절 밸브를 특정 개구부 크기로 개봉하거나 밀폐할 때 쓰일 수 있다.
가열기 제어 서브루틴(87)은 기판(20)을 가열시키기 위해 사용되는 가열 유닛 내의 전류를 조절하기 위한 프로그램 코드를 포함한다. 가열기 제어 서브루틴(87)은, 받침대(12) 내에 위치된 열전쌍의 전압 출력을 측정하고, 측정된 온도를 설정점 온도와 비교하고, 가열 유닛에 인가되는 전류를 증가시키거나 감소시킴으로써 설정점 온도를 얻는다. 이러한 온도는, 저장된 전환 테이블 내의 대응 온도를 찾거나 4차 다항식을 사용하여 계산함으로써, 측정된 전압으로부터 얻어진다. 받침대(12)를 가열시키는데 내장된 루프(embedded loop)가 사용될 때, 가열기 제어 서브루틴(87)은 루프에 적용되는 전류의 증감을 제어한다. 추가로, 내장형 안전 모드(built-in safe mode)가 포함되어, 공정의 안전성을 검출하고, 공정 챔버(15)가 적절히 설정되지 않으면, 가열 유닛의 동작을 막을 수 있다.
플라즈마 제어 서브루틴(90)은 챔버(15) 내 공정 전극에 인가되는 저주파 및 고주파 RF 전력 레벨의 설정 및 채용되는 RF 주파수의 설정을 위한 프로그램 코드를 포함한다. 플라즈마 제어 서브루틴(90)은 마그네트론에 적용되는 전력값을 설정하고 조절하기 위한 프로그램 코드를 포함한다. 전술한 챔버 요소 서브루틴과 유사하게, 플라즈마 제어 서브루틴(90)은 챔버 매니저 서브루틴(77a)에 의해 호출된다.
전술한 반응기는 예시적인 목적으로만 설명되어 있으며, 전자 사이클로트론 공명(ECR) 플라즈마 CVD 장치와 같은 다른 장치와, 유도 결합된 RF 고밀도 플라즈마 CVD 장치 등도 본 발명에 사용되어 개선된 장치를 제공한다. 더욱이, 받침대 설계, 가열기 설계, RF 전력 주파수, RF 전력 연결기 및 그외 위치 등의 변경과 같은, 전술한 시스템의 변형이 가능하다. 예를 들어 웨이퍼는 석영 램프에 의해 지지되고 가열된다. 본 발명은 임의의 특정 장치를 사용하거나 개장하는 것에 한정되지 않다.
II. 예시적인 구조(Exemplary Structures)
도 2는 본 발명의 사용으로 제조된 집적 회로(200)의 단면을 개략적으로 나타내고 있다. 도시된 바와 같이, 집적 회로(200)는 NMOS 및 PMOS 트랜지스터(203, 206)를 포함하고, 실리콘 국부 산화(LOCOS) 또는 다른 기술에 의해 형성된 장산화물 영역(field oxide region, 220)에 의해 서로 분리되거나 전기적으로 절연되어 있다. 또는 트랜지스터(203, 206)는, NMOS와 PMOS일 때는 (도시되지 않은) 그루브 트렌치 절연부(trench isolation)에 의해 서로 분리되고 전기적으로 절연된다. 각각의 트랜지스터(203, 206)는 소스 영역(source region, 212), 드레인 영역(215), 및 게이트 영역(218)을 포함한다.
PMD 층(221)은 접촉물(224)에 의해 제조된 금속층(240)과 트랜지스터 사이의 연결부를 가지는 금속층(240)으로부터 트랜지스터(203, 206)를 분리시킨다. 금속층(240)은 집적 회로(200) 내에 포함된, 4개의 금속 층(240, 242, 244, 246) 중의 하나이다. 각 금속층(240, 242, 244, 246)은 각각의 내부 금속 절연(IMD)층(227, 228 또는 229)에 의해 인접한 금속층으로부터 분리된다. 인접한 금속층은 선택된 개구부에 바이어스(226)에 의해 연결된다. 금속층(246) 위에는 평탄화된 부동태층(230)이 증착되어 있다.
단순화된 집적 회로(200)는 단지 예시적인 것임이 이해되어야 할 것이다. 당업자라면 마이크로프로세서, 주문형 집적회로(ASICs), 메모리 소자 등 다른 집적회로의 제조에 본 발명을 사용할 수 있을 것이다. 더욱이 본 발명은 PMOS, NMOS, CMOS, 바이폴러, 또는 BiCMOS 디바이스에도 적용된다.
III.특정 실시예: 마이크로파 마그네트론 조립체를 이용하는 플라즈마 공급원
본 발명의 특정 실시예에 따라, 개선된 CVD 장치는 기존의 CVD 장치에 원격마이크로파 플라즈마 공급원을 부착시키거나 마이크로파 플라즈마 공급원을 포함시키기 위해 기존의 CVD 장치를 개조함으로써 제공된다. 이하 설명되는 특정 실시예는 본 발명을 한정하는 것은 아니며, 다른 실시예가 있을 수 있다. 또 도 3∼도 6에 도시된 구조는 정확한 비율로 축소된 것은 아니다.
본 발명의 특정 실시예에 따라, 도 3(a)에는 (도 3(b)에 도시된 것처럼) 챔버의 상부 뚜껑에 부착될 수 있는 원격 마이크로파 플라즈마 공급원 모듈(300)의 평면도이다. 특정 실시예에서, 원격 마이크로파 플라즈마 공급원 모듈(300)은 도파관 시스템(310)에 결합되는 마그네트론(305), 창(345)을 경유하여 도파관 시스템(310)에 결합되는 공동 공진기(315), 및 공동 공진기(315) 내부와 이를 통해 (점선으로) 위치된 플라즈마 방전 튜브 즉 어플리케이터 튜브(320)를 포함한다. 플라즈마 방전 튜브(320)는 가스 유입부(375)에 결합된 입력 단부(365), 및 출력 단부(385)를 포함한다. 가스 공급원에 결합된 가스 공급 라인(375)은 방전 튜브(320) 내에 플라즈마를 형성하기 위해 마그네트론(305)으로부터 창(345)을 경유하여 공동(315) 내부로 유도되는 마이크로파에 의해 활성화된 방전 튜브(320) 내부로 반응 가스를 유입시킨다. 챔버 내에서 사용하기 위해 방전 튜브(320)는 출력 단부(385)로부터 형성된 플라즈마로부터 발생되는 라디컬을 방출한다.
특히 특정 실시예에서 도파관 시스템(310)은 도파관 섹션(330) 및 도파관 섹션(330)의 길이(lw)를 따른 다수 지점의 다수의 동조 스텁(tuning stubs, 335)을 포함한다. 마그네트론(305)의 스텁 안테나(340)는 도파관 섹션(330)에 마이크로파를 결합시킨다. 특정 실시예에서, 도파관 섹션(330)은 단면이 직사각형인 도파관의 한 부분일 수 있으나, 다른 실시예에서는 단면 규격이 다른 도파관도 사용된다. 도파관 섹션(330)의 일부는 한 단부에는 마그네트론(305)이, 다른 단부에는 공동 공진기(resonator cavity, 315)가 인접하고 있다. 도파관 섹션(330)은 상기 다른 단부에서 공동 공진기(315)에 인접하여 일체로 형성되는 것이 바람직하다. 도파관 섹션(330)은 창(345)을 제외하고는 상기 다른 단부에서 공동 공진기(315)에 대해 폐쇄된다. 선택적으로 도파관 시스템(310)은 다른 최적화 구조, 예를 들면 임의의 반사된 전력을 모니터하기 위한 위상 검출기(phase detector)나 방향성 결합기(directional coupler) 및/또는, 마그네트론을 손상시킬 수 있는 임의의 반사된 마이크로파 전력을 흡수하는 로드(load)가 구비된 절연체(isolator)를 포함할 수 있다. 전술한 바와 같이, 마그네트론(305)에서 발생되는 마이크로파는 도파관 섹션(330)을 통해 전달되며, 창(345)을 통해 공동(315)으로 유입되어, 방전 튜브(320) 내의 반응 가스를 활성화시킨다.
모듈(300)에서, 방전 튜브(discharge tube, 320)는 공동 공진기(315)를 통해 위치되어 그 내부에 포함되어, 방전 튜브(320)의 길이는 공동 공진기(315)의 너비(WR)와 대응한다. 방전 튜브(320)는 대체로 공동(315)을 통해 위치되며, 길이방향의 방전 튜브(320)가 공동(315) 내에서 최대 방사, 예를 들어 마이크로파의 전기장(E-field) 성분과 같도록 위치된다. 특정 실시예에서 방전 튜브(320)는 단면이 원형인 관이다. 방전 튜브(320)의 단부는 제 1 장착 조립체(first mount assembly, 350)와 제 2 장착 조립체(355)에 의해 공동 공진기(315)에 연결된다. 제 1 장착 조립체(350)는 공동 공진기(315)의 벽과 조립체(350) 내의 대응 구멍을 통해 스크류(또는 다른 고정기 및 에폭시 수지의 사용)에 의해 공동 공진기(315)에 고정된, 방전 튜브(320)의 입력 단부(365)를 포함하고 지지하는 하우징(360)을 포함한다. 단부(365)는 방전 튜브(320)로부터 진공 손실을 막기 위해 외부 지름 둘레로 실링 부재, 바람직하게는 O형인 링을 가진다. 단부(365)는 개방되어 있으며, 하우징(360)에 결합된 가스 공급 라인(375)을 제외하고는 기밀한 진공 밀봉된 단부이다. 방전 튜브(320)의 출력 단부(385)를 포함하고 고정하는 출구 다기관(380)에 연결된 제 2 장착 조립체(355)는 공동 공진기(315)의 반대 벽과 조립체(355) 내의 대응 구멍을 통해 스크류(또는 다른 패스너 및 에폭시 수지의 사용)에 의해 공동 공진기(315)에 고정된다. 방전 튜브(320)의 출력 단부(385)는 개방된 단부이며, 처리 챔버의 가스 유입 라인에 연결 가능한 출구 다기관(380)의 내부에 연결될 수 있다. 선택적으로, 단부(385)는 튜브(320)와 가스 유입 라인 사이의 누출을 방지하기 위해 외부 지름 둘레에 실링 부재를 가진다. 선택적으로, 제 2 장착 조립체(355)는 단부(385)에서 사용되는 방전 튜브(320)의 출구와 실링 부재 사이에 충분한 길이를 제공하기 위해서 제 1 장착 조립체(350)에 비해, 길이 방향으로 연장된다. 따라서, 실링 부재는 방전 튜브(320)의 출구에서 방출되는 플라즈마 종의 높아진 온도에 의해 용융되지 않는다.
모듈(300)은 도 3(b)에 도시된 것처럼, 처리 챔버에 부착되는 소형의 용이하게 조절되는 모듈 내부의 공기 냉각 통로(325)를 포함한다. 공기 냉각 통로(325)는 (도시되지 않은) 팬으로부터의 공기가 방전 튜브(320) 둘레로 흐르도록 한다. 마이크로파 플라즈마의 발생으로 인해 방전 튜브(320) 내에 발생된 열은 액체 냉각 보다는 공기 냉각에 의해 방출된다.
원격 마이크로파 플라즈마 공급원 모듈(300)은 공동 공진기(315) 내의 방전 튜브(320) 내에 플라즈마를 형성하기 위해 공동 공진기(315)에 도파관 시스템(310)을 통해 발생되는 에너지 공급원과 같은 마그네트론(305)을 사용한다. 다수의 다른 마이크로파 전력원이 이용될 수 있지만, 본 발명은 마그네트론으로부터 2.5∼6kW의 마이크로파 전력을 발생시키기 위해 고가의 고전압 연속 파장(CW) 전력보다는, 마그네트론으로부터 1∼1.5kW의 마이크로파 전력을 발생시키기 위한 저가의 저전압의 맥동 전력을 이용한다. 바람직한 실시예에서, (일부 마이크로파 오븐 내에서 사용되는 마그네트론 형태인) 마그네트론(305)은 2.45GHz의 주파수를 가지는 마이크로파를 제공하기 위해 저가의 저전압 60Hz의 (대형의 리플(ripples)을 포함하는) 반분 정류 전력원(half-rectified power source)에서 전력을 인가 받는다. 이러한 저전압 맥동 마이크로파 발생기는 고전압 CW 마이크로파 발생기나 RF 발생기보다 낮은 가격으로 2배의 강도를 가진다.
마이크로파 에너지는 방전 튜브(320)를 포함하는 공동 공진기(315)에 도파관 시스템(310)을 경유하여 마그네트론(305)으로부터 전달된다. 특히, 마그네트론(305)의 스텁 안테나(340)로부터의 마이크로파 방사선은 도파관 시스템(310)과 연통된다. 특정 실시예에서 스텁 안테나(340)는 (작동 마이크로파 주파수에서) 거의 1/4 파장의 차수 또는 도파관 섹션(330) 단부로부터 최적의 동등 거리만큼 멀어지는 곳에 위치된다. 이와 달리 스텁 안테나(340)는, 도파관 시스템(310)에 마그네트론(305)으로부터 발생하는 마이크로파를 연통시킬 수 있도록, 당업자에 공지된 방식으로 슬롯 안테나나 다른 방사 부재로 교체될 수도 있다. 도파관 섹션(330)은 선택적으로 특정 모드를 안내하기보다는 공동 공진기(315)에 마그네트론 마이크로파 에너지를 발생시키는데 필요한 규격을 가진다. 또 도파관 섹션(330)은 사용되는 마그네트론 공급원과 공동 공진기(315)에의 근접성 및 모듈화 능력을 가지기에 충분한 길이로 된다. 특정 실시예에서, 도파관 섹션(330)은 길이(lWG)가 약 25.4cm(10inch)이고, 너비(wWG)가 4.32cm(1.7inch)이며, 높이(hWG)는 8.64cm(3.4inch)이다.
특정 실시예에서, 도파관 섹션(330) 부분은 공동 공진기(315)와 일체식이며, 공동 공진기(315)의 내부에 개방된 개구부 및 창을 가진다. 창(345)을 통해 전달되는 마이크로파의 TE10 모드의 전기장 성분은 창(345)을 가지는 공동 공진기(315)의 벽에 평행한 평면을 따라 변화된다. 특정 실시예에서 창(345)은 공동 공진기(315) 벽의 중심에 위치된 길이(lW) 약 5.1cm(2inch), 너비(wW) 약 2.54cm(1inch)의 직사각형 개구부이다. 특정 실시예에서, 길이(lW)는 높이(hWG)와 동일하거나 약간 짧을 수 있다. 또 다른 실시예에서, 창(345)은 공지된 것과 같이 절연성 창(또는 다른 마이크로파 투면 재료)으로서 장착되거나, 스텁 안테나나 다른 방전 부재로 대체될 수 있다. 도파관 섹션(330)의 길이를 따라 동조 스텁(335)은 창(345)으로부터 도파관 섹션(330)을 벗어난 마이크로파의 모드 또는 상을 조정하기 위해 사용된다. 두 개의 동조 스텁(335)만이 도시되었지만, 다른 실시예에서는 필요에 따라 스텁을 더 많거나 적게 포함할 수도 있다.
가스 공급 라인(370)을 통해 방전 튜브(320)에 공급된 반응 가스는 공동(315) 내에서 공명되는(resonating) 마이크로파에 의해 활성화된다. 마이크로파 에너지의 정상파는 내부 공진기 공명(315)을 형성하고 관의 전체 길이를 따라 실제적으로 방전 튜브(320) 내의 반응 가스를 활성화시키는데, 상기 길이는 공동(315)의 너비(wR)와 거의 같다. 공동 공진기(315) 및 도파관 시스템(310)은 구리, 알루미늄, 스테인레스강 또는 다른 도전재료 등의 금속으로 제조된다. 특정 실시예에서, 공동 공진기(315)는 길이(lW)가 약 17.8cm(7inch)이고, 너비(wW)가 15.2cm(6inch)이며, 주요 모드는 (방전 튜브(320)의 길이에 수직인 축 lR을 따른 "전파 방향(direction of propagation)"인) 2.45GHz의 주파수를 가지는 마이크로파 TE102 모드이다. 공동(315)은, 예를 들어 그 너비(wR)와 방전 튜브(320)의 길이를 증가시킴으로써 다중 모드의 공동이 될 수도 있다.
임의의 바람직한 실시예에서, 공동 공진기(315)의 적어도 하나의 벽은 그 위치를 조절함으로써 공명 동조(resonance tuning)를 하도록 이동할 수 있다. 전술한 바와 같이, 스텁(335)을 사용하는 동조는 반응 가스의 도입 및 방전 튜브(320) 내 반응 가스의 이온화에 의해 발생된 임피던스 변화에 응답하여 이루어질 수 있다. 전술한 실시예는 단일 모드의 공진(single mode resonance)을 사용한다. 그러나 다중 모드의 공명을 이용하거나 2.45GHz 이외의 다른 주파수를 사용하기 위해 다른 치수의 공진기 공명(315)을 사용한다. 다중 모드의 공명을 수용하는 공동 공진기를 사용하면 방전 튜브(320) 내의 반응성 가스의 이온화가 증가되고, 도 3(a)의 특정 실시예의 공동 공진기(315)에 사용되는 것보다 더 긴 방전 튜브에 마이크로파가 결합될 수 있다. 더 긴 방전 튜브에 의해, 더 많은 반응성 가스가 방전 튜브의 길이를 따라 인가되는 마이크로파에 의해 해리되어, 이온화가 높아진다.
방전 튜브(320)는, 세라믹 또는 사파이어 형태의 알루미늄과 같이, 마이크로파를 투과시키며 플라즈마 내 라디컬에 의한 에칭에 저항하는 유전체 재료로 제조되는 것이 바람직하다. 방전 튜브(320)의 길이는 방전 튜브(320)의 단부에 O링을 수용하기 위해 wR과 대략 동일하거나 조금 더 크다. 방전 튜브(320)는 공동 공진기(315) 내에 위치하여, 방전 튜브의 지름이 관의 길이를 따라 최대 방사 패턴, 예를 들어 최대 전기장과 겹치도록 된다. 또 방전 튜브(320)의 지름은 작동 마이크로파 주파수에서 1/4 파장보다 더 커서, 방전 튜브(320)의 지름이 적어도 하나의 최대 방사(예를 들어 전기장)와 길이를 따라 겹쳐져야 한다. 방전 튜브(320)의 지름 및 위치는 플라즈마가 튜브(320) 내에서 부딪치고 튜브(320)의 중심에서 가스의 이온화가 이루어지도록 최적화되는 것이 바람직하다. 특정 실시예에서, 단일 모드의 공동(315)을 이용하는 방전 튜브(320)는 알루미나로 제조되며, 외부지름이 4.75cm(1.87inch)이고, 내부 지름이 4.2cm(1.65inch)이며, 길이가 15.2∼20.3cm(6∼8inch)인 것이 바람직하며, 길이는 19.8cm(7.8inch)인 것이 가장 바람직하다. 물론, 다중 모드의 공동을 이용하는 실시예에서는, 적절하게 위치된 방전 튜브(320)의 지름은 각 모드의 적어도 하나의 최대 방사(예를 들어 전기장)를 가지는 방전 튜브의 길이를 따라 겹치기에 충분하도록 큰 것이 바람직하다.
본 발명에 의해, 플라즈마는 UV 램프를 사용하지 않고도 튜브(320) 내에서 발생될 수 있어, 모듈 내에서 공간 사용이 보다 경제적으로 된다. 공정 챔버를 배기하면, 마이크로파가 발생되는 플라즈마 내의 라디컬이 출구 배기관(380)을 경유하여 방전 튜브(320)를 통과하게 되고 연결된 진공 챔버에 연속적으로 제공된다. 방전 튜브(320) 내에 반응 가스를 도입하여 이온화시킴에 따른 공동 공진기(315) 내의 임피던스 변화에 의해, 동조 스텁(335)을 사용함으로써 마이크로파 에너지 결합이 최적으로 된다. 다른 바람직한 실시예에서, 다수의 방향성 결합기나 위상 검출기와 같은 전기장 탐지기 즉 프로브가 설치되어, 도파관(330) 내의 마이크로파 에너지를 측정하고, 시스템 제어기(34)에 의해 제어되는 로봇화된 모터를 경유하여 스텁(335)을 자동으로 동조할 수 있는데, 이 제어기(34)는 전기장 탐지기나 프로브로부터 측정값을 수용하도록 연결된다.
도 3(a) 및 도 3(b)에서 도시된 것과 같이, 특정 실시예에서 모듈(300)의 전체 규격(약 30cm(12inch)인 너비, 30cm(12inch)인 길이 및 20cm(8inch)인 높이)은 콤팩트하며 취급이 쉬운 유닛으로 포장될 수 있다. 원격모듈(300)은 UV 램프가 필요 없으며 종래의 부피가 큰 원격 마이크로파 플라즈마 공급원과는 달리 소형인 도파관 시스템을 가지기 때문에 유리하다. 전체 조립체의 구조에 따라, 원격 마이크로파 플라즈마 공급원 모듈(300)은 높은 마이크로파 결합 효율을 떨어뜨리지 않고, 고가의 고전력 CW 전력원 대신 마그네트론용 저전압 맥동 전력원을 사용할 수 있다. 더욱이, 특히 공동 공진기(315) 내에서 공진하는 마이크로파는 공동 공진기(315) 내 관의 전체 길이를 따라 방전 튜브(320) 내의 반응 가스를 활성화시킬 수 있다. 도 3(a)의 실시예에서, 방전 튜브(320) 내의 가스 분자는 비교적 체류 시간(약 0.016초)이 길다. 따라서 약 1∼1.5kW의 마이크로파 에너지를 발생시키기 위해서 마그네트론을 공급하는 저전압 펄스의 경우에도, 원격플라즈마 공급원 모듈(300)은 높은 마이크로파 결합 효율을 이룰 수 있다. 특히 주어진 체적의 방전 튜브(320)에서 플라즈마 내부로 결합된 마이크로파 에너지의 양은 80∼100% 사이이다.
방전 튜브의 작은 부분에 마이크로파를 결합시키는 종래의 도파관 결합 방법 및 장치에서는 높은 에너지 밀도에 의해 생기는 높은 열 때문에 액체 냉각 시스템이 필요하다. 본 발명에 따른 방전 튜브(320) 및 공진기 공명(315)을 사용하는 설계는 고에너지 밀도의 종래 방법에 비해 에너지 밀도가 낮기 때문에, 특정 실시예에서 낮은 레벨의 열은 공진기 공명(315) 내 방전 튜브(320)의 넓은 영역에 분포된다. 플라즈마가 형성되는 방전 튜브(320) 영역이 넓어지기 때문에 열은 더 빨리 분산된다. 공기 통로(325)를 통한 팬으로부터의 강제 공기는 방전 튜브(320)에 분배된 열을 분산시키는데 충분하다. 본 발명에서는 열구배가 완만하기 때문에, 방전 튜브(320)의 수명이 더 길어져 유용하다. 방전 튜브(320)를 자주 교체할 필요가 없기 때문에, 본 발명은 유지비용을 낮출 수 있게 해준다. 게다가 본 발명의 특정 실시예는 액체 냉각 시스템의 부식을 방지할 수 있어, 모듈(300)의 금속 부품 수명을 연장시킬 수 있고, 따라서 모듈을 자주 교체할 필요가 없다.
모듈(300)과 처리 챔버(400)의 평면도인 도 3(b)에 도시된 것처럼 원격 마이크로파 플라즈마 모듈(300)은 차지하는 면적(footprint)이 작아, 최소의 노력으로 처리 챔버의 상부나 다른 위치에 쉽게 부착될 수 있다. 도 3(b)에 도시된 처리 챔버(400)는 처리 챔버의 실례로서, 이 챔버에서 모듈(300)은 챔버에 사용되기 위해 놓여지며 부착된다. 처리 챔버(400)는 비교적 평평한 상부면 또는 뚜껑(405)을 가지며, 다수의 CVD 처리 챔버에서와 같이 광범위한 영역 및 다각 형상을 가진다. 특정 실시예에서, 뚜껑(405) 및 챔버(400)는 조절 밸브 및 가스 출구에 근접한 축소 영역(410) 내부로 경사진다. 또 뚜껑(405)은 상층 단부 및 바닥 단부에 의해 접근 가능한 (점선으로 도시된) 입구(415)를 포함하며, 상기 단부를 통해 반응 가스가 (도 1(a) 및 도 1(d)의 아암(8)에서) 가스 통로를 통해 가스 혼합 영역과 가스 분배판(13)을 통해 통과함으로써 처리 챔버(400) 내부로 유입된다. 일반적으로, 증착 가스는 (상단부가 밀폐되어 있는 동안에) 입구(415)의 바닥 단부로 유입되며, 가스 통로를 통해 가스 혼합 영역으로 통과되고 가스 분배판을 통해 챔버 내부로 통과된다. 특정 실시예에서, 입구(415)의 상단부는 모듈(300)의 출구 다기관(380)에 직접 연결되며, (도시되지 않은) 각 공급 라인은 방전 튜브(320)의 단부(385)에서 상층 단부를 경유하여 입구(415)를 연결하는데 사용되며, (바닥 단부가 밀폐되어 있는 동안) 라디컬이 방전 튜브(320)를 통해 입구(415) 내부로 통과되게 한다.
모듈(300)이 뚜껑(405)의 상층에 놓이고 처리 챔버(400) 상에 고정될 때, 반응성 가스는 공급 라인(375) 내부로 공급되고 모듈(300)에는 필요에 따라 처리 챔버(400)의 세정 작업을 위해 동력이 공급된다. 동력이 공급되면, 플라즈마는 방전 튜브(320) 내의 반응 가스 및 플라즈마 방전 튜브(320) 내에서 라디컬로부터 형성된다. 따라서 플라즈마 챔버(400) 내의 산화물 또는 질화물 잔류물을 가지는 하류 영역은 모듈(300) 외부와 입구(415) 내부로 공급된 플라즈마 내의 라디컬에 의해 세정된다. 입구(415)는 가스 혼합 블록(9)으로 아암(8) 내에서 가스 통로에 이어지며, 혼합 블록(9)은 가스 분배판(13)을 통해 챔버(15)에 결합된다. 가스 혼합 영역과 가스 배기 출구 사이의 처리 챔버(400) 내의 잔류물과, 입구(415)와 가스 혼합 영역 사이의 잔류물은 부착된 원격 마이크로파 플라즈마 공급원 모듈(300)로부터 라디컬에 의해 세정된다. 처리 챔버(400)로부터, 진공 펌프 시스템을 사용한 배기 시스템은 진공 다기관 내부와 배기 라인 외부로 잔류물과 가스를 배출한다. 가스와 잔류물이 배기 라인을 통해 방출되는 압력은 조절 밸브 및 펌핑 시스템에 의해 제어된다.
본 발명의 또 다른 실시예는 모듈(300)의 효율과 규격성(modularity)을 유지하고 개선하면서도, 하나 이상의 방전 튜브, 하나 이상의 마그네트론을 이용하거나, 물리적 구조를 변화시킬 수 있다. 도 3(a) 및 도 3(b)에 도시된 실시예는 공동 공진기(315) 내에 하나의 방전 튜브(320)를 이용한다. 그러나 다른 실시예에서는 공동 공진기(315) 내에 두 개 이상의 방전 튜브가 사용된다. 특정 실시예에서 입력 공급 라인은 방전 튜브 내부로 반응 가스의 흐름을 제어하기 위한 질량 유동 제어기 또는 밸브를 가진다. 추가로, 다수의 방전 튜브는 독립 또는 공유의 입력 피드기 및 독립 또는 공유의 출력 피드기, 또는 이들을 혼합하여 사용한다.
도 3(c)는 공진기 공명(315) 내의 두 개 이상의 방전 튜브(320a, 320b)를 포함하는 모듈(300)의 또 다른 실시예를 도시한 평면도이다. 도 3(c)는 방전 튜브(320a, 320b)가 (도시되지 않은) 공유된 입력 피드기와 독립된 출력 피드기를 가지는 실시예를 도시하고 있으며, 처리 챔버(400)의 다른 입구에 (도시되지 않은) 독립된 출력 피드기 또는 하나의 입구(415)에 (도시되지 않은) 공유된 출력 피드기에 연결된다. 다수의 방전 튜브를 사용하면 활성화된 가스의 양이 증가되고 방전 튜브 내부의 높은 가스 유량에 의해, 단일 방전 튜브와 비교하여 동일한 이온화 레벨을 이룰 수 있다.
도 3(d)는 처리 챔버(400)의 뚜껑(405)과 관련하여 도시된 도 3(a) 또는 도 3(c)의 모듈(300)의 부분 측면도이다. 특히 도 3(d)는 공동 공진기(315), 방전 튜브(320), 마그네트론(305), 및 도파관 섹션(330)의 측면도이다. 처리 챔버(400)의 입구(415)는 (도시되지 않은) 공급 라인을 경유하여 방전 튜브(320)의 단부(385)에 연결된다. 하나 또는 다수의 방전 튜브가 사용되는 것과 무관하게 원격 마이크로파 플라즈마 공급원 모듈(300)의 모듈 특성 및 콤팩트한 특성이 제공된다.
본 발명의 또 다른 특정한 실시예에 따라, 도 3(e) 및 도 3(f)는 예시적인 챔버(400)와 관련된 원격 마이크로파 플라즈마 공급원 모듈(420)을 각각 도시한 평면도 및 측면도이다. 구체적으로, 단면으로 나타난 도 3(f)의 부분들은 도 3(e)의 선 A-A'를 따른 측면도에 평행한 평면 내에서 각 부분의 중심을 통해 취해진 것이다. 도 3(e)에 도시된 것처럼, 본 발명에 따른 모듈(420)의 치수(너비 30.5cm (12inch), 길이 30.5cm(12inch), 높이 20.3cm(8inch) 미만)는 콤팩트하고 취급이 용이한 유닛으로 포장되어 도 3(e) 및 도 3(f)에 도시된 것처럼 처리 챔버에 부착될 수 있다. 전체 조립체의 구조에 의해, 원격 마이크로파 플라즈마 공급원 모듈(420)은 도 3(a)∼도 3(b)의 실시예에서 전술된 것과 동일한 이점이 있다. 예를 들어, 모듈(420)은 높은 마이크로파 결합 효율(coupling efficiency) 손실 없이 고가의 (2.5∼6kW의 마이크로파 전력을 공급하는) 고전력의 CW 마이크로파 공급원 대신 적은 비용과 (1∼1.5kW의 마이크로파 전력을 공급하는) 저전압의 맥동 마이크로파 공급원을 사용할 수 있다. 또 모듈(420) 내 방전 튜브(320)의 공기 냉각은 충분하며 모듈(420) 내의 다른 금속 성분과 방전 튜브(320)의 수명이 연장된다. 도 3(e)에 도시된 것처럼, 원격 마이크로파 플라즈마 모듈(420)은 차지하는 면적이 작아, 처리 챔버(400)의 상부나 다른 편리한 위치에 최소의 노력으로 용이하게 부착된다.
일반적으로, 원격 모듈(420)은 전술한 원격 모듈에서와 유사한 구조를 가진다. 특히 도 3(e)는 도파관 시스템(310)에 결합된 마그네트론(305), 창(345)을 통해 도파관 시스템(310)에 결합된 공진기 공명(315), 공진기 공명(315) 내에서 상기 공명을 통해 위치된 (점선으로 도시된) 플라즈마 방전 튜브(320), 및 공기 냉각 통로(325)를 포함하는 원격 마이크로파 플라즈마 공급원 모듈(420)의 상부를 도시하고 있다. 도파관 시스템(310)은 도파관 섹션(330)의 길이(lW)를 따른 점에서 도파관 섹션(330)과 동조 스텁(335)을 포함한다. 마그네트론(305)의 상승된 금속 링(423)은 공동(315) 내의 창(345)을 통한 사용을 위해 도파관 섹션(330)에 마이크로파를 결합시킨다. 방전 튜브(320)는 반응성 가스를 유입시키기 위한 가스 공급 라인(375)에 결합된 입력 단부(365)와, 출력 단부(385)를 포함한다. 마그네트론(305)으로부터 발생하는 마이크로파는 도파관 섹션(330)을 경유하여 창(345)을 통해 공동(315)으로 전송되며, 방전 튜브(320) 내의 반응성 가스는 활성화되어 관 내부에 플라즈마를 형성한다. 플라즈마로부터 발생하는 라디컬은 챔버 내에서 사용되기 위해 출력 단부(385)를 경유하여 튜브(320)를 빠져나간다.
도 3(e) 및 도 3(f)에 도시된 본 발명에서, 도파관 섹션(330)은 단면이 직사각형인 부분이다. 구리, 알루미늄, 스테인레스강 또는 다른 도전재료와 같은 강한 금속으로 제조되는 도파관 섹션(330)은, 사용되는 마그네트론 공급원 및 공진기 공명(315)에 대한 근접성과 모듈성을 수용하기에 충분한 길이이다. 원격 모듈(420)에서, 도파관 섹션(330)은 마그네트론(305)과 공동 공진기(315)이 안전하게 장착되어 있는 장착판(425)으로 작용하는 벽을 가진다. 본 발명의 실시예에서, 도파관 섹션(330)은 길이(lWG)가 약 25.4cm(10inch)이고, 너비(wWG)가 4.4cm(1.7inch)이며, 높이(hWG)는 8.6cm(3.4inch)이다. 도 3(e)에 도시된 것처럼, 장착판(425)은 도파관 섹션(330)의 길이 전체에 부착되며 마그네트론(305) 및 공진기 공명(315)이 장착되도록 하기 위해 도파관 섹션(330)보다 크다. 장착판(425)으로 작용하는 도파관 섹션(330)의 한쪽 벽은 패스너(427)를 통해 마그네트론(305)에 부착된다. 마그네트론(305)이 장착판(425)에 장착되어, 도파관 섹션(330)의 일부가 장착판(425)을 통해 한 단부에서 마그네트론(305)에 근접하여 결합하며, 마그네트론(305)의 상승된 금속 링(420)은 마그네트론(305)과 도파관 섹션(330) 사이에서 필요한 전기적 연결을 제공한다. 물론, 장착판(425)은 링(423)의 지름에 대응하는 구멍을 가지며, 특정 실시예에서 지름은 3.6cm(1.4inch)이다. 특히 구리, 알루미늄 및 다른 도전성 재료로 제조된 링(423)은 마그네트론(305)의 와이어에 맞물려 고정된다.
추가로, 원격 모듈(420)의 도파관 시스템(310)은 반사된 마이크로파를 측정하기 위한 위상 탐지기나 방향성 결합기 및 반사된 마이크로파를 흡수하기 위한 로드(load)를 구비하는 절연체(isolator)와 같은 최적화 부재(430)를 포함한다. 도파관 섹션(33)의 일부는 장착판(425)을 통해 (35∼55??, 바림직하게는 45??의 각을 가지는) 다른 경사진 단부(433)에서 공동 공진기(315)에 결합되어 인접한다. 공동 공진기(315)에 인접한 단부(433)는 창(345)을 통해 도파관 섹션(330)으로부터 공동(315)에 이르기까지 개선된 마이크로파 결합을 제공한다. 이와 달리, 경사진 단부(433)는 구부러진 단부로 대체될 수 있다. 물론, 도파관 섹션(330)의 장착판(425)은 도파관 섹션(330)으로부터 마이크로파가 창(345)을 통해 공동 공진기(315)에 통과되도록 창(345)을 포함하며, 패스너(435)를 경유하여 판(425)에 장착된다. 본 발명의 실시예에서, 창(345)은 공동 공진기(315)의 벽 중심에 위치되어 있으며, 3.6cm(1.4inch)의 너비(wW)와 8.6cm(3.4inch)의 길이(lW)를 가진다. 장착판(425)과 공동 공진기(315) 사이에는 도파관 섹션(330)과 공동(315) 사이의 임의의 크랙을 통한 공동(315)으로부터의 누설로부터 마이크로파 에너지를 차단하는 마이크로파 차폐 가스킷(436)이 있다. 도 3(f)에 도시된 것처럼, 장착판(425)은 스크류, 볼트 또는 다른 종래의 고정 부재 또는 에폭시인 패스너(427, 435)로 도파관 섹션(330), 마그네트론(305) 및 공동 공진기(315)의 장착을 제공하도록 형성된다. 구체적인 장착판(425)의 형상은 실시예에 따라 달라진다.
모듈(420)에서, 방전 튜브(320)는 공동(315)을 통해 배치되며, 방전 튜브(320)가 최대 방사 패턴, 예를 들어 공동(315) 내에서 공진하는 마이크로파의 전기장 성분과 겹쳐지도록 위치된다. 본 발명의 실시예에 따라서, 공동 공진기(315)는 약 15cm(5.9inch)의 길이(lR)와, 15.2cm(6inch)의 너비(wR)와, 13cm(5.9inch)의 높이(hW)를 가지는 단일 모드이며, 주요 모드는 주파수가 2.45GHz인 TE102 마이크로파 모드(방전 튜브(320)의 길이에 수직이고 축 lR을 따른 "전파 방향"을 가정)이다. 공동 공진기(315)는 구리, 알루미늄, 스테인레스강 또는 다른 도전체 같은 금속으로 제조된다. 단면이 원형이며 알루미나로 제조되는 방전 튜브(320)는 4.75cm(1.87inch)의 외경과, 4.2cm(1.65inch)의 내경 및 15.2∼20.3cm(6∼8inch) 바람직하게는 20cm(7.8inch)인 길이를 가진다. 더욱이 방전 튜브(320)의 길이를 따른 중심축은 창(345)으로부터 (공진 주파수에서 마이크로파의 에너지의) 약 3/4 파장의 거리(d)에 위치되거나 그와 동등한 최적 거리에 위치된다. 본 발명의 실시예에서 d는 10.4cm(4.08inch)이다.
도 3(e) 및 도 3(f)에 도시된 것처럼, 방전 튜브(320)의 단부는 제 1 장착 조립체(350)와 제 2 장착 조립체(355)에 의해 공동 공진기(315)에 연결된다. 제 1 장착 조립체(350)는 하우징(360)을 포함하고 방전 튜브(320)의 입력 단부(365)를 고정하며, 공동 공진기(315)와 조립체(350) 내의 대응 구멍을 통해 나사(또는 다른 패스너 또는 에폭시가 사용될 수 있다)에 의해 공동 공진기(315)에 고정된다. 입력 단부(365)는 방전 튜브(320)를 밀폐시키기 위해 외경 주위에 실링 부재(370), 바람직하게는 O링을 가진다. 입력 단부(365)는 하우징(360)에 결합된 가스 공급 라인(375) 외에는 개방된 진공 밀폐식의 단부이다. 깨끗한 공급 다기관(445)에 결합된 가스 공급 라인(375)은, 방전 튜브(320) 내에 플라즈마를 형성하기 위해, 마이크로파에 의해 활성화된 방전 튜브(320) 내로 반응성 가스를 유입시킨다. 제 2 장착 조립체(355)는 방전 튜브(320)의 다른 단부를 포함하여 고정하며, 공동 공진기(315)와 조립체(355) 내의 반대쪽 벽에 대응 구멍을 통해 스크류(또는 다른 패스너 또는 에폭시가 사용될 수 있다)에 의해 공동 공진기(315)에 고정된다.
방전 튜브(320)의 출력 단부는 개방되어 있으며 도 3(f)에 도시된 것처럼 처리 챔버의 가스 혼합 영역(9)에 RF 절연체(438)를 통해 결합된, 출구 다기관(380)에 이른다. 다양한 실시예에서 알루미늄, 구리, 니켈 또는 스테인레스강 같은 금속으로 제조된 출구 다기관(380)은 RF 절연체(438)를 통해 챔버 내부로 원격 모듈의 방전 튜브(320)로부터 라디칼용 도관을 제공한다. 출구 다기관(380)의 부가적인 특성은 이하 더 상세히 설명되어 있다. 또 다른 실시예에서, 출구 다기관(380)의 내부는 절연체(438)와 관련하여 아래 설명될 라디컬 저항 재료로 라이닝될 수 있다.
특정 실시예에 따른 출구 다기관(380)은 도 3(g)∼도 3(i)에 더욱 상세히 설명되어 있다. 도 3(g)는 (점선으로 도시된) 방전 튜브(320)의 단부(385)와 관련되어 도시된 도 3(f)의 출구 다기관(380)의 측단면도이다. 도 3(h) 및 도 3(i)는 선 B-B'와 관련된 출구 다기관(380)의 정면 또는 후면도이다. 도 3(g) 및 도 3(h)에 도시된 것처럼, 출구 다기관(380)은 입력부 및 출력부를 가지는 도관 또는 통로를 제공한다. 바람직하게는, 출력부 다기관(380)의 도관은 단면이 원형일 수 있거나 다른 단면 형상을 가질 수 있으며, 방전 튜브(320)의 상대적인 위치와 입구를 챔버(400) 내부에 수용하도록 기울어져 있다. 본 발명의 실시예에서, 단부(385)는 방전 튜브(320)와 출구 다기관(380) 사이의 누출을 방지하기 위해 외경에 대해 O링인 실링 부재(437)를 가지는 것이 바람직하다. 방전 튜브(320)와 실링 부재(437)의 단부(385)는 진공 밀봉 연결부를 제공하기 위해 출구 다기관(380)의 입력 카운트보어 단면 내부로 각각 삽입된다. 출력 단부(385)가 이러한 카운터보어 입력부 위치에 놓이면, 출구 다기관(380)의 입력부 부분(450)은 방전 튜브(320) 내부로 소정 위치만큼 돌출된다. 단부(385)에 밀접하여, 이러한 돌출부(450)의 외부 표면은 방전 튜브(320)의 길이 부분을 따라 방전 튜브(320) 내부 체적 내에 매달려 있다. 방전 튜브(320)를 벗어난 라디컬에 의해 단부(385)에서 발생된 열을 방출하기 위해 흡열부(heat sink)로 효과적으로 작동하기 위해, 돌출부(450)는 방전 튜브(320) 내 가스의 내부 체적으로부터 대류 작용 또는 복사 작용에 의해 열을 보내기 위해서 방전 튜브(320) 내부로 충분히 연장된다. 출구 다기관(380)의 돌출부(450)가 방전 튜브(320) 단부(385)를 냉각하여, 전술한 바와 같이 길이가 공냉되는 방전 튜브의 수명을 연장시키기 때문에 유리하다. 방전 튜브(320)의 내경으로부터 출구 다기관(380) 내에 제공된 도관의 지름까지의 점진적인 전이를 위해, 돌출부(450)의 내부는 (평면으로부터 25∼50ㅀ, 바람직하게는 30ㅀ방사상으로 기울어진) 깔때기 형상으로 되어, 라디컬을 도관 내부로 향하게 한다. 이러한 깔때기 형상은 라디칼의 층류를 도관 내에 유지하여, 난류(turbulence) 및 맴돌이(eddy)가 가작 적게 하는데 도움이 된다. 특정 실시예에서 깔때기의 큰 쪽 개구부는 방전 튜브(320) 내경(4.2cm(1.65inch))보다 약간 작으며(3.8cm(1.5inch)), 깔때기의 작은 쪽 개구부는 약 2cm(0.8inch))이며, 출구 다기관(380) 도관의 수직 부분은 지름이 약 1.7cm(0.67inch)이다.
도 3(f) 및 도 3(i)에 도시된 것처럼 출구 다기관(380)은 (도시되지 않은) 안에 (미도시된) 관통 구멍을 구비하는 플랜지(452)를 가지는 출력부도 가져서, (도시되지 않은 대응 관통 구멍을 가지는) 플랜지(452)와 절연체(438)가 이러한 관통 구멍을 통해 위치된 스크류 또는 볼트에 의해 가스 혼합 영역(9)에 연결된다. 도 3(g)에 도시된 것처럼, 출구 다기관(380) 도관의 출력부는 가스 혼합 영역(9) 내부로 절연체(438)와 뚜껑(405)을 통해 지나는 입구 튜브를 정렬하기 위한 카운트보어(454)를 가진다. 입구 튜브 둘레의 실링 부재는 출구 다기관(380)의 카운트보어 출력부 내에서 사용되어 진공 밀봉부를 제공한다. 출구 다기관(380) 역시 다수의 관통 구멍(456)을 가져, 스크류 및 볼트를 사용하여 출구 다기관(380) 입력부를 조립체(355) 내의 대응 관통 구멍을 통해 공동(315)에 고정시킴으로써, 라디칼이 튜브(320)를 벗어나 출구 다기관(380)으로 가도록 한다.
도 3(i)에 도시된 것처럼, 출구 다기관(380)의 후면 외부면 상의 구멍(458)이, 시스템 제어기(34)에 연결된 안전 스위치를 구비한 열전쌍과 같은 (도시되지 않은) 온도 인터로크(temperature interlock) 장착을 위해 제공되어, 출구 다기관(380)의 온도가 방전 튜브(320)의 열 파괴(thermal cracking)의 문턱 온도에 접근하면 마그네트론에 공급되는 전력을 자동으로 차단할 수 있다. 선택적으로, (방전 튜브(320) 내에 존재하는 플라즈마 종에 의해) 방전 튜브(320)의 온도를 측정하여, 방전 튜브(320)의 열 파괴 문턱 온도가 넘지 않도록 모니터하는데 열전쌍(439)이 사용될 수 있다.
RF 절연체(438)는 출구 다기관(380), 뚜껑(405), 및 챔버 몸체(모두 접지됨)로부터 (높은 RF에 있는) 가스 혼합 영역(9)을 절연시킨다. RF 절연체(438)는 알루미나 또는 폴리테트라플루오르에틸렌(PTFE)과 같은 RF 절연체를 제공하는 재료로 제조되며, (NF3와 같은) 불소를 함유하는 가스를 사용하는 플라즈마를 형성할 때 불소 라디컬과 같은) 라디컬에 의해 에칭 또는 증착에 저항력을 가진다. (테프론TM PTFE와 같이 시판되는) PTFE를 함유하는 재료는 세정 공정 시 출구 다기관(380)에 의해 제공되는 도관 내부에서 불소 라디컬의 재결합에 기여하지 않는다. PTFE 이외에도, (퍼플루오르알콕시(perfluoroalkoxy) 측쇄를 구비한 폴리테트라플루오르에틸렌 수지의 탄소-불소 주쇄와 결합하는 중합체인) PFA, 플루오르와 결합된 에틸렌-프로필렌(TFE) 등과 같은 플루오르화 중합체를 포함하는 불화 물질도 사용된다. 물론, 특정 반응성 화학물질을 견디는 다른 재료도 사용된다.
전술한 바와 같이, 도 3(e) 및 도 3(f)에 도시된 처리 챔버(400)는 모듈(420)이 위치하여 부착됨으로써 함께 사용되는 실시예이다. 처리 챔버(400)는 많은 CVD 처리 챔버에서와 같이, 면적이 크고 형상이 다각형인 비교적 편평한 상부면 또는 뚜껑(405)을 가진다. 특정 실시예에서, 뚜껑(405) 및 챔버(400)는 조절 밸브와 가스 출구에 근접한 (예를 들어 도 3(b) 및 도 3(f)에 도시된) 축소된 영역(410)을 향하여 경사진다. 처리 챔버(400)의 뚜껑(405)에는 냉각제 입구 다기관(440), (도 3(e)에 점선으로 도시된) 입구(415), 및 청정 가스 공급 다기관(445)이 장착될 수 있다. 본 발명의 실시예에 따라, 냉각제 입구 다기관(440)은 그 입구에서 냉각 유체 입력부가 뚜껑(405) 내의 냉각제 통로로 유입되도록 한다. 청정 가스 공급 다기관(445)은 스테인레스강, 알루미늄, 구리, 또는 다른 실시예에서는 다른 금속으로 제조된다. 다른 실시예에서, 청정 가스 공급 다기관(445)은 절연체(438)에 대해 전술한 것과 유사한 라디컬 저항 재료(radical-resistant material)로 제조된다.
본 발명의 실시예에 따라, 도 3(e) 및 도 3(f)에 도시된 원격 모듈(420)은, 가스 혼합 영역(9)에 (아암(8) 내의) 가스 통로에 입구(415)를 통해 라디컬을 제공하는 도 3(b)에 도시된 실시예와는 대조적으로, 처리 챔버(400) 내부로 가스 분배 플레이트(13a)를 통한 유입을 위해, 방전 튜브(320)내에서 형성된 플라즈마로부터 출구 다기관(380)과 절연체(438)를 통해 가스 혼합 영역(9) 내부로 라디컬을 제공한다. 전술한 바와 같이, (점선으로 도시된) 입구(415)는 상층 단부 또는 바닥 단부를 통해 접근할 수 있으며, 이들 단부를 통해 가스는 (도 1(a) 및 도 1(d)의 아암(8) 내의) 가스 통로를 통해 가스 혼합 영역으로, 그리고 이후 가스 분배 플레이트(13)로 보내짐으로써 처리 챔버(400) 내부로 유입될 수 있다. 도 3(e) 및 도 3(f)의 실시예에서, 반응성 가스를 청정 가스 공급 다기관(445) 내로 제공하여 방전 튜브(320) 내로 유입시킴으로써, 라디컬을 함유하는 플라즈마를 형성하기 위해 입구(415)가 사용된다. 특히 방전 튜브(320) 내로의 도입을 위해 반응성 가스는 개방된 입구(415) 상단부를 통해 청정 가스 공급 다기관(445) 내로 보내진다. 입구(415)에 결합된 (도 1(a) 및 도 1(d)의 아암(8) 내의) 가스 통로는, 원격 모듈(420)에만 반응성 가스를 보낼 필요가 있을 때는 (예를 들어 선택적으로 개폐될 수 있는 게이트 밸브를 사용하여) 밀폐된 채로 유지될 수 있다. 그러나 입구(415)의 가스 통로는 반응성 가스가 원격 챔버(420) 뿐만 아니라 챔버(400)에도 보내지도록 개방되어 유지될 수 있다. 개방된 채로 유지될 때, 가스 통로는 진공 챔버 내부로 가스 혼합 영역(9)과 가스 분배 플레이트(13a)에의 유입을 위해 반응성 가스도 수용한다. 일반적으로, 증착 가스는 (상층 단부가 밀폐되어 있는 동안에는 바닥 단부로부터) 입구(415)로 유입되어 가스 혼합 영역으로 가는 가스 통로 내에 유입된다. 도 3(e)에 도시된 실시예에서, 세정용 반응성 가스는 입구(415)의 상층 단부를 개방함으로써, 세정된 가스 공급 다기관(445)에 선택적으로 유입될 수 있다. 입구(415)의 바닥 단부를 통해 입력된 세정 가스는 세정 다기관(445)을 통해 가스 공급 라인(375) 내부와 원격 모듈(420)의 방전 튜브(320)를 통과할 수 있다. 이들 가스가 방전 튜브(320) 내로 유입되어 플라즈마가 형성되며, 마이크로파에 의해 형성된 플라즈마로부터의 라디컬은 방전 튜브(320)로부터 출구 다기관(380)(및 절연체(438))에까지 가스 혼합 영역(9) 내부로 유입되며, 여기서 라디컬은 이후 가스 분배 플레이트(13a)를 통해 챔버(400)로 유입된다. 다른 실시예에서는 전술한 실시예와 달리 입구(415)가, 가스 공급 다기관(445)을 세정시키기 위해 보내질 세정 가스용 통로와 (아암(8) 내의) 가스 통로로 보내질 증착 가스용 개별 통로를 제공할 수 있다.
도 3(e) 및 도 3(f)에 도시된 실시예는 (개방된 입구(415)에 결합된 가스 통로를 유지시킴으로써) 챔버(400) 내부로 가스와 라디컬이 이중으로 유입되도록 한다. 일부 적용 분야에서는 가스와 라디컬의 이중 유입(dual entry)이 바람직하나, 다른 적용에서는 세정 가스를 원격 모듈(420) 내로 단일 유입시키기 위해 라디컬만이 챔버(400) 내부로 유입되게 하는 것도 바람직하다. 물론 또 다른 적용에서는 입구(415) 및/또는 원격 모듈(420)을 통한 처리 가스의 유입이 바람직한데, 이러한 실시예에서는 원격 모듈(420)이 증착에 사용된다. 세정을 위해, 가스 혼합 영역(9)과 가스 배기 다기관 사이 처리 챔버(400)의 잔류물은 부착된 원격 마이크로파 플라즈마 공급원 모듈(300)로부터의 라디컬에 의해 세정된다. 처리 챔버(400)로부터, 배기 시스템은 진공 펌프 시스템에 의해 진공 다기관 내부와 배기 라인 외부로 포트를 경유하여 잔류물 및 가스를 배출한다. 가스 및 잔류물이 배기 라인을 통해 방출되는 압력은 조절 밸브 및 펌프 시스템에 의해 제어된다.
도 4(a) 및 도 4(b)는 본 발명의 원격의 마이크로파 플라즈마 공급원 모듈(500)의 또 다른 실시예의 개략적인 평면도 및 측면도이다. 도 4(a) 및 도 4(b)의 실시예에 따라, 원격 마이크로파 플라즈마 공급원 모듈(500)은 (도시되지 않은) 두개의 마그네트론(505a 및 505b); 공동 공진기(515); 공동 공진기(515) 내의 T자형 방전 튜브(520); 공동 공진기(515) 내의 두 개의 개구부 또는 창(545a, 545b); 및 두 개의 도파관 시스템(555a, 555b)을 포함하고, 각 창(555a, 555b)은 각각의 마그네트론(545a, 545b)에 이른다. 도시를 간단하게 하기 위해, 도 4(b)는 도파관 시스템(555a, 555b)의 창(545a, 545b)만을 도시하고 있다. 강제 공기 냉각 시스템은 본 발명의 실시예에서 사용된다. 각 도파관 시스템(555) 및 창(545)을 구비한 마그네트론(505)의 구조 및 그에 대한 설명은 도 3(a)의 실시예에서 전술된 것과 유사하다. 알루미나로부터 제조된 방전 튜브(520)는, 수직 스템(565)에서 결합되고 중심 배기 포트로 작동하는 두 개의 수평 브랜치(560a, 560b)를 가진다. 반응성 가스는 방전 튜브(520)의 각 브랜치(560)의 외부 단부에 입력된다. 이때 브랜치(560a, 560b) 내의 반응성 가스는 마그네트론(505a, 505b)으로부터 각 도파관 시스템(555a, 555b)을 경유하여 각 창(545a, 545b)을 통해 나아가는 마이크로파 복사에 의해 활성화된다. 이로 인해, 방전 튜브(520)의 브랜치(560a, 560b) 내에 플라즈마가 형성된다. 브랜치(560a, 560b) 내에 형성된 플라즈마로부터 발생하는 라디컬은 스템(560)을 통해 방전 튜브(520)를 벗어난다. 스템(560)으로부터 발생하는 라디컬 출력은 스템의 분배 다기관을 통해 처리 챔버(400) 내부로 공급된다. 스템(560)과 처리 챔버(400) 사이의 단거리의 공급은 라디컬의 재결합을 감소시킨다. 잔류물과 가스가 부착된 CVD 장치 내부로 원격 모듈(500)로부터 흐르는 라디컬 흐름이 배출된다. 부착된 CVD 장치로부터, 배기 시스템은 진공 펌프 시스템에 의해 진공 다기관 내부와 배기 라인 외부로 포트를 통해 잔류물과 가스를 배출시킨다. 가스와 잔류물이 배기 라인을 통해 배출되는 압력은 조절 밸브 및 펌프 시스템에 의해 제어된다.
다수의 간헐적인 맥동 마그네트론을 사용하면 가스를 여러 번 이온화시켜 전체 이온화 및 라디컬 형성이 향상된다. 즉 주어진 시간에 방전 튜브에 인가되는 마이크로파 에너지의 양을 증가시키기 위해, 방전 튜브 내 가스의 동일한 차동 체적은 다수의 마그네트론으로부터의 마이크로파에 의해 에너지를 받을 것이다. 방전 튜브 내로의 낮은 가스 유동이 주어지면, (각각 낮은 마이크로파 전력을 제공하는) 다수의 맥동 하는 마그네트론이 사용되어, 특정 레벨의 이온화 및 라디컬 형성을 이룰 수 있다. 한 실시예에서, 다수의 자전과 시스템은 전술한 바와 같이, 두 개의 마그네트론을 사용한다. 도 4(a) 및 도 4(b)의 실시예를 포함하는 이중의 마그네트론 시스템에서, 두 개의 마그네트론 공급원은 마이크로파가 120Hz에서 일정하게 맥동하도록 전력을 공급한다. 도 5(a)에 도시된 것과 같이, 각 마그네트론(505a, 505b)은 각각의 맥동 전력원을 사용하여 (약 60Hz의) 맥동 방식으로 (약 2.45GHz에서) 마이크로파를 발생시킨다. 도 5(b)에 도시된 것처럼, 다른 마그네트론(505a)과 관련되어 약 180ㅀ의 위상차에 의해 하나의 마그네트론(505b)의 맥동을 지연시킴으로써, 마그네트론용 두 개의 맥동 전력원은 도 5(c)에 도시된 것처럼, 약 120Hz에서 일정하게 맥동되는 마이크로파를 제공할 수 있다. 도 5(c)에서, 파형(MA)의 제 1 주기는 마그네트론 505a에 의해 발생되며, 제 2 주기(MB)는 마그네트론 505b에 의해 발생된다. 약 700sccm의 NF3 가스가 약 1.2torr보다 낮은 챔버압에 대해 약 3torr보다 낮은 압력의 방전 튜브 내부로 흐르는 경우, 마그네트론에 의해 발생된 약 1.5kW의 마이크로파 전력이 약 99%의 가스 붕괴 효율(gas breakdown efficiency)을 발생시킬 수 있다. 따라서 교번하여 맥동하는 전력원에 의해 전력을 받는 이중의 마그네트론은 용량 결합된 전극보다 세정 효율이 더 높으며, 가스 붕괴 효율이 약 15∼30%이다. 더욱이 마그네트론을 위해 저전압의 맥동 전력원을 사용함으로써, 방전 튜브(520)에서 열이 덜 발생하고, 전술한 바와 같이 방전 튜브(520)에서 열을 방출하는 데에는 공냉이면 충분하다. 물론 다양한 실시예에서 마그네트론은 공냉 및 액체 냉각이 필요할 수도 있다.
도 6(a) 및 도 6(b)는 신규한 CVD 장치 또는 기존의 CVD 장치의 개선을 제공하는 본 발명의 또 다른 특정 실시예를 개략적으로 도시한 수직 횡단면도이다. 본 발명의 실시예는 개선된 성능의 마이크로파 플라즈마 공급원을 제공하기 위해 CVD 장치와 일체식이다.
특히 도 6(a)는 본 발명의 또 다른 특정 실시예에 따른 두 개의 마그네트론 공급원(605a, 605b)을 이용하는 챔버(603)를 가지는 개선된 CVD 장치(600)를 도시하고 있다. 물론, 다른 실시예에서는 하나의 마그네트론 공급원을 사용하거나 두 개 이상의 마그네트론 공급원을 사용할 수도 있다. 도 6(a)에 도시된 것처럼, CVD 장치(600)는 벽(613)과 상부 덮개(615) 및 기저부(617)를 가지는 챔버 몸체(610)와; 저항 가열되는 받침대(pedestal; 620) 상에 놓여 있는 (도시되지 않은) 웨이퍼 또는 받침대에 다기관(619) 내의 천공 구멍을 통해 반응성 가스를 산란시키기 위한 가스 분배 다기관(619)을 포함한다. 받침대(620)는 열 반응성이 매우 높으며, 받침대(620)(및 받침대(620)의 상부 표면 위에 지지된 기판)는, 다기관(619)에 인접한 하부 부하/무 부하 위치 및 상부 처리 위치 사이의 리프트 기구(630)에 의해 제어 가능하게 이동될 수 있도록 지지대(625) 상에 장착된다.
도 6(a)에 도시된 것처럼, 벽(613)과 기저부(617) 사이의 접합점 부근에서, CVD 장치(600)는 유전체 창(635a, 635b)을 가지는데, 이 창은 세라믹이나 사파이어 형태의 알루미나로 제조되는 것이 바람직하다. 예를 들어 기존의 CVD 장치는 창 및 유전체 창이 장착되도록 가공될 수 있다. 유전체 창(635a, 635b)은 마이크로파에 대해 상대적으로 투명하고 챔버(603) 내의 플라즈마 내에 형성된 라디컬에 의해 에칭에 대한 내성을 가지는 재료로 만들어진다.
마그네트론(605a, 605b)은 유전체 창(635a, 635b)에 각각 연결되는 도파관(640a, 640b)에 각각 결합된다. 마그네트론(605a, 605b)에서 발생되는 마이크로파 에너지는 도파관(640a, 640b)에 의해 유전체 창(635a, 635b)을 통해 챔버(603)로 향한다. 도파관(640a, 640b)은 마이크로파 에너지를 향하게 하고 마그네트론(605a, 605b)의 위치를 제공하는데 주로 사용된다. 다른 실시예에서는 도파관(640a, 640b)이 생략되거나, 마그네트론(605a, 605b)이 도 6(b)에 도시된 것처럼 유전체 창(635a, 635b)에 근접하여 위치된다. 더욱이, 도파관을 가지는 제 1 마그네트론과 도파관이 없는 제 2 마그네트론의 결합은 CVD 장치(600)에서 공간 상의 제약에 따라 다르게 사용된다. 마그네트론(605a, 605b)은 약 60Hz에서 작동하는 저전압 맥동 전력원을 가지는 약 2.45GHz의 마이크로파를 방출하는 저가의 마이크로파 마그네트론이다. 바람직하게, 마그네트론(605a, 605b)은 도 5(a) 내지 도 5(c)에 도시된 것처럼 약 120Hz에서 일정한 맥동 마이크로파를 제공하기 위해 교번하여 맥동한다.
물론 CVD 장치(600)는, 도 1(a) 내지 도 1(f)의 CVD 시스템용으로 설명되었기 때문에 여기서는 도시되거나 설명되지 않는, 가스 공급 라인, 가스 혼합 시스템, 질량 유동 제어기/밸브 등과 같은 다른 부재를 포함한다. 가스 혼합 시스템 내부로 질량 유동 제어기를 가지는 가스 공급 라인을 통해 공급되는 반응성 가스는 다기관(619)으로 보내진다. 도 6(a) 및 도 6(b)의 특정 실시예에 따라, 다기관(619)에 공급되는 반응성 가스는 받침대(620)를 향해 균일하게 배출되고 마그네트론(605a, 605b)으로부터 유전체 창(635a, 635b)을 통해 방출되는 마이크로파 에너지에 의해 이온화된다. 반응성 가스가 챔버(603) 내부로 분배된 이후에 이온화됨에 따라, 페이스 플레이트(619)를 통한 통로 상의 이온 재결합 가능성은 마그네트론을 사용하는 실시예에서 방지된다. 즉 본 발명에 따른 마그네트론 공급원에 의해 발생되는 플라즈마는 순수한 화학적 효과를 가지는 이온을 발생한다. 그러나 바이어스된 플라즈마를 발생시키는데 전압 또는 전위차를 이용하는 다른 CVD 시스템은, 시간이 지남에 따라 다양한 챔버 부품에의 물리적 스퍼터링 효과에 의한 광범위한 이온 손상(ion damage)을 겪게 된다. 특히 전위차는 플라즈마 내의 이온이 챔버 벽을 향해 가속화되도록 한다. 본 발명은 전위차의 인가 없이 작동하며 이러한 스퍼터링 효과 없이 화학 특성을 가지는 이온을 제공한다. 그러므로 본 발명은 다른 CVD 시스템에 비해 다양한 챔버 부품에 발생되는 이온 손상이 적다.
상기 실시예에서, 챔버(603)의 체적은 마그네트론(605a, 605b)으로부터 오는 마이크로파를 위한 공동 공진기로서 작동한다. 일반적으로, 받침대(620)가 가장 낮은 위치에 있을 때, 챔버(603)는 35.6cm(14inch)의 너비, 48.3cm(19inch)의 길이, 17.8cm(7inch)의 높이를 가진다. 상기 챔버 체적(603)은 약 2.54GHz에서의 공진에 적합하다. 그러나 공진 챔버 체적(603)의 동조는 CVD 장치(600) 부분을 조절함으로써 이루어진다. 특정 실시예에서, 페이스 플레이트(619)와 받침대(620) 사이의 가변 공간은 공진을 위해 챔버/공동(603)을 동조하는데 사용된다. 이온화 및 라디컬 형성의 효율은 챔버(613)의 페이스 플레이트(619)와 받침대(620) 사이의 공진기 체적을 얻음으로써 향상된다. 이후 받침대(620)를 움직이면, 챔버/공동(603)을 동조하여, 마이크로파에 의한 플라즈마의 점화 이전이나 이후에 임피던스 변화를 조절할 수 있다. 특히 받침대(620)는 플라즈마 점화를 위한 공진기 체적을 한정하는 제 1 위치로 페이스 플레이트(619)에 대해 이동된다. 받침대(620)는 플라즈마가 발생된 이후에 임피던스 변화를 보정하기 위해 공진기 체적을 조정하는 제 2 위치로 페이스 플레이트(619)에 대해 조절될 수 있다. 이와 달리, 공진 챔버 체적(603)의 조정은 이동 가능한 챔버 벽(613)을 제공함으로써 이루어진다. 챔버 벽(613) 사이의 가변 공간은 공진용으로 챔버/공동(603)을 조정하는데 사용된다. 이온화 및 라디컬 형성의 효율은 챔버 벽(613) 사이의 공진기 체적을 완성시킴으로써 증가될 수 있다. 플라즈마 형성 전 위치 및 플라즈마 형성 후 위치 모두에 대해 챔버 벽(613) 사이의 공간이 조절되어, 임피던스 변화를 보상할 수 있다.
마이크로파 공급원에 의한 가스 이온화의 효율이 높기 때문에, 챔버(603) 내 플라즈마의 국부화(localization)를 방지하기 위해 저압 또는 저유량 기간(regime)이 사용된다. 원격 마이크로파 플라즈마 공급원 내에서 방전 튜브를 사용하는 전술한 다른 실시예와는 달리, 상기 실시예는 방전 튜브를 사용하는 대신 챔버 몸체(610) 위에 장착된 유전체 창을 필요로 한다. 더욱이, 벽(613)은 온도 제어식이며 약 80??에서 유지된다. 그러므로, 챔버 벽(613)은 유전체 창(635a, 635b)을 위해 우수한 열 방출을 제공하며, 그 결과 유전체 창을 능동적으로 냉각(공기 또는 액체)할 필요가 없어진다. 진공 펌프 시스템을 포함하는 배기 시스템은 포트를 경유하여 진공 다기관 내부와 배기 라인 외부로 잔류물과 가스를 배출시킨다. 배기 라인을 통해 가스와 잔류물이 방출되는 압력은 조절 밸브 및 펌핑 시스템에 의해 제어된다.
본 발명의 전술한 실시예는 세정 CVD 장치 또는 또 다른 장치에 유용하다. 본 발명의 유용성은 반응성 가스인 NF3를 사용하는 CVD 장치의 세정 시에 나타난다. 그러나 CF4, CIF3와 같은 다른 반응성 가스도 사용된다.
도 1(a)∼도 1(f)에 전술된 CVD 시스템(10)과 유사한 처리 챔버에 대해 본 발명의 원격 모듈(300, 420 또는 500)(도 3 및 도 4)이 설명되는데, 본 발명은 다른 CVD 장치 또는 다른 장치와 함께 사용될 수도 있다. 처리 챔버를 세정하기 위해, 제어기(34)는 자동화된 아암의 모터를 제어하여, 처리 챔버 내에서 처리되었던 임의의 웨이퍼를 받침대(12)로부터 제거한다. 받침대(12)는 가스 분배 다기관(11)으로부터 가장 낮은 비처리 위치(한 실시예에서는 약 999mil)로 이동되어, 원격 모듈(300, 420 또는 500) 내에서 형성된 상류 플라즈마에서 발생되는 라디컬에 의해 챔버를 최적으로 세정한다. 일단 받침대(12)가 적절하게 위치되면, 시스템 제어기(34)는 가열된 받침대(12)를 바람직하게 300∼500??, 보다 바람직하게는 400??에 유지시킨다. 이러한 온도 범위는 세정 공정 동안 유지된다. 처리 챔버는 일부 실시예에서 1.5torr보다 낮은 압력, 바람직하게는 50millitorr∼1.5torr의 범위, 가장 바람직하게는 0.7∼1.2torr의 압력에 유지된다. 방전 튜브 내의 압력은 플라즈마를 발생시키기 위해 3torr보다 낮고, 일부 실시예에서는 1.5∼3torr이다. 반응성 가스 NF3는 입구(415)를 통해 세정 가스 다기관(445) 내부로 원격 모듈(300)의 방전 튜브(320)내의 입력 공급기(375)와 입구 내부로 원격 모듈(500)의 방전 튜브(520)에 유입되고, 여기서 마이크로파가 NF3를 이온화시킨다. 바람직하게, NF3의 유량은 약 400∼1000sccm이며, 가장 바람직하게는 700sccm이다. 반응 가스(reactant gas)가 방전 튜브 내로 유입될 때의 속도는, 가스 공급 라인(375) 또는 입구 내의 밸브 또는 질량 유동 제어기를 통해 CVD 시스템(10)의 시스템 제어기(34)에 의해 제어된다. 선택적으로, NF3는 입구(415)로부터 가스 통로를 통해, 챔버 내부로 유입되기 위한 가스 혼합 영역 내부로 흐른다. 반응제 가스는 초기에 마그네트론에 전력의 인 가없이 유동하여 가스 유동의 안정성을 제공할 수 있다. 이러한 가스 흐름 안정성은 마그네트론에 전력을 공급하기 이전에 특정 실시예에서 약 5초 동안 지속된다. 이때, 원격 모듈 내에서 발생되는 플라즈마로부터의 불소 라디컬은(그리고 선택적으로 NF3도) 처리 챔버의 다기관(11)을 통해 하류로 흐르며 처리 챔버 내의 잔류물을 효과적으로 세정시킨다. 선택된 처리 챔버 압력은, 진공 펌프 시스템과 함께, 조절 밸브에 의해 증착 시 설정되고 유지된다. 조절 밸브 및 진공 펌프 시스템 모두는, 선택된 압력을 설정하고 유지시키는 시스템 제어기(34)에 의해 제어된다. 설정 이후, 처리 조건은 바람직하게 40∼80초, 가장 바람직하게는 50∼65초의 선택된 시간 동안 시스템 제어기(34)에 의해 유지된다. 세정 공정이 완결된 이후에 마그네트론이 전력을 받으면, 압력은 챔버 내에서 발생되는 연속 공정 단계에서 소정값에 도달하기 전 약 5초 동안 안정화된다. 약 1.2torr보다 낮은 챔버 압력에서 방전 튜브 내부로 약 3torr보다 낮은 압력과 약 700sccm으로 흐르는 NF3의 가스 흐름에서, 마그네트론에 의해 발생된 약 1.5kW의 마이크로파 전력은 약 99%의 가스 붕괴 효율을 얻을 수 있다.
본 발명에 따른 신규하거나 개선된 CVD 장치(600)에 대한 이하의 설명은 도 1(a)∼도 1(f)에서 전술된 CVD 시스템(10)과 같은 처리 챔버와 관련하여 설명되지만, 본 발명은 다른 CVD 시스템에도 사용될 수 있다. CVD 장치(600)를 세정하기 위해, 시스템 제어기(34)는 로봇 아암의 적절한 모터를 제어하여, 처리 챔버(603) 내에서 처리된 임의의 웨이퍼를 받침대(620)로부터 제거한다. 받침대(620)는 가스 분배 다기관(619)으로부터 약 999mil인 가장 낮은 비처리 영역으로 이동되어, 챔버(603) 내에 형성된 플라즈마로부터 불소 라디컬에 의해 최적의 세정을 제공한다. 받침대(620)가 적당하게 위치되면, 제어기(34)는 350∼450??, 가장 바람직하게는 400??에서 가열된 받침대(620)를 유지한다. 이 온도 범위는 세정 공정 전체에 걸쳐 유지된다. 처리 챔버(603)는 약 50millitorr∼1.5torr의 범위 내의 압력에 유지된다. 압력 안정화 단계도 수행될 수 있다. 반응성 가스 NF3는 공진 챔버(603) 내부로 가스 공급 라인을 통해 가스 다기관(619)으로 유입되어, 마그네트론으로부터 마이크로파는 NF3를 이온화시킨다. NF3의 유량은 바람직하게 400∼800sccm이며, 가장 바람직하게 600sccm이다. 반응제 가스가 처리 챔버(603) 내부로 유입되는 속도는 가스 공급 라인 내의 밸브나 질량 유동 제어기를 통해 CVD 시스템(10)의 제어기(34)에 의해 제어된다. 마이크로파 플라즈마에서 오는 불소 라디컬은 처리 챔버(603)의 잔류물을 효과적으로 세정한다. 다른 실시예에서, 불소를 함유하는 다른 가스가 공진 챔버(603) 내로 유입된다. 시스템 제어기(34)는 받침대(620)의 위치를 플라즈마 발생 전 공진 및 발생 후 공진에 대해 동조되도록 조절하는 리프트 기구(630)도 제어한다. 공진 챔버(603) 내의 선택된 압력은, 진공 펌프 시스템 및 반응성 가스의 유입과 함께, 조절 밸브에 의해 세정 공정 전체에 걸쳐 설정 및 유지된다. 조절 밸브 및 진공 펌프 시스템 모두는, 선택된 압력을 설정하고 유지하는 제어기(34)에 의해 제어된다. 설정된 이후에, 처리 조건은 CVD 시스템을 효율적으로 세정시키기 위해 선택된 시간 동안 제어기(34)에 의해 유지된다.
도 6(a) 및 도 6(b)의 실시예는 개선된 세정 능력을 제공하는 것 외에 다른 공정 단계에서 요구되는 증착 및 에칭에도 사용될 수 있으며, 그 결과 시간을 절감하고 다른 이점을 제공한다. 이러한 실시예는 처리 챔버(603)에 원격 모듈을 부착하지 않고 일체식 마이크로파 플라즈마 공급원을 사용하는 웨이퍼 또는 기판을 에칭하거나 증착하는데 사용된다. 더욱이, 원격 모듈이 처리 챔버(603)에 부착된다 하더라도, 처리 챔버(603)로부터 원격 모듈의 제거 과정은 처리 챔버(603)의 뚜껑으로부터 원격 모듈을 간단히 부착하고 제거함으로써 쉽게 이루어진다. 따라서, 처리 챔버(603)의 유지 세정 단계는 장애받지 않는 뚜껑을 개방하거나 뚜껑을 개방하기 위해 원격 모듈을 쉽게 제거하는 단계를 포함하며, 그 결과 시간의 손실을 줄일 수 있다.
전술한 가스 흐름, 챔버 압력 및 온도 범위는 처리된 다수의 웨이퍼 또는 기판 뒤에 존재하는, 시간이 경과함에 따라 조성되는 원치 않는 산화물 또는 질화물 잔류물을 제거하기에 충분한 세정 공정을 제공한다. 상기 공정의 변수는 청구범위에 한정되지 않는다. 특정 세정 공정에 선택된 실제 값(온도, 압력, 가스 흐름 등)은 다양한 적용례에 따라 달라진다. 또 전술한 유동값은 어플라이드 머티어리얼스에서 제조된 (200mm 웨이퍼와 총 5리터의 체적을 가지는) DxZ 챔버용이지만, 이 유동값은 사용되는 챔버의 형태 및 크기에 따라 달라진다. 예를 들어 다수의 챔버에는 다른 유동값이 필요하다. 더욱이 전술한 유동값은 특정 실시예에 따른 규격의 어플리케이터 튜브용이며, 다른 치수의 어플리케이터 튜브에 따라 달라질 수 있다. 당업자라면 본 발명에 대해 다른 화학 물질, 챔버 변수 및 세정 조건을 사용할 수 있을 것이다.
상술한 설명은 모두 본 발명을 제한하는 것이 아니라 예시적인 것이다. 당업자라면 상기 발명의 상세한 설명 내용의 검토에 따라 다양한 실시예를 구현할 수 있을 것이다. 예를 들어 본 발명을 주로 세정 장치와 관련하여 설명하였으나, 이에 한정되는 것은 아니다. 당업자라면 첨부된 특허청구범위를 벗어나지 않으면서, 유전체 층을 증착하는 균등한 다른 방법을 알 수 있을 것이다. 상기 설명은 특히 NF3에 대한 것이나, 희석된 F2, CF4, C2F6, C3F8, SF6 또는 CIF3 를 포함하는 다른 반응성 가스가, 실리콘 산화물을 증착하는데 사용되는 기판 처리 시스템을 세정하는데 사용될 수 있다. 또는 마이크로파 플라즈마 시스템이 증착이나 에칭에 사용되는 실시예에 대해 증착 및 에칭 가스가 사용될 수 있다. CVD 챔버와 함께 사용되는 것 외에, 전술한 원격 플라즈마 모듈은 에칭 챔버, 물리 기상 증착 챔버(PVD) 또는 다른 챔버와 사용된다. 더욱이, 특정 실시예에 따라 상기 장치의 다양한 부분의 특정 규격이 설명되었지만, 일부 구체적인 치수는 예시적인 것이며, 다른 실시예에는 다른 치수가 사용될 수 있다. 그러므로 본 발명의 범위는 상기 발명의 상세한 설명이 아니라, 첨부된 청구범위 및 그 균등물의 범위로 정해져야 할 것이다.
이상 설명한 본원 발명에 따르면, 챔버를 효율적으로 세정할 수 있는 플라즈마를 공급하는 개선된 CVD 시스템용 장치 및 방법이 제공되어, 용이하게 해체 가능하고, 편리하게 조작될 수 있는 저렴한 마이크로파 플라즈마 공급원을 기존의 CVD 장치에 제공할 수 있으며, 원격 플라즈마 공급원은 플라즈마 어플리케이터 튜브를 액체 냉각시킬 필요 없이 플라즈마를 효율적으로 공급하며, 필요시 챔버를 효율적으로 세정할 수 있는 플라즈마를 발생시킨다.
도 1(a) 및 도 1(b)는 본 발명에 따른 화학 기상 증착 장치의 실시예를 도시한 수직 단면도.
도 1(c) 및 도 1(d)은 도 1(a)에 도시된 CVD 챔버의 부품을 도시한 분해도.
도 1(e)는 하나 이상의 챔버를 포함하는 시스템에서 모니터와 CVD 시스템(10)을 도시한 단순 개략도.
도 1(f)는 특정 실시예에 따른 시스템 제어 소프트웨어, 컴퓨터 프로그램(70)의 제어 구조를 단계별로 도시한 블록선도.
도 2는 본 발명의 방법에 따라 제조된 반도체 장치를 개략적으로 도시한 단면도.
도 3(a)는 본 발명의 특정 실시예에 따른 원격 마이크로파 플라즈마 공급원의 평면도.
도 3(b)는 본 발명의 특정 실시예에서 사용되는 처리 챔버 내의 모듈의 평면도.
도 3(c)는 공동 공진기(320) 내의 두개의 방전 튜브(320a, 320b)를 포함하는 모듈(300)의 또 다른 실시예를 도시한 평면도.
도 3(d)는 처리 챔버의 뚜껑(405)과 관련되어 도시된 도 3(a) 또는 도 3(c)의 모듈 부분을 도시한 측면도.
도 3(e) 및 도 3(f)는 본 발명의 또 다른 실시예에서 사용되는 처리 챔버 내의 원격 마이크로파 플라즈마 공급원 모듈을 각각 도시한 평면도 및 측면도.
도 3(g)는 또 다른 특정 실시예에 따른 방전 튜브(320)의 단부(385)와 관련되어 도시된 도 3(f)의 출구 다기관(380)의 측면 횡단면도.
도 3(h) 및 도 3(i)는 또 다른 특정 실시예에 따른 도 3(g)의 선 B-B'와 관련된 출구 다기관(380)의 정면도 및 후면도.
도 4(a) 및 도 4(b)는 본 발명의 원격 마이크로파 플라즈마 공급원의 또 다른 실시예를 개략적으로 도시한 평면도 및 측면도.
도 5(a)내지 도 5(c)는 120Hz에서 일정한 맥동을 발생시키기 위해 (60Hz)맥동의 전기장(2.45GHz)을 교대로 발생시키는 이중의 마그네트론을 도시한 시간 대 전력의 관계의 그래프.
도 6(a) 및 도 6(b)는 개선된 신규의 CVD 장치 또는 기존의 CVD 장치(600)를 개조한 본 발명의 또 다른 실시예를 도시한 단순 개략도.
* 도면의 주요 부분에 대한 부호의 설명 *
10: 반응로 11: 다기관
20: 기판 32: 모터
38: 메모리 44: RF 전력원
200: 집적 회로 203, 206: 트랜지스터
300: 원격 마이크로파 플라즈마 공급원 모듈
305: 마그네트론 310: 도파관 시스템
315: 공동 공진기 335: 동조 스텁
375: 공급 라인 400: 처리 챔버
420: 원격 모듈 425: 장착판
438: 절연체(isolator) 452: 플랜지
600: CVD 장치 620: 받침대

Claims (48)

  1. 기판 처리 장치에 사용하기 위한 원격 마이크로파 플라즈마 공급원 모듈로서,
    저 와트수의 제 1 맥동 전력원에 의해 작동하는 제 1 마그네트론을 구비하고, 낮은 마이크로파 전력으로 마이크로파를 제공하는 마그네트론 시스템;
    제 1 창을 갖는 제 1 표면을 구비하고 상기 마이크로파를 공진시키는 공동 공진기;
    상기 제 1 마그네트론에 결합되는 제 1 도파관을 포함하는 도파관 시스템 - 상기 도파관 시스템은 상기 제 1 창을 통해서 상기 공동 공진기에 결합되며, 상기 제 1 도파관이 상기 제 1 마그네트론에서부터 제 1 창을 경유해서 상기 공동 공진기로 마이크로파를 향하게 함 - ; 및
    튜브 길이와 튜브 지름을 가지며 상기 공동 공진기 내에 배치되는 제 1 플라즈마 방전 튜브를 포함하며,
    상기 최대 마이크로파 필드는 상기 제 1 표면에 실질적으로 평행한 튜브 길이를 따라 상기 튜브 지름과 겹치며, 상기 제 1 플라즈마 방전 튜브는 반응 가스를 수용하고 상기 공동 공진기 내에서 마이크로파에 의해 활성화되는 상기 반응가스로부터 라디칼을 방출하며; 그리고
    상기 원격 마이크로파 플라즈마 공급원 모듈은 상기 기판 처리 장치에 용이하게 부착될 수 있는 모듈형 유닛으로 구성되며, 상기 모듈형 유닛은 상기 기판 처리 장치의 상부 치수와 동등한 면적을 가지는 원격 마이크로파 플라즈마 공급원 모듈.
  2. 제 1 항에 있어서, 상기 낮은 마이크로파 전력이 약 1∼1.5 킬로와트인 원격 마이크로파 플라즈마 공급원 모듈.
  3. 제 2 항에 있어서, 상기 제 1 도파관은 제 1 단부, 상기 제 1 단부에 대향하는 제 2 단부, 제 1 벽 및 상기 제 1 벽에 대향하는 제 2 벽을 포함하며, 상기 제 1 도파관은 상기 제 1 단부 근처에서 상기 제 1 마그네트론에 결합되며, 상기 제 2 단부 근처의 제 2 벽의 일부분이 상기 제 1 창을 포함하는 원격 마이크로파 플라즈마 공급원 모듈.
  4. 제 3 항에 있어서, 상기 제 1 벽이 상기 공동 내의 마이크로파를 동조시키기 위한 하나 이상의 동조 스텁(tuning stub)을 포함하는 원격 마이크로파 플라즈마 공급원 모듈.
  5. 제 3 항에 있어서, 상기 공동 공진기는 상부 벽, 하부 벽, 정면 벽, 후면 벽, 제 1 측벽 및 제 2 측벽을 포함하는 직사각형 공동을 포함하며, 상기 상부 벽은 상기 정면, 후면, 제 1 및 제 2 측벽에 결합되며, 상기 하부 벽은 상기 정면, 후면, 제 1 및 제 2 측벽에 결합되며, 상기 정면 벽은 상기 후면 벽과 대향하며, 상기 제 1 측벽은 상기 제 2 측벽과 대향하며, 상기 상부 벽은 상기 하부 벽과 대향하며, 상기 제 1 측벽은 상기 제 1 창을 포함하는 제 1 표면을 포함하는 원격 마이크로파 플라즈마 공급원 모듈.
  6. 제 3 항에 있어서, 상기 제 1 플라즈마 방전 튜브는 제 1 개구부부 및 제 2 개구부를 가지며, 상기 제 1 개구부는 상기 정면 벽에 연결되며, 상기 제 2 개구부는 상기 후면 벽에 연결되는 원격 마이크로파 플라즈마 공급원 모듈.
  7. 제 6 항에 있어서, 상기 제 1 개구부는 상기 반응가스의 유입부로서 작용하며 상기 제 2 개구부는 상기 활성화된 반응가스로부터의 라디칼의 배출부로서 작용하는 원격 마이크로파 플라즈마 공급원 모듈.
  8. 제 6 항에 있어서, 상기 제 1 개구부는 반응가스의 유입부로서 작용하며 상기 제 2 개구부는 상기 반응가스의 추가의 유입부로서 작용하며; 상기 제 1 도파관이 활성화된 반응 가스로부터의 라디칼의 배출부로서 작용하고 상기 하부 벽을 통해 배치되는 제 3 개구부를 더 포함하는 원격 마이크로파 플라즈마 공급원 모듈.
  9. 제 1 항에 있어서, 상기 원격 마이크로파 플라즈마 공급원 모듈이 다수의 플라즈마 방전 튜브를 더 포함하며, 상기 제 1 플라즈마 방전 튜브는 상기 다수의 방전 튜브 중 하나이며, 상기 다수의 플라즈마 방전 튜브는 다른 최대 마이크로파 필드가 상기 다수의 방전 튜브 중 다른 방전 튜브 길이를 따라 상기 다른 방전 튜브의 지름과 겹치도록 상기 공동 공진기 내에 배치되며, 상기 다수의 방전 튜브는 상기 제 1 및 제 2 측면 벽에 실질적으로 평행하여 상기 반응가스를 수용하고 상기 공동 공진기 내에서 상기 마이크로파에 의해 활성화된 상기 반응가스로부터 라디칼을 방출시키는 원격 마이크로파 플라즈마 공급원 모듈.
  10. 제 1 항에 있어서, 상기 공동 공진기가 단일 모드 공동인 원격 마이크로파 플라즈마 공급원 모듈.
  11. 제 1 항에 있어서, 상기 공동 공진기가 다중 모드 공동인 원격 마이크로파 플라즈마 공급원 모듈.
  12. 제 1 항에 있어서, 상기 마그네트론 시스템은 저 와트수의 맥동 전력원에 의해 작동하는 제 2 마그네트론을 더 포함하며, 상기 제 2 마그네트론은 또한 상기 제 1 마그네트론과 대향하는 상기 제 1 단부 근처에서 상기 제 1 도파관에 결합되는 원격 마이크로파 플라즈마 공급원 모듈.
  13. 제 3 항에 있어서, 상기 제 1 도파관이 제 3 벽과 상기 제 3 벽에 평행하고 대향하는 제 4 벽을 더 포함하는 원격 마이크로파 플라즈마 공급원 모듈.
  14. 제 3 항에 있어서,
    상기 마그네트론 시스템은 상기 저 와트수의 상기 제 1 맥동 전력원에 대해 교번적으로 맥동하는 저 와트수의 제 2 맥동 전력원에 의해 작동하는 제 2 마그네트론을 더 포함하며,
    상기 공동 공진기의 상기 제 2 측벽은 제 2 창을 가지며,
    상기 도파관 시스템은 제 3 단부, 상기 제 3 단부와 대향하는 제 4 단부, 제 5 벽, 및 상기 제 5 벽과 대향하는 제 6 벽을 포함하는 제 2 도파관을 더 포함하며, 상기 제 4 단부 근처의 상기 제 6 벽의 일부분은 상기 제 2 창을 경유하여 상기 공동 공진기에 결합되며, 상기 제 2 도파관은 상기 제 3 단부 근처에서 상기 제 2 마그네트론에 결합되며, 상기 제 2 도파관은 마이크로파가 상기 제 2 마그네트론으로부터 상기 제 2 창을 경유하여 상기 공동 공진기 내로 향하게 하는 원격 마이크로파 플라즈마 공급원 모듈.
  15. 제 14 항에 있어서, 상기 제 1 및 제 2 마그네트론은 교대로 맥동하도록 전력을 공급받는 원격 마이크로파 플라즈마 공급원 모듈.
  16. 제 14 항에 있어서, 상기 제 1 및 제 2 마그네트론이 서로 직접 대향하도록 상기 제 3 단부가 상기 제 1 단부와 직접 대향하는 원격 마이크로파 플라즈마 공급원 모듈.
  17. 제 14 항에 있어서, 상기 제 1 및 제 2 마그네트론이 서로 대각선으로 대향하도록 상기 제 3 단부가 상기 제 1 단부와 대각선으로 대향하는 원격 마이크로파 플라즈마 공급원 모듈.
  18. 제 7 항에 있어서,
    상기 마그네트론 시스템은 상기 저 와트수의 제 1 맥동 전력원에 대해 교대로 맥동하는 저 와트수의 제 2 맥동 전력원에 의해 작동하는 제 2 마그네트론을 더 포함하며,
    상기 공동 공진기의 상기 제 2 측벽은 제 2 창을 가지며,
    상기 도파관 시스템은 제 3 단부와, 상기 제 3 단부와 평행하게 대향하는 제 4 단부와, 하나 이상의 동조 스텁을 가지는 제 5 벽, 및 상기 제 5 벽과 평행하게 대향하는 제 6 벽을 포함하는 제 2 도파관을 더 포함하며,
    상기 제 4 단부 근처의 상기 제 6 벽의 일부분은 상기 공동 공진기의 제 2 측벽에 평행하게 인접되며, 상기 제 2 도파관은 상기 제 2 도파관의 상기 제 6 벽이 상기 제 2 창을 경유해 상기 공동 공진기 내측으로 개방되도록 상기 제 3 단부 근처의 상기 제 2 마그네트론에 결합되며, 상기 제 2 도파관은 마이크로파가 상기 제 2 마그네트론으로부터 상기 제 2 창을 경유하여 상기 공동 공진기로 향하게 하는 원격 마이크로파 플라즈마 공급원 모듈.
  19. 제 18 항에 있어서, 상기 제 1 및 제 2 마그네트론이 서로 직접 대향하도록 상기 제 3 단부가 상기 제 1 단부와 직접 대향하는 원격 마이크로파 플라즈마 공급원 모듈.
  20. 제 18 항에 있어서, 상기 제 1 및 제 2 마그네트론이 서로 대각선으로 대향되도록 상기 제 3 단부가 상기 제 1 단부에 대각선으로 대향되는 원격 마이크로파 플라즈마 공급원 모듈.
  21. 제 6 항에 있어서, 상기 플라즈마 방전 튜브가 Al2O3로 구성되는 원격 마이크로파 플라즈마 공급원 모듈.
  22. 제 4 항에 있어서, 상기 플라즈마 방전 튜브의 내경이 약 3.8∼7.6㎝(약 1.5∼3inch)인 원격 마이크로파 플라즈마 공급원 모듈.
  23. 제 1 항에 있어서, 상기 모듈형 유닛의 상기 면적이 약 36.8 ×약 36.8㎝(약 14.5inch× 14.5inch)인 원격 마이크로파 플라즈마 공급원 모듈.
  24. 제 1 항에 있어서, 상기 원격 마이크로파 플라즈마 공급원 모듈이 기판 처리 장치를 더 포함하며 상기 기판 처리 장치에 부착되는 원격 마이크로파 플라즈마 공급원 모듈.
  25. 제 5 항에 있어서, 상기 공동의 상기 벽들 중 적어도 하나는 조절 가능한 원격 마이크로파 플라즈마 공급원 모듈.
  26. 기판 처리 반응로 시스템으로서,
    공동 공진기, 상기 공동 공진기 내의 제 1 유전체 창, 및 가동부(moveable part)로서 작용하는 처리 챔버 - 상기 공동 공진기의 가동부 위치가 공진을 위해 처리 챔버를 동조시킬 수 있도록 조절될 수 있음 - ;
    반응가스를 상기 처리 챔버로 분배하도록 구성되는 가스 분배 시스템;
    선택된 온도로 가열하기 위한 받침대를 포함하는 가열 시스템;
    상기 처리 챔버 내에 선택된 압력을 설정하고 유지하도록 구성되는 진공 시스템;
    상기 제 1 유전체 창에 결합되고 저 와트수 맥동 마이크로파 에너지를 상기 제 1 유전체 창을 통해 상기 처리 챔버로 향하게 하는 제 1 마그네트론을 포함하는 마이크로파 발생 시스템;
    상기 가스 분배 시스템, 상기 가열 시스템, 상기 마이크로파 발생 시스템, 및 상기 진공 시스템을 제어하도록 구성되는 제어기; 및
    상기 제어기에 연결되고 상기 기판 처리 시스템의 작동을 지시하기 위해 컴퓨터 판독 가능한 프로그램이 내장된 컴퓨터 판독 가능한 매체를 포함하는 메모리를 포함하며,
    상기 컴퓨터 판독 가능한 프로그램은,
    제 1 및 제 2 시간 주기 동안 상기 기판 처리 장치를 세척하기 위한 반응 가스를 상기 처리 챔버에 제 1 유속으로 유입시키도록 상기 가스 분배 시스템을 제어하기 위한 제 1 컴퓨터 명령 세트를 포함하며,
    상기 제 1 컴퓨터 명령 세트는,
    상기 제 1 및 제 2 시간 주기 동안 상기 처리 챔버 내의 압력을 약 1.5torr보다 낮게 유지하도록 상기 진공 시스템을 제어하기 위한 제 1 컴퓨터 명령 서브세트(subset);
    상기 제 1 및 제 2 시간 주기 동안 상기 받침대를 약 350∼450?? 범위의 온도에 유지하도록 상기 가열 시스템을 제어하기 위한 제 2 컴퓨터 명령 서브세트;
    상기 제 1 및 제 2 시간 주기 동안 상기 저 와트수 맥동 마이크로파 에너지가 상기 처리 챔버 내로 향하게 하도록 상기 마이크로파 발생 시스템을 제어하기 위한 제 3 컴퓨터 명령 서브세트; 및
    상기 처리 챔버의 가동부를, 상기 제 1 시간 주기 동안 처리 챔버 내의 마이크로파의 공진을 야기하는 제 1 위치로 조절하기 위한 제 4 컴퓨터 명령 서브세트를 포함하는 기판 처리 반응로 시스템.
  27. 제 26 항에 있어서, 상기 제 1 컴퓨터 명령 세트는 상기 제 1 위치로부터 상기 제 2 위치로 상기 처리 챔버의 상기 가동부를 조절하기 위한 제 4 컴퓨터 명령 세트를 더 포함하며, 상기 제 2 위치는 제 2 시간 주기 동안 상기 처리 챔버 내 마이크로파의 공진을 야기하며, 상기 제 1 시간 주기는 상기 마이크로파에 의해 활성화된 반응가스로부터 플라즈마를 발생시키기 이전의 시간 주기이며, 상기 제 2 시간 주기는 상기 플라즈마의 발생 이후의 시간 주기인 기판 처리 반응로 시스템.
  28. 제 26 항에 있어서, 상기 처리 챔버의 상기 가동부가 상기 받침대를 포함하는 기판 처리 반응로 시스템.
  29. 제 26 항에 있어서, 상기 처리 챔버의 상기 가동부가 상기 처리 챔버의 벽을 포함하는 기판 처리 반응로 시스템.
  30. 제 26 항에 있어서, 상기 반응가스가 불소(fluorine)를 포함하는 기판 처리 반응로 시스템.
  31. 제 30 항에 있어서, 상기 반응가스가 NF3로 구성되는 기판 처리 반응로 시스템.
  32. 제 30 항에 있어서, 상기 반응가스가 CF4로 구성되는 기판 처리 반응로 시스템.
  33. 제 26 항에 있어서, 상기 처리 챔버의 총 체적이 약 5리터인 기판 처리 반응로 시스템.
  34. 제 33 항에 있어서, 상기 처리 챔버가 약 2.4㎓의 공진 주파수의 선택모드에 대해 동조될 수 있는 기판 처리 반응로 시스템.
  35. 제 26 항에 있어서, 상기 유전체 창이 Al2O3로 구성되는 기판 처리 반응로 시스템.
  36. 제 26 항에 있어서, 상기 처리 챔버는 제 2 유전체 창을 포함하며, 상기 마이크로파 발생 시스템은 상기 제 2 유전체 창에 결합되는 제 2 마그네트론을 더 포함하며, 상기 제 2 마그네트론은 저 와트수의 맥동 마이크로파 에너지를 상기 제 2 유전체 창을 통해 상기 처리 챔버로 향하게 하며; 상기 제 3 컴퓨터 명령 세트는 상기 저 와트수의 맥동 마이크로파 에너지를 상기 제 1 및 제 2 마그네트론으로부터 상기 처리 챔버로 향하게 하도록 상기 마이크로파 발생 시스템을 제어하는 기판 처리 반응로 시스템.
  37. 제 26 항에 있어서, 상기 제 3 컴퓨터 명령 세트가 상기 저 와트수의 맥동 마이크로파 에너지가 상기 제 1 및 제 2 마그네트론으로부터 상기 처리 챔버 내로 교대로 향하도록 상기 마이크로파 발생 시스템을 제어하는 기판 처리 반응로 시스템.
  38. 제 34 항에 있어서, 상기 저 와트수의 맥동 마이크로파 에너지는 약 60㎐로 맥동하는 약 1∼1.5㎾인 기판 처리 반응로 시스템.
  39. 제 27 항에 있어서, 상기 플라즈마가 상기 장치로부터 바람직하지 않는 산화물이나 질화물을 제거하는 기판 처리 반응로 시스템.
  40. 제 26 항에 있어서, 상기 제 1 컴퓨터 명령 세트는 상기 제 1 위치로부터 다른 위치로 상기 처리 챔버의 상기 가동부를 자동으로 조절하기 위한 제 5 컴퓨터 명령 서브세트를 또한 포함하며, 상기 제 1 시간 주기는 상기 마이크로파에 의해 활성화된 반응 가스로부터 플라즈마가 발생되기 전이며, 상기 다른 위치가, 상기 플라즈마의 발생 후 처리 챔버 내의 임피던스의 변화에 따라 상기 다른 시간 주기 동안 상기 처리 챔버 내에서 마이크로파 공진을 야기하는 기판 처리 반응로 시스템.
  41. 제 26 항에 있어서, 상기 하나 이상의 마그네트론은 도파관을 경유하여 상기유전체 창에 결합되며, 상기 마그네트론과 상기 도파관은 상기 처리 챔버의 용이한 개방을 방해하지 않는 편리한 위치에 배치되는 기판 처리 반응로 시스템.
  42. 제 1항에 따른 장치에 의해 제조되는 반도체 소자.
  43. 원격 위치로부터 기판 처리 챔버로 플라즈마에 의해 형성된 반응 물질종을 전달하기 위한 장치에 있어서,
    제 1 단면부와 내측 튜브 면을 가지는 출력 단부를 포함하며 상기 플라즈마에 의해 발생된 반응 물질종을 함유하는 플라즈마 방전 튜브, 및
    배출부측 다기관을 포함하며,
    상기 배출부측 다기관은 상기 기판 처리 챔버에 결합된 출력측, 및 상기 배출부측 도관을 형성하고 깔때기 형상을 가지는 내측면과 외측면을 가지는 입력측을 포함하며; 상기 외측면이 상기 출력측 단부의 상기 제 1 단면부의 적어도 일부와 실질적으로 대응하여 상기 입력측이 상기 플라즈마 방전 튜브 내 반응물질의 생성으로부터의 대류 및 복사열이 분산되도록 상기 플라즈마 방전 튜브의 출력측 단부 안쪽으로 돌출하며,
    상기 플라즈마에 의해 발생된 반응 물질이, 진공 하에서, 상기 출력측 단부로부터 상기 도관의 입력측으로 전달되고, 그 다음 상기 기판 처리 챔버 내에서 사용되기 위해 상기 출력측 단부를 통해 전달되는 플라즈마에 의해 형성된 반응 물질종의 전달 장치.
  44. 제 43 항에 있어서, 상기 전달 장치는 상기 플라즈마 방전 튜브에 전자기적으로 결합되며 반응가스가 상기 플라즈마 방전 튜브 내로 유입되는 경우 플라즈마에 의해 형성된 반응 물질종을 생성시키는 플라즈마 발생원을 더 포함하는 전달 장치.
  45. 제 44 항에 있어서, 상기 전달 장치는 공동 공진기를 더 포함하며, 상기 플라즈마 방전 튜브는 상기 공동 공진기를 통해 배치되며, 상기 플라즈마 발생원은 상기 공동 공진기를 경유하여 플라즈마 방전 튜브에 전자기적으로 결합되며, 상기 플라즈마 발생원은 마그네트론을 포함하는 전달 장치.
  46. 제 45 항에 있어서, 상기 배출부 다기관의 입력측이, 상기 플라즈마 방전 튜브의 외측 단부로부터 열을 분산시키는 히트 싱크(heat sink)로서 작용하는 전달 장치.
  47. 제 46 항에 있어서, 상기 장치가, 상기 기판 처리 챔버의 상부에 장착될 수 있는 원격 플라즈마 모듈의 일부분인 전달 장치.
  48. 제 44 항에 있어서, 상기 플라즈마 발생원에 적어도 약 100와트의 전력이 공급되며, 상기 배출부 다기관의 유입부가, 상기 플라즈마 방전 튜브의 외측 단부로부터 열을 분산시키는 히트 싱크로서의 역할을 하는 전달 장치.
KR1019980007012A 1997-03-05 1998-03-04 마이크로파플라즈마공급원을구비한기판처리시스템을개선하기위한장치및방법 KR100528357B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US8/811,627 1997-03-05
US08/811,627 US6039834A (en) 1997-03-05 1997-03-05 Apparatus and methods for upgraded substrate processing system with microwave plasma source
US08/811,627 1997-03-05

Publications (2)

Publication Number Publication Date
KR19980079855A KR19980079855A (ko) 1998-11-25
KR100528357B1 true KR100528357B1 (ko) 2006-01-27

Family

ID=25207086

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980007012A KR100528357B1 (ko) 1997-03-05 1998-03-04 마이크로파플라즈마공급원을구비한기판처리시스템을개선하기위한장치및방법

Country Status (5)

Country Link
US (3) US6039834A (ko)
EP (1) EP0863536A3 (ko)
JP (1) JP4230556B2 (ko)
KR (1) KR100528357B1 (ko)
TW (1) TW451286B (ko)

Families Citing this family (184)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6797188B1 (en) * 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
EP0989595A3 (en) * 1998-09-18 2001-09-19 Ims-Ionen Mikrofabrikations Systeme Gmbh Device for processing a surface of a substrate
JP2000124204A (ja) * 1998-10-20 2000-04-28 Tokyo Electron Ltd プラズマ中の負イオンの測定方法、プラズマ処理方法及びその装置
US6176198B1 (en) * 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
US6186154B1 (en) * 1998-12-07 2001-02-13 Taiwan Semiconductor Manufacturing Company Find end point of CLF3 clean by pressure change
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6163007A (en) * 1999-03-19 2000-12-19 Applied Materials, Inc. Microwave plasma generating apparatus with improved heat protection of sealing O-rings
US7091605B2 (en) * 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
WO2000070117A1 (en) * 1999-05-14 2000-11-23 The Regents Of The University Of California Low-temperature compatible wide-pressure-range plasma flow device
JP2001168086A (ja) * 1999-12-09 2001-06-22 Kawasaki Steel Corp 半導体装置の製造方法および製造装置
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
TW451351B (en) * 2000-01-31 2001-08-21 Mosel Vitelic Inc Method of removing the polymer byproduct accumulated on the bottom electrode of the etch reaction chamber
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
JP4730572B2 (ja) * 2000-08-21 2011-07-20 株式会社アルバック プラズマ成膜装置及びそのクリーニング方法
DE10041698A1 (de) * 2000-08-24 2002-03-14 Infineon Technologies Ag Verfahren zur Herstellung einer ferroelektrischen Festkörperschicht unter Verwendung eines Hilfsstoffes
KR100705189B1 (ko) * 2000-12-30 2007-04-06 주식회사 하이닉스반도체 반도체 소자의 박막 형성 방법
US20040221800A1 (en) * 2001-02-27 2004-11-11 Tokyo Electron Limited Method and apparatus for plasma processing
US6810886B2 (en) * 2001-05-24 2004-11-02 Applied Materials, Inc. Chamber cleaning via rapid thermal process during a cleaning period
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
US6868856B2 (en) * 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US6719641B2 (en) * 2002-04-26 2004-04-13 Nicklaus Golf Equipment Company Golf iron having a customizable weighting feature
US20060233682A1 (en) * 2002-05-08 2006-10-19 Cherian Kuruvilla A Plasma-assisted engine exhaust treatment
US20060228497A1 (en) * 2002-05-08 2006-10-12 Satyendra Kumar Plasma-assisted coating
KR20050025173A (ko) * 2002-05-08 2005-03-11 다나 코포레이션 플라즈마-보조된 엔진 배기 처리
US20060237398A1 (en) * 2002-05-08 2006-10-26 Dougherty Mike L Sr Plasma-assisted processing in a manufacturing line
EP1535303A1 (en) * 2002-08-30 2005-06-01 Axcelis Technologies Inc. Gas tube end cap for a microwave plasma generator
US20040231798A1 (en) * 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
KR100542740B1 (ko) 2002-11-11 2006-01-11 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
US7189940B2 (en) * 2002-12-04 2007-03-13 Btu International Inc. Plasma-assisted melting
US20040129385A1 (en) * 2003-01-02 2004-07-08 International Business Machines Corporation Pre-loaded plasma reactor apparatus and application thereof
WO2004074932A2 (en) * 2003-02-14 2004-09-02 Applied Materials, Inc. Method and apparatus for cleaning of native oxides with hydroge-containing radicals
EP1597408B1 (en) * 2003-02-27 2012-12-05 Symmorphix, Inc. Method for forming dielectric barrier layers
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US20040216845A1 (en) * 2003-05-02 2004-11-04 Czeslaw Golkowski Non-thermal plasma generator device
US6835664B1 (en) * 2003-06-26 2004-12-28 Micron Technology, Inc. Methods of forming trenched isolation regions
US7431772B2 (en) * 2004-03-09 2008-10-07 Applied Materials, Inc. Gas distributor having directed gas flow and cleaning method
US7164095B2 (en) * 2004-07-07 2007-01-16 Noritsu Koki Co., Ltd. Microwave plasma nozzle with enhanced plume stability and heating efficiency
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US20060021633A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
US20060052883A1 (en) * 2004-09-08 2006-03-09 Lee Sang H System and method for optimizing data acquisition of plasma using a feedback control module
WO2006127037A2 (en) * 2004-11-05 2006-11-30 Dana Corporation Atmospheric pressure processing using microwave-generated plasmas
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US8193096B2 (en) * 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
JP4727266B2 (ja) * 2005-03-22 2011-07-20 東京エレクトロン株式会社 基板処理方法および記録媒体
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US7247582B2 (en) * 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
JP4576291B2 (ja) * 2005-06-06 2010-11-04 株式会社日立ハイテクノロジーズ プラズマ処理装置
GB0522088D0 (en) * 2005-10-28 2005-12-07 Boc Group Plc Plasma abatement device
JP4837394B2 (ja) * 2006-02-17 2011-12-14 株式会社サイアン プラズマ発生装置およびそれを用いるワーク処理装置
TW200742506A (en) * 2006-02-17 2007-11-01 Noritsu Koki Co Ltd Plasma generation apparatus and work process apparatus
US20070266946A1 (en) * 2006-05-22 2007-11-22 Byung-Chul Choi Semiconductor device manufacturing apparatus and method of using the same
KR101501426B1 (ko) * 2006-06-02 2015-03-11 어플라이드 머티어리얼스, 인코포레이티드 차압 측정들에 의한 가스 유동 제어
FR2904726B1 (fr) * 2006-08-07 2008-12-26 Sidel Participations Procede et dispositif pour le depot de couche barriere par plasma froid et machine utilisant un tel dispositif
TW200816881A (en) * 2006-08-30 2008-04-01 Noritsu Koki Co Ltd Plasma generation apparatus and workpiece processing apparatus using the same
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8435895B2 (en) * 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US8607733B2 (en) * 2008-02-18 2013-12-17 Mitsui Engineering & Shipbuilding Co., Ltd. Atomic layer deposition apparatus and atomic layer deposition method
JP4585574B2 (ja) * 2008-02-26 2010-11-24 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7902625B2 (en) * 2008-04-21 2011-03-08 International Business Machines Corporation Metal-gate thermocouple
US20100074810A1 (en) * 2008-09-23 2010-03-25 Sang Hun Lee Plasma generating system having tunable plasma nozzle
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7967913B2 (en) * 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
US7921804B2 (en) * 2008-12-08 2011-04-12 Amarante Technologies, Inc. Plasma generating nozzle having impedance control mechanism
US20100201272A1 (en) * 2009-02-09 2010-08-12 Sang Hun Lee Plasma generating system having nozzle with electrical biasing
CN101851746A (zh) * 2009-04-03 2010-10-06 鸿富锦精密工业(深圳)有限公司 磁控式溅镀靶及磁控式溅镀系统
US20100254853A1 (en) * 2009-04-06 2010-10-07 Sang Hun Lee Method of sterilization using plasma generated sterilant gas
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
KR101770008B1 (ko) * 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
US20110143548A1 (en) * 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
KR101565432B1 (ko) * 2010-03-31 2015-11-03 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치용 유전체창, 플라즈마 처리 장치 및 플라즈마 처리 장치용 유전체창의 장착 방법
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8746284B2 (en) * 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
KR101241049B1 (ko) 2011-08-01 2013-03-15 주식회사 플라즈마트 플라즈마 발생 장치 및 플라즈마 발생 방법
US10049881B2 (en) * 2011-08-10 2018-08-14 Applied Materials, Inc. Method and apparatus for selective nitridation process
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
JP2013069603A (ja) * 2011-09-26 2013-04-18 Tokyo Electron Ltd マイクロ波処理装置および被処理体の処理方法
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
KR102069183B1 (ko) 2012-03-20 2020-01-22 에이에스엠엘 네델란즈 비.브이. 라디칼을 운반하기 위한 배열체 및 방법
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
WO2015181832A2 (en) * 2014-05-30 2015-12-03 Raghavendra Priya Rao Novel design of multiple microwave/radiofrequency (mw/rf) magnetrons heated single vessel/reactor/chamber and its various applications including a novel dehydration process employing solvent extraction and solvent recovery
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
RU2595156C2 (ru) * 2014-12-15 2016-08-20 Федеральное государственное бюджетное научное учреждение "Федеральный исследовательский центр Институт прикладной физики Российской академии наук" (ИПФ РАН) Плазменный свч реактор для газофазного осаждения алмазных пленок в потоке газа (варианты)
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9736920B2 (en) * 2015-02-06 2017-08-15 Mks Instruments, Inc. Apparatus and method for plasma ignition with a self-resonating device
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
WO2017165550A1 (en) * 2016-03-22 2017-09-28 Tokyo Electron Limited System and method for temperature control in plasma processing system
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10366859B2 (en) * 2016-08-24 2019-07-30 Varian Medical Systems, Inc. Electromagnetic interference containment for accelerator systems
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
JP6913060B2 (ja) * 2018-07-24 2021-08-04 株式会社日立ハイテク プラズマ処理装置及びプラズマ処理方法
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10751765B2 (en) 2018-08-13 2020-08-25 Applied Materials, Inc. Remote plasma source cleaning nozzle for cleaning a gas distribution plate
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN109920717B (zh) * 2019-03-08 2022-06-17 拓荆科技股份有限公司 晶圆处理装置
KR102144094B1 (ko) * 2019-07-26 2020-08-12 주식회사 엠디케이 블레이드를 갖는 마이크로 웨이브 챔버
US11373845B2 (en) * 2020-06-05 2022-06-28 Applied Materials, Inc. Methods and apparatus for symmetrical hollow cathode electrode and discharge mode for remote plasma processes

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06295797A (ja) * 1993-02-19 1994-10-21 Texas Instr Inc <Ti> プラズマの発生装置及び発生方法

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3906892A (en) 1971-04-27 1975-09-23 Cit Alcatel Plasma deposition of thin layers of substrated or the like
FR2245779B1 (ko) 1973-09-28 1978-02-10 Cit Alcatel
JPS5782955A (en) * 1980-11-12 1982-05-24 Hitachi Ltd Microwave plasma generating apparatus
AU544534B2 (en) * 1983-06-14 1985-06-06 Toyota Jidosha Kabushiki Kaisha Plasma coating
JPS6074626A (ja) * 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
US5364519A (en) * 1984-11-30 1994-11-15 Fujitsu Limited Microwave plasma processing process and apparatus
JPH0740566B2 (ja) * 1986-02-04 1995-05-01 株式会社日立製作所 プラズマ処理方法及びその装置
US4736304A (en) * 1986-04-07 1988-04-05 Energy Conversion Devices, Inc. Method and apparatus for operating one or more deposition systems
JPS63114973A (ja) * 1986-10-31 1988-05-19 Canon Inc マイクロ波プラズマcvd法による機能性堆積膜の形成装置
KR880013424A (ko) * 1987-04-08 1988-11-30 미타 가츠시게 플라즈머 장치
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JPH0192375A (ja) * 1987-10-05 1989-04-11 Canon Inc マイクロ波プラズマcvd法による機能性堆積膜形成装置
US4996077A (en) * 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US5084126A (en) * 1988-12-29 1992-01-28 Texas Instruments Incorporated Method and apparatus for uniform flow distribution in plasma reactors
US4943345A (en) * 1989-03-23 1990-07-24 Board Of Trustees Operating Michigan State University Plasma reactor apparatus and method for treating a substrate
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US4946549A (en) * 1989-10-27 1990-08-07 At&T Bell Laboratories Method for fabricating or modifying an article comprising the removal of a polymer coating
JP2966029B2 (ja) * 1990-03-30 1999-10-25 新日本無線株式会社 マイクロ波プラズマcvd装置
US5008593A (en) * 1990-07-13 1991-04-16 The United States Of America As Represented By The Secretary Of The Air Force Coaxial liquid cooling of high power microwave excited plasma UV lamps
FR2665323B1 (fr) * 1990-07-27 1996-09-27 Reydel J Dispositif de production d'un plasma.
JP3056772B2 (ja) * 1990-08-20 2000-06-26 株式会社日立製作所 プラズマの制御方法ならびにプラズマ処理方法およびその装置
US5082517A (en) * 1990-08-23 1992-01-21 Texas Instruments Incorporated Plasma density controller for semiconductor device processing equipment
US5273609A (en) * 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
US5173641A (en) * 1990-09-14 1992-12-22 Tokyo Electron Limited Plasma generating apparatus
DE69123808T2 (de) * 1990-09-26 1997-06-26 Hitachi Ltd Verfahren und Gerät zur Bearbeitung mittels Mikrowellenplasma
US5111111A (en) * 1990-09-27 1992-05-05 Consortium For Surface Processing, Inc. Method and apparatus for coupling a microwave source in an electron cyclotron resonance system
JPH04144992A (ja) * 1990-10-01 1992-05-19 Idemitsu Petrochem Co Ltd マイクロ波プラズマ発生装置およびそれを利用するダイヤモンド膜の製造方法
US5217570A (en) * 1991-01-31 1993-06-08 Sony Corporation Dry etching method
US5234526A (en) * 1991-05-24 1993-08-10 Lam Research Corporation Window for microwave plasma processing device
DE4132558C1 (ko) * 1991-09-30 1992-12-03 Secon Halbleiterproduktionsgeraete Ges.M.B.H., Wien, At
US5211995A (en) * 1991-09-30 1993-05-18 Manfred R. Kuehnle Method of protecting an organic surface by deposition of an inorganic refractory coating thereon
US5234529A (en) * 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
US5282899A (en) * 1992-06-10 1994-02-01 Ruxam, Inc. Apparatus for the production of a dissociated atomic particle flow
US5306985A (en) * 1992-07-17 1994-04-26 Sematech, Inc. ECR apparatus with magnetic coil for plasma refractive index control
AU5098293A (en) * 1992-09-02 1994-03-29 University Of North Carolina At Chapel Hill, The Method for plasma processing at high pressures
US5567241A (en) * 1993-04-30 1996-10-22 Energy Conversion Devices, Inc. Method and apparatus for the improved microwave deposition of thin films
US5387288A (en) * 1993-05-14 1995-02-07 Modular Process Technology Corp. Apparatus for depositing diamond and refractory materials comprising rotating antenna
IT1261918B (it) * 1993-06-11 1996-06-04 Cetev Cent Tecnolog Vuoto Struttura per deposizione reattiva di metalli in impianti da vuoto continui e relativo processo.
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
US5498308A (en) * 1994-02-25 1996-03-12 Fusion Systems Corp. Plasma asher with microwave trap
EP0697467A1 (en) * 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US6029602A (en) * 1997-04-22 2000-02-29 Applied Materials, Inc. Apparatus and method for efficient and compact remote microwave plasma generation

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06295797A (ja) * 1993-02-19 1994-10-21 Texas Instr Inc <Ti> プラズマの発生装置及び発生方法

Also Published As

Publication number Publication date
US6039834A (en) 2000-03-21
JPH10284296A (ja) 1998-10-23
EP0863536A3 (en) 2000-11-02
JP4230556B2 (ja) 2009-02-25
EP0863536A2 (en) 1998-09-09
US6230652B1 (en) 2001-05-15
TW451286B (en) 2001-08-21
US6361707B1 (en) 2002-03-26
KR19980079855A (ko) 1998-11-25

Similar Documents

Publication Publication Date Title
KR100528357B1 (ko) 마이크로파플라즈마공급원을구비한기판처리시스템을개선하기위한장치및방법
US6026762A (en) Apparatus for improved remote microwave plasma source for use with substrate processing systems
JP3483147B2 (ja) マイクロ波プラズマ反応器
KR100801377B1 (ko) 희석 원격 플라즈마 세척
EP0874386B1 (en) Apparatus and process for remote microwave plasma generation
US8038834B2 (en) Method and system for controlling radical distribution
KR100920280B1 (ko) 처리 장치
US8075789B1 (en) Remote plasma cleaning source having reduced reactivity with a substrate processing chamber
KR100993466B1 (ko) 기판 처리 장치 및 플라즈마에 노출되는 부재
US7897009B2 (en) Plasma processing apparatus
KR100794806B1 (ko) 플라즈마 처리 장치 및 방법과, 슬롯 안테나
US20030066486A1 (en) Microwave heat shield for plasma chamber
KR101002513B1 (ko) 플라즈마 처리 장치
KR980011769A (ko) 유도 결합된 hdp-cvd 반응기
KR20070108929A (ko) 마이크로파 플라즈마 처리 장치
JP5438260B2 (ja) プラズマ処理装置
EP0841838B1 (en) Plasma treatment apparatus and plasma treatment method
US6092486A (en) Plasma processing apparatus and plasma processing method
JP2002231637A (ja) プラズマ処理装置
KR20010105299A (ko) 외부적으로 이온화되고 지원하는 cvd 장치
KR102004037B1 (ko) 마이크로파 플라즈마 처리 장치 및 마이크로파 플라즈마 처리 방법
US20110114021A1 (en) Planar antenna member and plasma processing apparatus including the same
US20010042513A1 (en) Apparatus for improved remote microwave plasma source for use with substrate processing systems
US20170370000A1 (en) Plasma film-forming method and plasma film-forming apparatus
WO2017149739A1 (ja) プラズマ処理装置及びプラズマ処理用反応容器の構造

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20111028

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20121030

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee