KR20010105299A - 외부적으로 이온화되고 지원하는 cvd 장치 - Google Patents

외부적으로 이온화되고 지원하는 cvd 장치 Download PDF

Info

Publication number
KR20010105299A
KR20010105299A KR1020010027491A KR20010027491A KR20010105299A KR 20010105299 A KR20010105299 A KR 20010105299A KR 1020010027491 A KR1020010027491 A KR 1020010027491A KR 20010027491 A KR20010027491 A KR 20010027491A KR 20010105299 A KR20010105299 A KR 20010105299A
Authority
KR
South Korea
Prior art keywords
fluid distribution
process chamber
subset
plasma
circulation
Prior art date
Application number
KR1020010027491A
Other languages
English (en)
Inventor
츠토무 타나카
토마스 노왝
케빈 페어베이언
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010105299A publication Critical patent/KR20010105299A/ko

Links

Classifications

    • H01L21/205
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

이온 유동과 같은 기판 상에 층을 증착시키는 것을 수월하게 하기 위하여 프로세싱 유체를 프로세스 챔버로 제공하는 방법 및 장치로서, 두 개의 반응 라디칼 유동을 화학 기상 증착 프로세스 챔버로 운송하기 위하여 결합된 이중 원격 플라즈마 소스를 특징으로 하고, 반면 기판에 근접한 프로세스 영역으로 도달할 때까지 두 개의 유동의 분리를 유지하는 방법 및 장치.

Description

외부적으로 이온화되고 지원하는 CVD 장치{EXTERNALLY IONIZED ASSISTED CVD EQUIPMENT}
본 발명은 기판 프로세싱에 대한 것이다. 더욱 구체적으로, 본 발명은 기판 프로세싱 장치에서 기판상에 박막(layer of film)을 증착하거나 에칭하는 장치 및 방법에 대한 것이다. 본 발명의 몇몇 실시예는 기판 프로세싱 장치에서 프로세스 챔버를 세정하는데 유용할 것이다.
근래의 반도체 기판 프로세싱 장치의 주요 작동 특성 중의 하나는 기판 표면상에 다양한 층을 형성한다는 것이다. 다양한 층이 예컨대 질화 실리콘(silicon nitride :Si3N4) 및 비결정질 실리콘(Six)과 같은 유전체를 포함하는 한편, 다른 층들은 텅스텐 실리사이드(tungsten silicide : WSix) 또는 질화 티타늄(titanum nitride : TiN)과 같은 전도체이다. 잘 알려진 바와 같이, 이러한 층은 화학 기상증착(CVD)으로 증착될 수 있다. 종래의 열간 CVD 프로세스에서, 반응 가스가 기판 표면에 적용되는데, 여기에서 열간 유도 화학 반응이 발생되어 프로세싱되는 기판의 표면에 대하여 요구되는 막을 형성한다. 증착 프로세스가 Si3N4를 형성하는 Si3H4및 N2또는 TiN을 형성하는 TiCl4및 NH3와 같이 다중 반응 가스를 필요로 하는 경우, 가스들은 일반적으로 프로세스 챔버 내에 위치한 샤워헤드의 도관을 경유하여 결합된 혼합 챔버 내에서 결합된다. 예를 들면, 질화 실리콘 층의 증착에서, 상기 두 반응 가스가 각각 헬륨 및 수소 운송 가스와 더불어 혼합 챔버에 공급된다. 혼합 챔버에서 반응 및 운송 가스들은 증착 가스 혼합체를 형성하도록 결합된다. 증착 가스 혼합체는, 증착 가스 혼합체가 프로세스 챔버의 프로세스 영역에 골고루 배분되도록 복수개의 구멍을 포함하는, 배분 플레이트에 도관을 통하여 직접 전달된다. 증착 가스 혼합체가 프로세스 영역에 도입되고 열 에너지가 가해질 때, 화학 반응이 실란(silane) 및 질소 분자 사이에서 질화 실리콘을 생성하도록 발생한다. (예, SiH4가 N2에 의하여 감소됨)
최근의 열간 CVD 프로세스에서 향상된 점으로는 반응 가스들이, 층이 형성될 기판에 인접하게 위치한 프로세스 영역에 도달할 때까지, 증착 가스에 포함된 상이한 반응 가스의 분리가 유지된다는 점이 포함된다. 그 결과, Fujikawa등의 미국 특허 제 5,595,606호(이하 '606 특허로 부름) 및 Salvador P. Umotoy, Lawrance Chung-lai Lei, Anh N. Nguyen 와 Steve H.Chiao 등이 발명자이고 명칭이 "Dual Gas Faceplate For a Showerhead in a Semiconductor Wafer Processing System"인미국 특허 출원 제 09/098,969호(이하 '969 출원으로 부름)에서 논의된 바와 같이, 프로세스 챔버 및 도관과 같은 운송 요소에 대한 원치않는 증착 및 에칭의 축적이 감소된다. '606 특허 및 '969 출원은 프로세스 챔버에서 프로세스 영역에 도달할 때까지 두 반응 가스의 분리를 유지하는 기능이 있는 상이한 유형의 샤워헤드를 제시하고 있다.
몇몇 CVD 프로세스에 대하여 증착이 발생하는 온도를 감소시키는 것이 유리하다는 것이 발견되었다. 이러한 목적으로, 종래의 플라즈마-강화 CVD(plasma-enhanced CVD : PECVD) 프로세스가 개발되었다. 종래의 PECVD 프로세스에서는, 제어된 플라즈마가 프로세스 챔버 내에서 원위치에 형성되었다. 요구된 필름 층을 형성하기 위해 반응 가스에서 반응종(reactive species)을 분해 및/또는 활성화하는 무선 주파수(radio frequency : RF) 에너지를 사용하여 플라즈마가 형성된다.
최근에, 원위치 PECVD 프로세스의 경우에 비하여 기판 상에 존재하는 층에 보다 적은 손상을 가하면서, 낮은 온도에서 안정 층(stable layer)을 증착하는 몇몇 실시예에서 원격 플라즈마 소스가 사용된다. 기판에 인접한 원위치 플라즈마로 생성된 전기장의 존재는 기저 층에 손상을 가할 수 있다고 믿어져 왔다. 더욱이, 플라즈마 및 기판의 밀접한 근접은 원위치 PECVD 프로세스에서 몇몇에 의해 형성된 층의 수소의 존재를 증가시킨다고 믿어져 왔다. 플라즈마가 프로세스 챔버로부터 떨어져 형성되는 것을 허용함으로써, 원격 플라즈마 소스는 앞서 언급된 문제를 방지 한다. 게다가, 프로세스 챔버에서 원치 않던 증착을 제거하는 몇몇의 프로세스에서, 원격 플라즈마 소스가 원위치 플라즈마 소스에 대하여 선호된다. KarlLittau, Chiliang L.Chen 및 Anand Vasudev 등이 발명자이고 "Remote Plasma Cleaning Source Having Reduced Reactivity with a Substrate Processing Chamber"로 명명된 미국 특허 출원 제 08/893,917호에서 논의된 바와 같이, 이는 극초단파 플라즈마에서의 높은 파손효율(breakdown efficiency) 때문이다. 현재 원격 플라즈마 소스 기술의 단점은 일반적으로 프로세스 챔버에 도입되기 전에 다중 반응 가스로부터 생성된 반응 라디칼이 혼합되어서, 예를 들면, 프로세스 챔버를 향하여 반응 라디칼이 이동하는 도관을 포함한, 반도체 프로세싱 장치에 대하여 원치 않던 증착의 축적을 초래한다는 것이다.
그러므로, 반도체 프로세싱 장치에서 원치 않던 증착을 감소시키는 동안 기판 상에 층을 증착시키는 것을 촉진하는 원격 플라즈마 소스가 요구된다.
도 1은 본 발명에 따른 기판 프로세싱 장치의 수직 단면도이다;
도 2는 도 1에서 위에서 본 샤워헤드에 포함된 제 1 유체 배분 플레이트의 평면도이다;
도 3은 도 1에서의 위에서 본 샤워헤드에 포함된 제 2 유체 배분 플레이트의 평면도이다;
도 4는 선 4-4를 따라 취해진, 도 2에서의 위에서 본 제 1 유체 배분 플레이트의 부분 단면도이다;
도 5는 도 2에서의 위에서 본 제 1 유체 배분 플레이트의 세부도이다;
도 6은 선 6-6을 따라 취해진, 도 5에서의 위에서 본 세부 단면도이다;
도 7은 선 7-7을 따라 취해진, 도 3에서의 위에서 본 제 2 유체 배분 플레이트의 부분 단면도이다;
도 8은 선 8-8을 따라 취해진, 도 7에서의 위에서 본 제 2 유체 배분 플레이트의 세부 단면도이다;
도 9는 본 발명의 샤워헤드에 대한 면판(faceplate)을 형성하는 하부 및 상부 가스 배분 플레이트의 조립된 부분의 세부 단면도이다;
도 10은 도 1에서의 위에서 본, 제어기 및 반응 유체의 공급원과 결합된 기판 프로세스 장치의 수직 단면도이다.
도 11은 본 발명에 따른, 도 1 및 10에서의 위에서 본 기판 프로세스 장치에 결합된 이중 원격 플라즈마 소스의 단순화된 평면도이다.
도 12는 도 11에서의 위에서 본 이중 원격 플라즈마 소스에 채택된 원격 극초단파 플라즈마 소스 모듈의 측부 세로 길이의 단면도이다;
도 13은 선 13-13을 따라 취해진 도 12에서의 위에서 본 원격 극초단파 플라즈마 소스 모듈의 측부 횡단면도이다;
도 14는 도 13에서의 위에서 본 원격 플라즈마 소스의 대체적 실시예의 측부 횡단면도이다;
도 15는 도 6에 도시된 플라즈마 어플리케이터의 실시예이다;
도 16은 도 12의 위에서 본 제 1 단부 벽의 대체적 실시예의 평면도이다;
도 17은 도 12의 위에서 본 제 2 단부 벽의 대체적 실시예의 평면도이다;
도 18은 본 발명에 따른 도 1 및 10에서의 위에서 본 장치를 채택한 이중 프로세스 챔버 시스템의 단순화된 도면이다;
도 19는 본 발명에 따른 시스템 제어 소프트웨어의 계층적 제어 구조를 나타내는 단순화된 블록 선도이다;
* 도면의 주요 부분에 대한 부호의 설명 *
12 : 프로세스 챔버
16 : 덮개 어셈블리
18 : 지지대
20 : 유체 배분 매니폴드
22 : 샤워헤드
26 : 승강 장치
44 : RF 전력 공급원
50a, 50c : 주 표면
50 : 유체 배분 플레이트
본 발명은 기판에 근접한 프로세스 영역에 도달할 때까지 두 개의 반응 라디칼 유동의 분리를 유지하면서, 상기 두 유동을 운반하도록 결합된 이중 원격 플라즈마 소스(dual remote plasma source)로 기판 및 구조물 상에 층을 증착하는 방법 및 장치를 제공하는 것이다. 본 발명의 방법은 제 1 및 제 2 플라즈마를 프로세스 챔버에 대하여 원격적으로 형성하는 것을 포함한다. 제 1 플라즈마는 그것과 연관된 제 1 복수개의 반응 라디칼을 가지고 있고, 제 2 플라즈마는 그것과 연관된 제 2 복수개의 반응 라디칼을 가지고 있다. 제 1 및 2 복수개의 반응 라디칼은 프로세스 챔버로 유입되며, 제 1 반응 라디칼 그룹은 제 1 유동을 형성하고, 제 2 반응라디칼 그룹은 제 2 유동을 형성한다. 제 1 및 2 유동의 혼합은 반응 라디칼이 프로세스 챔버로 유입된 후에 발생한다.
증착 장치는 유입 포트(intake port) 및 입구 통공(entry aperture)를 가진 프로세스 챔버를 포함한다. 제 1 복수개의 반응 라디칼을 가진 제 1 플라즈마의 소스는 프로세스 챔버와 유통된다. 제 2 복수개의 반응 라디칼을 가진 제 1 플라즈마의 소스는 프로세스 챔버와의 유통된다. 제 1 플라즈마 소스 및 제 2 플라즈마 소스 모두와 유통되는 펌프 시스템은 제 1 및 제 2 유동을 생성하도록 연결된다. 제 1 유동은 제 1 복수개의 반응 라디칼을 포함하고, 제 2 유동은 제 2 복수개의 반응 라디칼을 포함한다. 도파관 시스템(waveguide system)은 유입 포트 및 입구 통공 모두와 유통되고, 유체 배분 시스템(fluid distribution system) 은 도파관 시스템과 유통된다.
본 발명의 여러 실시예와 그 장점 및 특징들은 다음에 기술되는 내용과 첨부된 도면에서 보다 자세하게 제시되어 있다.
도 1을 참조하면, 본 발명에 따라 채택될 수도 있는 화학 기상 증착(CVD) 기판 프로세싱 장치(10)가 벽(14)과 덮개 어셈블리(lid assembly, 16)를 가진 프로세스 챔버를 포함한다. 평평하거나 약간 볼록한 상부 표면을 가지고, 덮개 어셈블리(16)와 대면하는 지지대(pedestal, 18)가 프로세스 챔버(12) 내에 배치되어 있다. 비록 지지대의 상부 표면은 프로세스 특성에 의존하는 적당한 재료로 형성될 수도 있지만, 알루미늄 질화물과 같은 세라믹 재료로부터 형성되어지는 것이 바람직하다. 또한 장치(10)에는 유체 배분 매니폴드(fluid distribution manifold,20) 및 샤워헤드(22)를 가진 유체 배분 어셈블리가 포함된다. 유체 배분 매니폴드(20)는 거의 덮개 어셈블리(16)에 근접하게 배치되어 있고 프로세스 챔버(12)와 유체 소통되어 있다. 샤워헤드(22)는 프로세스 챔버(12) 및 유체 배분 매니폴드(20) 사이에 배치되어 있다. 개구(opening, 24)가 기판(도시 안됨)의 삽입 및 제거를 실행하도록 샤워헤드(22)로부터 이격된 벽(14)에 배치되어 있다. 지지대(18)는 지지대(18)가 이동 가능하도록 하는 모터(28)를 포함하는 승강 장치(lift mechanism, 26)와 작동적으로 결합되어 있다. 특히, 지지대(18)는 샤워헤드(22)에 근접하여 배치된 챔버(12)의 반응 영역에 배치된 프로세싱 위치(30)와 개구(24)에 근접하여 배치된 웨이퍼 적재 위치(wafer-loading position, 32) 사이에서 이동하도록 만들어져 있다. 기판(도시 안됨) 뿐만 아니라 프로세스 챔버에서 다양한 요소에 대한 위치 정보가 위치 감지 센서를 포함하는 센터보드(centerboard, 도시 안됨)에 의하여 얻어진다.
덮개 어셈블리(16)로부터 이격된 프로세싱 위치(30) 근방에서 종료되고 그 사이에서 오리피스(36)를 형성하는 덮개 어셈블리(16)를 향하여 웨이퍼 적재 위치(32)로부터 연장되는 유전체 라이너(dielectic liner, 34)가 프로세스 챔버(12)내에 배치되어 있다. 오리피스(36)는 반응 영역을 둘러싸고 있다. 배출 플리넘(exhaust plenum, 38)은 오리피스(36)와 유체 소통된다. 프로세스 챔버(16)는 일반적으로, 표면(18a)에 대하여 프로세스 유체의 일정한 유동을 촉진하는 원통형으로서, 예를 들어 오리피스(36)와 플리넘(38)은 모두 방사상으로 축 X에 대하여 대칭이다. 프로세스 챔버(12)로부터 프로세스 유체의 배출을 촉진하도록, 배출 플리넘(38)은 관찰 포트(viewing port, 도시 안됨)에 광학적으로 결합된 수평 연장 부분(lateral extension portion, 40)을 형성하는 프로세스 챔버(12)로부터 바깥쪽으로 향하여 연장된다. 수평 연장 부분(40)은 진공 차단 밸브(vacuum shut-off valve, 44, 도시 안됨)에서 종료되는 아래쪽으로 연장된 유체 통로(fluid passage, 42)와 유체 소통된다. 진공 차단 밸브(44)는 유체 통로(42)로부터 배출구(exhaust outlet, 46)로의 유체 유동을 제어하고 일반적으로 벽(14)과 통합되어 있다. 일반적으로 외부 진공 시스템(도시 안됨)은 포어라인(foreline, 도시 안됨)을 통하여 배출구(46)와 유체 소통된다.
일반적으로, 프로세스 챔버(12)에서의 어떤 하나 혹은 모든 다양한 요소가 알루미늄, 양극 처리된 알루미늄(anodized aluminum), 또는 세라믹과 같은 재료로 만들어진다. 이러한 CVD 장치의 예는 전체적으로 본원에 참조되며 Zhao 등이 등록하고 "CVD Processing Chamber"로 명명되어 일반적으로 양도된 미국 특허 제 5,558,717호에 기술되어 있다.
도 2 내지 8에 따르면, 샤워헤드(22)는 제 1 및 2 유체 배분 플레이트(48 및 50)를 포함한다. 각각의 제 1 및 제 2 유체 배분 플레이트(48 및 50)는 각각 대향하는 주 표면(48a, 48b, 50a, 50b)을 포함한다. 또한 각각의 제 1 및 제 2 유체 배분 플레이트(48 및 50)에는 각각이 대향하는 주 표면 사이에서 연장된 복수개의 통로(throughway, 48c 및 50c)가 포함되어 있다. 도시된 바처럼, 복수개의 개구(48d)는 표면(48a)에 배치되어 있고, 개구는 중심 대 중심이 거의 6.35㎜로 이격되어 있다. 복수개의 개구(48e)는 표면(48b)에 배치되어 있고, 개구는 중심 대 중심이 거의 개구(48d)의 경우와 거의 동일하게 이격되어 있다. 각각의 통로(48c)는 복수개의 개구(48d)의 하나와 복수개의 개구(48e)의 하나의 사이에 연장된다. 유사한 방식으로 통로(50c)는 대향하는 주 표면(50a 및 50c)을 통하여 연장된다. 특별히, 복수개의 개구(50d)는 표면(50a)에 배치되고, 복수개의 개구(50e)는 표면(50b)에 배치된다. 각각의 통로(50c)는 복수개의 개구(50d)의 하나와 복수개의 개구(50e)의 하나 사이에서 연장된다. 앞서 언급한 통로 및 개구는 실시예에 따르는 요구되는 너비를 가질 수 있다.
도 2, 4, 5 및 6에 대하여, 제 1 유체 배분 플레이트(48)는 일반적으로, 방사상 대칭 영역(52)을 둘러싸고 있는 환형 플렌지(annular flange, 54)를 지나 상기 영역(52) 안에 배치된 거의 700개의 통로(48c)를 지니고 있다. 플렌지(54)는 대향하는 주 표면(48a 및 48b) 사이에서 측정되는 거의 2.55㎜인 두께와 이 두께를 가로질러 측정되는 약 2.54㎝의 너비를 가지고 있다. 복수개의 통로(48c)의 부분 집합은 복수개의 이격된 채널 벽(channel wall, 56)과 연계되어 있다. 복수개의 이격된 채널 벽(56) 각각은 주 표면(48a)으로부터, 주 표면(48b)에서 멀어지도록 연장되어 영역(48f)을 둘러싼다. 복수개의 개구(48d)의 하나는 영역(48f)내에 배치된다. 인접한 채널 벽(56) 사이에 배치된 주 표면(48a)의 영역은 채널 영역(58)을 형성하며, 상기 채널 영역(58)의 부분 집합이 대향하는 주 표면(48a 및 48b)을 유체 소통시키도록 배치하는 통로(48c)를 갖는다. 채널 영역(58)의 너비는 거의 3.173㎜이고 표면(48a)으로부터 채널 벽(56)의 정점까지 측정된 깊이는 거의 9.525㎜이다. 복수개의 채널 영역(58)과 연관된 통로(48c)는 복수개의 동일선상의 열(58a)로서배치되고 각 열(58a)은 수평(h)에 대하여 빗각을 형성하도록 배치된다. 바람직하게는 각 열(58a)은 수평(h)에 대하여 빗각을 형성한다. 환형 돌출부(annular protrusion, 60)는 플랜지(54)와 영역(52) 사이에 배치된다. 일반적으로, 환형 돌출부(60)는 표면(48a)으로부터, 표면(48b)에서 멀어지도록 연장되고, 복수개의 채널 벽(56)과 동일하게 연장된다. 환형 돌출부(60)는 표면(48b)으로부터 측정되는 경우 거의 1.21㎝의 두께를 가지고 있다.
도 3, 7 및 8에 대하여, 제 2 유체 배분 플레이트(50)의 표면(50a)은 표면(50a)을 요홈된 표면(recessed surface, 62a)과 요홈부(recess, 62)를 둘러싸고 있는 방호 표면(bulwark surface, 62b)으로 분기시키는 요홈부(62)를 포함한다. 일반적으로 요홈부(62)는 원형이고 방호 표면(62b)에 환형을 제공한다. 제 2 유체 배분 플레이트(50)의 복수개의 통로(50c)로 요홈부(62)와 유체 소통된다.
도 2, 3 및 9에 대하여, 제 1 배분 플레이트(48)는 프로세스 유체가 프로세스 챔버(12)의 프로세스 영역에 도달할 때까지 분리된 상태를 유지하도록 제 2 유체 배분 플레이트(50)의 위에 적재된다. 이를 위하여, 통로(50c)는 부분집합(64)이 영역(48f)(도 5 참조)과 유체 소통되도록 배치되는 한편, 부분집합(66)을 정의하는 잔여 통로(50c)가 채널 영역(58)과 유체 소통된다. 특히, 부분집합(64)의 각각의 통로(50c)는 영역(48f)의 하나와 유일하게 연관되어 있고 따라서 그 내부의 여기서 통로(48c)와도 연관되며, 부분집합(64)의 잔여 통로(50c)는 다른 영역(48f)과 연관된다.
비록 제 1 및 제 2 유체 배분 플레이트를 접촉함에 있어 그 사이에 형성된간격이 미국 특허 제 5,595,606호에 기술된 바와 같은 방수 실(fluidtight seal)을 형성하도록 종래의 방식을 채택할 수도 있지만, 제 1 및 제 2 유체 배분 플레이트(48 및 50)가 상호 융합되어, Salvador P. Umotoy, Lawrance Chung-Lai, Anh N. Nguyen, 및 Steve H. Chiao 등이 발명자이고 "Dual Gas Faceplate For a Showerhead in a Semiconductor Wafer Processing System"으로 명명된 미국 특허 출원 번호 제 09/098,969호에 기술된 바와 같이, 단일 샤워헤드를 형성하는 것이 바람직하다. 즉, 플레이트(48 및 50)의 표면(48a 및 50)은, O-링을 사용하지 않고 제 1 및 제 2 유체 배분 플레이트(48 및 50) 사이에서 방수 인터페이스(fluid-tight interface)를 촉진하도록, 1 내지 3㎜의 평탄성(flatness)을 가진다. 유체 배분 플레이트(48 및 50)는 일반적으로 알루미늄 또는 니켈과 같은 금속으로부터 제작된다.
유체 배분 플레이트(48 및 50)가 함께 클램핑되어 있는 동안, 다량의 실리콘이 함유된 알루미늄으로 표면(48a 및 50a)을 코팅하고 가열함으로써 제 1 및 제 2 유체 배분 플레이트(48 및 50)의 융합이 이뤄진다. 특히, 플렌지(54)는 방호 표면(62b)과 융합되고, 각각의 채널 벽(56)의 정점은 요홈된 표면(62a)에 융합된다. 이러한 경향으로, 영역(48f)은 채널 영역(58)으로부터 고립되어 있고, 주변의 플리넘(68)은 환형 플렌지(54) 및 환형 플렌지(54)를 마주하는 요홈된 표면(62a)과 관련된 표면(48a) 사이에서 형성된다. 통로의 부분집합(66)은 주변의 플리넘(68)과 유체 소통된다. 일단 제 1 및 제 2 유체 배분 플레이트(48 및 50)는 융합되어 있고, 복수개의 볼트(도시 안됨)를 통하여 샤워헤드(22)에 유체 배분 매니폴드(fluiddistribution manifold, 20)를 고정시키는 것을 수월하게 하도록 복수개의 설치 구멍(70)이 형성되어 있다. 프로세스 유체와 반응하는 재료로 샤워헤드(22)가 제작되었다면, 0.2 내지 0.4 mils의 깊이로 도금된 니켈과 같은 피막 재료를 사용하여 부식을 막을 수 있다.
도 2, 3 및 10에 대하여, 가스 배분 매니폴드(20)는 두 개의 도관(72 및 74)을 가지고 있다. 유체 배분 매니폴드(20)는 제 2 유체 배분 플레이트(50)와 대면하는 유체 배분 갭(fluid distribution gap, 76)을 형성하는 중앙 요홈을 포함한다. 유체 배분 갭(76)은 영역(52)에 배치된 통로(48c)와 함께 정렬된 통로(50c)의 부분집합(64)과 유체 소통을 한다. 도관(74)은 주변의 플리넘(68)과 유체 소통된다. 하지만, 플리넘(68)은 갭(76)으로부터 고립되어 있다. 이러한 방식으로 도관(72 및 74)은 각각 통로(58c)의 부분집합(64 및 66)과 유체 소통된다.
또한, 프로세스 유체 제 1 소스는 도관(72)과 유체 소통하며 프로세스 유체의 제 2 소스는 도관(74)과 유체 소통된다. 작동시, 프로세스 유체는 프로세스의 제 1 및 제 2 소스(78 및 80)로부터 유체 배분 매니폴드(20)의 도관(72 및 74)으로 각각 전달된다. 도관(72)으로부터 배출된 제 1 프로세스 유체는 유체 배분 갭(76)으로 유입되고 부분집합(64)과 연관된 통로(50c) 및 프로세스 영역으로 들어가며, 그런 후에 복수개의 유동으로 분리된다. 도관(74)으로부터 배출된 제 2 프로세스 유체는 제 2 부분집합(66)의 통로(58c)로 유입되어 플리넘(58c)으로 들어간 후에 복수개의 유동을 둘러싼다. 플리넘(68)으로 유입된 제 2 프로세스 유체는 채널 영역(58)을 통하여 관련된 통로(48c)로 이동하여 복수개의 지류로 분리된다. 채널 영역(58)과 연관된 통로(48c)를 통하여 흐른 후에, 제 2 프로세스 가스는 프로세스 영역으로 유입된다. 이와 같은 배치로, 제 1 및 제 2 프로세스 유체는 프로세스 챔버(12)로 들어간 후에야 혼합되게 된다. 더욱 특상세하게는, 제 1 및 제 2 프로세스 유체는 프로세스 영역에 도달한 후에 까지도 분리된 상태를 유지한다. 적당한 증착 프로세스에서, 프로세스 영역에 도달한 후에 제 1 및 제 2 프로세스 유체의 실질적인 부분이 혼합되고, 지지대(18)상에 놓인 기판(도시 안됨)상에 증착된다.
상기 언급된 기판 상에 증착을 초래하지 않는 프로세스 유체는 진공 펌프(도시 안됨)에 의하여 프로세스 챔버(12)로부터 배출된다. 특히, 가스들은 오리피스(36)를 통하여 배출되고, 배출 통로(42)를 통하여 배출구(46)를 빠져나간다.
도 10에 대하여, 본 발명의 실시예로서, 프로세스 유체는 앞서 논의된 바와 같이 프로세스 챔버(12)에 유입된 후에 결합되는 것으로 가스 공급 라인(gas supply line, 88a 및 88b)을 통하여 공급되는 상이한 가스들을 포함할 수 있다. 또한 유체 배분 매니폴드(20)로 들어가기 전에 혼합 매니폴드(도시 안됨)에서 하나 또는 그 이상의 가스들을 결합하는 것도 가능하며, 그럼으로써 다른 가스와 재혼합되는 하나 또는 그 이상의 상이한 가스들의 혼합체, 또는 상기에서 논의된 바와 같이 프로세스 영역에 도달한 후의 가스 혼합체를 제공한다. 도시된 바와 같이, 특별한 실시예로 텅스텐 실리사이드(WSix)를 증착시키기 위한 두 개의 가스 공급 라인(88a 및 88b)이 있다. 제 1 라인(88a)은 실리콘 함유 가스(예를 들어, 유체 소스(78)로부터의 DCS소스로부터 "DCS"로 언급되는 디클로로실란(SiH2Cl2))을 도관(72)에 공급할 수 있고, 반면 제 2 라인(88b)은 텅스텐 함유 가스(예를 들면, 가스 소스(80)로부터 WF6소스로부터의 텅스텐 헥사 플루오라이드(WF6))를 도관(74)에 공급한다. 각 라인(88a 및 88b)에 대하여, 운송 가스(예를 들면, 가스 소스(78 및 80)의 아르곤 소스로부터의 아르곤)가 적당하게 가스 유동을 안정화시키고 두 개의 라인 사이의 가스 유동을 안정화시키도록 프로세스에 공급될 수 있다. 위에서 논의된 바와 같이 가스(DCS 및 WF6)들은 프로세스 영역에 도달하기 전에는 혼합되지 않는다. 유동 배분 매니폴드(20) 및 샤워헤드(22)의 현재의 조합은 일정한 가스 배분을 챔버에 공급하여, WSix막의 증착을 일정하게 한다. 제 3 공급 라인(도시 안됨)은 증착 가스를 챔버의 지지대(18)의 영역에 두지 않도록, 불활성 퍼지 가스(inert purge gas)(도시 안됨)를 도입할 수 있다.
일반적으로, 각 프로세스 유체용 공급라인은 (ⅰ) 챔버로 유입되는 프로세스 가스의 유동을 자동적 또는 수동적으로 차단하는데 사용되는 수 개의 안전 차단 밸브(safety shut-off valve, 89) 및 (ⅱ) 공급 라인을 통과하는 가스 유동을 측정하는 질량 유동 제어기(mass flow controllers : Macs)(도시 안됨)를 포함한다. 독성 가스가 프로세스에 사용되는 경우, 수 개의 안전 차단 밸브는 전형적인 구성으로 각 가스 공급 라인에 위치한다.
프로세스 챔버(12)에서 실행되는 증착 프로세스는 열 프로세스(thermal process), 플라즈마-강화 프로세스(plasma-enhanced process) 또는 원격 플라즈마프로세스(remote plasma process)가 될 수 있다. 열 프로세스에서, 일반적으로 가스인 프로세스 유체 혼합체는 반응에 필요한 열 에너지를 제공하도록 저항 가열되는 지지대(18)에서 지지되는 반도체 웨이퍼의 표면상에 요구된 막(film)을 증착하도록 열적으로 반응한다. 이를 위해, 일반적으로 지지대(18)는 평행 동심원형으로 두 번을 완전히 감도록 배열되어진 내장된 단일-루프 도선(embedded single-loop of conductive wire)과 같은 가열 요소(heating element)를 포함한다. 가열 요소의 외곽부분은 지지 플래터(support platter) 주위에 인접하게 달리고, 반면 내부 부분은 보다 작은 반경을 가진 동심원의 경로상을 달린다. 가열 요소로의 배선(wiring)은 지지대의 스템(stem)을 관통하고 있다. 만약 지지대(18)가 상기 언급한 도선과 함께 형성되어 있다면, 일반적으로 알루미늄, 세라믹, 또는 이들의 조합된 재료로 제작될 것이다. 상승된 온도로 벽을 유지하기 위해 뜨거운 유체가 프로세스 챔버(12)의 벽을 통하여 순환한다. 챔버 벽(14)을 가열하는데 사용된 유체는 물을 기초로한 에틸렌 글리콜(ethylene glycol) 또는 오일에 기초한 열 전도 유체(thermal transfer fluid)와 같은 일반적인 유체형태를 포함한다. 이와 같은 가열로 유익하게도 바람직하지 않은 반응물의 응축(condensation)이 감소되거나 제거되고, 냉각 진공 통로(cool vacuum passage)의 벽에 응축되었다가 가스 유동이 없는 기간동안 프로세스 챔버로 다시 유입되는 오염물질 및 프로세스 가스의 휘발성 생성물의 제거가 향상된다.
플라즈마-강화 프로세스(plasma-enhanced process)에서, RF 전력 공급원(44)은 일반적으로 가스 혼합체인 프로세스 유체 혼합체를 여기하여 프로세스 영역에서플라즈마를 형성하기 위하여 샤워헤드(22) 및 지지대(19) 사이에 전력을 인가한다. 플라즈마는 배출 통로(exhaust passageway, 42) 및 차단 밸브(shut-off valve, 44)를 둘러쌓고 있는 챔버 벽(14)을 포함하는 전체 프로세스 챔버(12)를 가열한다. 플라즈마의 성분은 지지대(18) 상에 지지된 반도체 웨이퍼(도시 안됨)의 표면에 요구된 층을 증착시키도록 반응한다. RF 전력 공급원(44)은, 일반적으로 프로세스 챔버에 도입된 반응 종(species)의 해리(decomposition)를 증진시키도록 통상적으로 13.56 megahertz(㎒)의 고 RF 주파수(RF1) 및 360 kilohertz(㎑)의 저 RF 주파수(RF2)에서 전력을 공급하는, 혼합 주파수 RF 전력 공급원일 수 있다. 물론, RF 전력 공급원(44)이 프로세스 챔버(12)에 도입된 반응 종(reactive species)의 해리를 증진시키도록 단일 혹은 혼합-주파수 RF 전력(또는 다른 요구된 변동)을 유체 배분 매니폴드(20)에 공급할 수 있다.
그러나, 도 11을 참조하면 바람직한 실시예는 원격 플라즈마 프로세스를 채택하여 프로세스 챔버(12) 내에서 기판에 층을 증착시킨다. 더욱 특별하게는, 본 발명의 바람직한 실시예에는 제 1 플라즈마 소스(200) 및 제 2 플라즈마 소스(300)를 포함한다. 도시된 바와 같이, 제 1 플라즈마 소스(200)는 도관(220)을 통하여 프로세스 챔버(12)와 유체 소통하고, 제 2 플라즈마 소스(300)는 도관(320)을 통하여 프로세스 챔버와 유체 소통하고 있다. 이와 같은 방식으로, 프로세스 챔버(12)에 유입되는 프로세스 유체는 플라즈마에 의하여 해리된 이온화된 원자 또는 분자를 포함한 복수개의 반응 라디칼을 포함한다.
제 1 플라즈마 소스(200)는 티타늄 클로라이드(titanium chloride)와 같은반응성 가스의 공급기(204) 및 프로세스 챔버(12)와 유체 소통을 하는 플라즈마 어플리케이터(plasma applicator, 202)를 포함한다. 마그네트론(magnetron, 206)과 같은 극초단파 발생기(microwave generator)는 아이솔레이터(isolator, 208) 및 자동튜너(autotuner, 210)를 통하여 플라즈마 어플리케이터(202)와 전기적으로 통하고 있다. 특히, 제 1 도파관(waveguide, 212)은 마그네트론(206)과 아이솔레이터(208) 사이에 연결되어 있다; 제 2 도파관(214)은 자동튜너(210) 및 아이솔레이터(208) 사이에 연결되어 있다; 제 3 도파관(216)은 자동튜너(210) 및 어플리케이터(202) 사이에 연결되어 있다. 마그네트론에 의하여 생성된 극초단파 에너지가 도파관(212, 214 및 216)을 거쳐서 어플리케이터(202)를 향하여 이동한다. 자동튜너(210)는 마그네트론(206)으로 반사되는 극초단파 에너지의 양을 감소시킨다. 자동튜너(210)는 어플리케이터(202)에 의하여 반사되어 플라즈마 소스(200)의 나머지 구성 요소로 되돌아가는 극초단파 에너지를 최소화시킨다.
공급기(204)로부터의 반응 가스는 기판 프로세스 챔버의 펌핑 및 배출 시스템(도시 안됨)으로부터의 진공하에서, 마그네트론(206)으로부터 전달된 극초단파 에너지가 정재파(standing wave)를 형성하는 곳에 있는 플라즈마 어플리케이터(202)로 전달된다. 어플리케이터(202)에서의 정재파는 반응 가스로부터 플라즈마를 점화시키며(ignite) 유지하고, 또한 진공하에서 반응성 라디칼 유동이 출력 도파관(218)을 통하여 어플리케이터(202)로부터 방출되고, 그리고 유체 배분 매니폴드내의 도관(74, 도 10 참조)을 통하여 프로세스 챔버(12)를 향하여 방출된다.
제 2 플라즈마 소스(300)는 제 1 플라즈마 소스(200)에 대하여 상기 언급한 요소들(206, 208, 210, 212, 214 및 216)과 동일한 요소들(306, 308, 310, 312, 314 및 316)을 포함한다. 하지만, 반응 가스 공급기(304)는 상기 반응 가스 공급기(204)와 통상적으로 다르다. 본 실시예에서, 반응 가스 공급기(304)는 일반적으로 암모니아이다. 제 2 플라즈마 소스(300)에 의해 생성된 반응성 라디칼은 도관(320)을 통하여 유체 배분 매니폴드로 유입된다. 상기 논의된 바와 같은 이유로 인하여, 이와 같은 방식으로 프로세스 영역에 도착할 때까지 반응성 라디칼의 두 유체 사이의 분리가 유지된다.
도 12에 대하여, 어떠한 플라즈마 어플리케이터라도 어플리케이터(202 또는 302)로 사용될 수 있지만, 한 실시예에서 플라즈마 어플리케이터(402)는 요구된 형상의 체적(volume, 406)을 규정하는 본체(body, 404)를 포함한다. 유입구(fluid inlet, 408)는 유출구(fluid outlet, 410)의 반대편에 배치되는데, 이는 플루오르-함유 반응 가스(fluorine-containing reactive gas)가 그 사이를 통과할 수 있도록 하기 위해서 이다. 제 1 극초단파 어레스터(microwave arrestor, 412)가 체적(406)과 유입구(408) 사이에 배치된다. 유사하게, 제 2 극초단파 어레스터(microwave arrestor, 414)는 유출구 사이에 배치된다. 상기 어레스터(412 및 414)는 극초단파 플라즈마가 배출(egress)되는 것을 방지하고, 바람직하게는 격자(grid) 또는 복수개의 통로를 가진 금속 플레이트를 포함한다. 예를 들면, 어레스터(412 및 414)는 약 1.27-6.35 ㎜으로부터의 범위의 두께, 바람직하게는 약 3.55 ㎜의 두께를 가진 알루미늄 플레이트로부터 형성될 수 있고, 각각이 약 3.17 ㎜ 또는 보다 작은 지름을 가진 조그만 구멍들을 가진다. 구멍의 중심과 중심의 분리는 약 2.54 내지 10.20 ㎜의 범위, 바람직하게는 약 7.87㎜를 가진다. 이와 같은 설계는 약 2.45 ㎓의 주파수를 가진 극초단파 에너지가 어플리케이터(402)로부터 벗어나는 것을 방지한여, 그 내부의 플라즈마 프리젠트(plasma present)가 유입구(408) 또는 유출구(410)중의 하나를 가로질러 통과하는 것을 방지한다. 앞서 언급한 구멍은 어플리케이터(402)로부터 반응 가스가 유입되고 라디칼이 유출되도록 한다. 또한 플라즈마 어플리케이터(402)는 제 1 및 제 2의 이격된 단부 벽(end wall, 416 및 418)을 포함하고, 제 1 및 2 단부 벽(416 및 418)은 그 사이에 연장된 두 개의 이격된 측벽(420 및 422)을 가지고 있다. 유입구는 제 1 측벽(420)에 형성되고 유출구(410)는 제 2 측벽(422)에 형성된다. 일반적으로, 측벽(416, 418, 420 및 422)은 알루미늄으로 제작되지만, 구리, 스테인리스 강(stainless steel) 및 유사한 것을 포함하는 다른 재료들로도 제작될 수 있다.
예컨대 마그네트론(magnetron, 424)과 같은 극초단파 발생기(microwave generator)는, 극초단파 에너지를 체적(406)으로 전달하는 도파관 시스템(waveguide system, 428)과 결합된 안테나(426)를 통하여 제 1 단부 벽(416)과 전기적 연결(electrical communication)이 이루어진다. 일반적으로 마그네트론은 약 2.45 ㎓에서 극초단파를 제공하는데, 극초단파의 전력이 약 75 Watts(W)와 약 1 ㎾ 사이에서의 극초단파를 제공하는 CW 극초단파 소스이다. 안테나는 스터브 안테나(stub antenna), 슬롯 안테나(slot antenna) 또는 이와 유사한 것을 포함하는 극초단파 전달에 적합한 종래 기술로서 알려진 안테나일 수 있다.안테나는 도파관(428)으로의 극초단파 에너지 전달을 최적화하도록 배치되고 그리고 도파관 시스템(428)의 한쪽 단부로부터 파장의 약 1/4가량 떨어져 있다.
도파관 시스템(428)은 하나 이상의 도파관 섹션 및 종래 기술의 일반적인 방법의 하나로 알려져 있는 튜닝 요소를 포함할 수 있다. 일반적으로, 도파관 시스템(428)은 직사각형 단면의 도파관 섹션일 수 있지만, 다른 단면 차원(예를 들면, 원형)을 가진 도파관이 다른 실시예에 사용될 수 있다. 도파관 시스템(428)은 약 86.30 ㎜의 도파관 너비 및 약 43.10 ㎜의 도파관 너비를 가진 소요의 길이를 가질 수 있다. 도파관 시스템(428)의 일부는 한 쪽 끝에서 극초단파 소스(424)에 인접하고 다른 쪽 끝에서는 플라즈마 어플리케이터(402)에 인접할 수 있다. 또한 도파관 시스템(428)은 선택적으로, 반사된 전력을 감시하기 위한 방향성 결합기(directional coupler) 또는 위상 검출기(phase detector) 및/또는 마그네트론을 손상시킬 수도 있는 반사된 극초단파를 흡수하는 부하를 가진 아이솔레이터(isolator)와 같은 다른 최적화 특징들을 포함할 수 있다.
도파관 시스템(428)으로부터 체적(406)으로 극초단파 에너지 전달을 촉진하기 위해, 제 1 단부 벽(416)이 극초단파-투과 플레이트(microwave-trasparent plate, 430) 및 도파관(428)과 플레이트(430) 사이를 연장하는 개구(aperture, 432)를 포함할 수 있다. 극초단파-투과 플레이트(430)는 응용예에 따라 세라믹 또는 사파이어(sapphire) 형태 중의 하나로 알루미나(alumina : Al2O3)와 같은 극초단파를 투과하는 재료로 형성될 수 있다. 사파이어 형태의 Al2O3는 몇몇 특정 실시예에서 가장 선호되는 형태이다. 특정한 실시예에서, 플레이트(430)는 체적(406)의 횡방향 치수보다 크고 그리고 도파관 시스템(428)의 단면 치수에 실질적으로 대응하는 개구(432)의 치수보다 큰 치수를 가지고 있다. 극초단파-투과 플레이트(430)의 두께는 작동 수명을 최적화하는 반면 극초단파 전력 전달을 최대화하도록 선택된다. 일반적으로 극초단파-투과 플레이트(430)의 두께는 약 6.35 내지 19.00 ㎜의 범위를 가지고, 바람직한 두께는 약 10.22 ㎜이다.
체적(406)의 완전 방수 상태(fluid-tight integrity)는 유지되어야 한다. 이를 위해, 플라즈마 어플리케이터(402)의 요소는 방수 실(seal)을 제공하는 기술로 알려진 공지된 어떠한 방법에 의하여 결합될 수도 있다. 예를 들면, 스쿠류와 같은 기구(mechanism)의 브레이징(brazing), 용접(welding) 또는 패스닝(fastening) 등이 제 1 및 제 2 단부 벽(416 및 418)을 측벽(420 및 422)에 연결시키도록 채택될 수 있다. 일반적으로, 제 2 단부 벽(418)은 특별한 직물(cloth) 및 세정 용액으로 체적(406)을 물리적으로 세정함으로써 체적(406)의 세정을 촉진할 수 있도록, 탈장착이 가능하게 측벽(420 및 422)에 부착되어 있다. 이를 위해, 볼트(도시 안됨)가 제 2 단부 벽(418)을 측벽(420 및 422)에 결합하도록 채택될 수 있다. 극초단파-투과 플레이트(430)와 제 1 및 2 측벽(420 및 422) 사이에 배치된 실링 부재(434)와 같이, 그들사이에 실링 부재를 배치시킴으로써 완전 방수가 유지될 수 있다. 부나-러버(buna rubber) O-링, 및/또는 알루미늄과 같은 금속 또는 TeflonTM또는 극초단파에 불투과적인 다를 적절한 재료로 만들어진 가스킷(gasket)을 포함하는 어떠한형태의 실링 부재도 사용될 수 있다.
도 12 및 13에 있어서, 체적은 유동 경로(A)에 평행하게 연장된 두 수직 평면의 직사각형 단면을 가지는 것처럼 보인다. 벽(416, 418, 420, 422)의 치수는 체적이 극초단파 에너지의 요구되는 공명 모드(desired resonance mode)를 이루는데 필요한 치수, 예를 들면 길이(lAP), 너비(wAP) 및 높이(hAP)를 가지도록 선택된다. 일반적으로 체적(406)의 치수는 TE10n 공명 모드중의 하나를 얻도록 규정되는데, 여기서 n은 정수이다. 더욱이, 체적(406)의 치수는 플라즈마에 의하여 반사되는 극초단파 에너지를 최소화하도록 설정될 수도 있다. 체적(406)의 치수를 조정하기 위하여, 금속 플레이트(methal plate, 436)는 체적(406)을 향하도록 극초단파-투과 플레이트(430)에 인접하게 배치될 수 있다. 금속 플레이트(436)는 금속 박(metal foil)이거나 극초단파-투과 플레이트(430) 상에 스퍼터링 또는 기타 다른 방법으로 증착된 금속 층일 수 있다. 금속 플레이트는 극초단파를 체적(406)으로 유도하기 위해 중앙에 위치한 개구(438)를 포함할 수 있다.
도 12 및 14에 있어서, 측벽(420 및 422)은 어플리케이터 길이(lAP) 및 반경(rAP)을 가진 원통형 체적(506)을 형성할 수 있고, lAP및 rAP는TE11n 공명 모드(여기서 n은 정수) 중의 하나를 여기하도록 선택된다. 요구되는 공명 모드에 따라, lAP와 rAP의 치수는 각각 약 50.80 내지 102.00 ㎜, 약 38.10 내지 127.00 ㎜이다. 예를 들면, TE111 공명 모드를 얻기 위해, lAP와 rAP은 거의 93.10 ㎜ 및50.80 ㎜로 규정된다. 바람직하게, 체적은 극초단파의 TE111 공명 모드를 여기하는 치수를 가진다.
도 15에 있어서, 플라즈마 어플리케이터(602)는 체적(606)의 반대편 단부에 배치된 통로(passage, 500)를 가진 것처럼 도시되어 있고, 제 1 및 2 측벽(620 및 622)의 형태에 대하여 상보적이다. 전형적인 실시예에서, 제 1 및 2 측벽(620 및 622)는 원통형 체적(606)을 규정하고, 통로(500)는 환형이다. 제 1 및 제 2 두께(620 및 622)는 약 1.25 ㎜로부터 6.35 ㎜까지의 범위를 가지고, 바람직하게는 약 3.55㎜의 값을 가지고, 체적(606)으로부터 통로(500)를 분리시킨다. 냉각제 유동이 체적(606)과 열 전달을 이룰 수 있는 통로를 제공하기 위하여, 통로(500)는 측벽(620 및 622)의 내부에 위치한다. 각 통로(500)의 단면 치수는 바람직하게는 13.50 ㎜로서 약 2.54 ㎜로부터 약 25.40㎜인 길이와, 바람직하게는 10.20 ㎜로서 약 2.54 ㎜로부터 25.90 ㎜인 높이의 범위를 가진다. 이를 위하여, 어플리케이터 본체(602)가 강도 요구 조건을 만족시키고 열전달 통로(500)를 수용할 수 있도록, 각 어플리케이터(602)의 전체 두께는, 바람직하게 약 25.40 ㎜로서, 약 5.08 ㎜ 내지 76.10 ㎜의 범위를 갖는다.
이와 같은 방식으로 체적(606)의 냉각은 하류의 혼합 매니폴드(downstream mixing manifold, 도시 안됨)로 라디칼의 전달을 촉진한다. 예를 들면, 어플리케이터에서 플라즈마를 형성하는 NF3와 같은 플루오르 함유 반응 가스를 사용하는 경우, 반응 가스는 일반적으로 알루미늄으로 구성된 재료와 반응한다. 이것은 체적(606)에 알루미늄 플루오라이드(aluminum fluoride : AlF)의 형성을 일으킨다. 알루미늄 플루오라이드는 약 400℃에 달하는 원격 플라즈마 시스템에 분당 ㎛의 차수(order)의 속도로 형성된다. 물, 물에 기초한 에틸렌 글리콜(ethylene glycol) 또는 오일에 기초한 열 전달 유체와 같은 다양한 냉각재를 통로(500)를 통하여 유동시키는 것은 체적(606)의 온도가 약 0℃ 내지 100℃의 범위에서 기설정된 온도로 유지되도록 한다. 이와 같은 온도에서, AlF는 년도(year) 당 ㎛의 차수(order)와 같은 상당히 느린 속도로 형성된다고 믿어진다. 한 실험에서, 예를 들어 약 20℃ 내지 25℃로 적어도 약 2 liters/min의 속도로, 바람직하게는 약 3 liters/min의 속도로 열 교환 통로(500)를 통하여 순환하는 물의 사용은 실온(거의 25℃)만금 낮은 온도로서 체적(606)을 유지할 수 있다. 다른 실험에서, 20℃보다 낮은 온도이고 약 3 liters/min의 속도로 유동하는 물은 대략 25℃보다 낮은 온도로 체적(606)을 유지시킨다. 또한, 통로(500)를 통과하는 냉각재 유동에 의해 제공되는 냉각 특성은 측벽(620 및 622)과의 전도에 의하여 극초단파-투과 플레이트(도시 안됨)의 온도 저하를 유도한다. 이른 열 충격(thermal shock)으로 인한 플레이트(436)의 크랙킹(cracking) 가능성을 감소시킨다.
도 14, 15, 16 및 17에 있어서, 복수개의 동일하게 이격된 나사 구멍(threaded bore, 650)이, 제 1 및 제 2 단부 벽(416 및 418)을 탈장착가능하게 부착시키는 것을 손쉽게 하도록 플라즈마 어플리케이터(602)의 반대편 종부의 주위로 배치된다. 특히 최종 안착 위치(seating position)에 장착되는 경우, 제 1 단부 벽(416)은 복수개의 나사 구멍(650)과 정렬하기 적합하도록 된 복수개의 블라인드구멍(blind hole, 421)을 가진 외곽부(outer region, 417)를 구비한 원형인 것으로 도시된다. 이와 유사하게, 제 2 단부 벽(418)도 또한 복수개의 나사 구멍(650)과 정렬되는 복수개의 블라인드 구멍(421)을 가진 외곽부(419)를 포함한다. 이러한 방식으로, 복수개의 스쿠류(도시 안됨)가 제 1 및 2 단부 벽(416 및 418)을 측벽(420 및 422)에 확실하게 부착하도록 사용될 수 있다.
방수 실(seal)의 형성을 용이하게 하도록, 환형의 실링 부재(434)가 제 1 단부 벽(416)의 원형의 극초단파-투과 플레이트(430) 주위에 배치되어 있다. 실링 부재(434)는 바람직하게는 약 57.10 ㎜인 극초단파-투과 플레이트(430)의 반경보다 약간 작은 반경을 가진다. 극초단파-투과 플레이트(430)의 반경은 바람직하게는 약 63.50 ㎜로, 약 25.40에서 127.00 ㎜의 범위를 가진다. 만약 바람직하게는 약 3.17 ㎜, 약 0.03 내지 6.35 ㎜ 범위의 두께를 가진다면, 금속 시트(436)(도 12)는 극초단파-투과 플레이트(430)로부터 열을 전달하는 우수한 열 접촉을 제공하도록 최적화된 두께를 가진다. 이는 열 충격(thermal shock) 및 이에 따른 휘어짐(arcing)을 줄인다. 개구(438)(도 12)는 약 61.20 ㎜의 너비(wA) 및 약 9.64 ㎜의 높이(hA)를 가진 직사각 형태를 가진다. 그러나, 실시예에 따라 개구(438)는, 요구되는 어떠한 형태 및 치수를 가질 수도 있다.
제 1 단부 벽(416)과 유사하게, 제 2 단부 벽(418)은 내부에 형성된 환형 그루브(annular groove, 440)를 지닌 원형이다. 환형 그루브(440)는 체적(606)의 단면과 매칭하는 단면을 가진 제 2 단부 벽의 부분을 둘러싼다. O-링(442)과 같은 실링 부재는 제 1 및 제 2 측벽(420 및 422)과 제 2 단부 벽(418) 사이의 방수 실의 형성을 용이하게 하도록 그루브(440)에 배치된다.
다시 도 11을 참조하면, 비록 원격 플라즈마 소스(200 및 300)가 각각 마그네트론(206 및 306)을 사용하는 극초단파 에너지의 소스로서 기술되었더라도, 어떠한 형태의 극초단파 발생기도 사용될 수 있다. 예를 들면, 저가의 펄스의 낮은 와트의 전력이 마그네트론으로부터 약 1 내지 1.5 ㎾ 사이의 극초단파 전력을 생성하도록 공급하고, 또는 고 전압, 연속파(continuous wave, CW) 전력은 일반적으로 마그네트론으로부터 약 2.5 내지 6 ㎾까지의 극초단파 전력을 생성한다. 몇몇 바람직한 실시예에서, 마그네트론(305)은 몇몇 극초단파 오븐에서 채택된 마그네트론의 형태일 수 있고, 그리고 약 2.45 Gigahertz(㎓)의 주파수를 가진 극초단파를 제공하기 위하여 저가, 저와트, 60 Hertz(㎐)의 반-정류 전력 소스(half-rectified power source)(큰 리플(ripple)을 포함하고 있음)에 의하여 전력을 공급받을 수 있다. 이와 같이 펄스된, 저와트 극초단파 발생기는 고 전력 CW 극초단파 발생기 또는 RF 발생기보다 가격에 있어 적어도 두 차수의 크기가 작을 수 있다.
도파관(212, 214, 216, 312, 314 및 316)은 종래 기술로 알려진 임의의 형태일 수 있고, 알루미늄, 구리, 스테인레스 강 및 이와 유사한 것으로 만들어질 수 있다. 특별한 실시예에 따르면, 도파관(212, 214, 216, 312, 314 및 316)의 치수는 선택적으로 특정 모드를 안내하지 않고서 단지 플라즈마 어플리케이터(202 및 302)에 극초단파 에너지를 전달하는데 요구되는 값이 된다.
RF 아이솔레이터는 일반적으로 폴리테트라 플루오르에틸렌(polytetrafluoroetyhlene : PTFE)과 같은 RF 아이솔레이션을 제공하는 물질로 이루어졌고, 이는 라디칼(NF3와 같은 플루오르-함유 가스를 사용하여 플라즈마를 형성하는 경우의 플루오린 라디칼과 같은 것)에 의한 에칭 또는 증착에 저항한다. PTFE(예를 들어 TeflonTMPTFE처럼 시판되는)와 더불어, PFA(폴리테트라 플루오로 에틸렌(polytetrafluoroethylene) 레진(resin)의 근간인 탄소-플루오르(carbon-fluorine)와 퍼플루오르-알코시 사이드 체인(perfluoro-alkoxy side chain)을 결합한 중합체)와 같은 플루오르 결합된 중합체(fluorinated polymer), 플루오르 결합된 에틸렌-프로필렌(fluorinated ethylene-propylene: TFE) 또는 이와 유사한 것을 포함하는 플루오르 결합된 재료가 사용될 수 있다. 물론, 사용된 특별한 반응 화학에 저항하는 다른 재료가 사용될 수 있다.
본 발명에서, 도 12 내지 17에 대하여 위에서 논의된 어플리케이터 구조(design)에 제공되는 반응 가스가 내부에 형성된 정재파에 의하여 지속되는 플라즈마를 형성하도록 낮은 극초단파 전력을 적절하게 사용하여 점화될 수 있다. 예를 들면, UV 램프(lamp) 또는 3 ㎾ 차수의 높은 극초단파 전력 수준이 플라즈마를 때리도록 요구되는 전형적인 극초단파 플라즈마 시스템과는 대조를 이루어, 약 250W정도의 작은 극초단파 전력이 플라즈마를 때리도록(strike) 제공될 수 있다. 그러므로, 상기에서 논의된 어플리케이터를 사용함으로써 아르곤과 같은 플라즈마-강화 가스를 사용하지 않고서, 그리고 UV 램프 없이 형성될 수 있어서, 어플리케이터의 단위 비용을 감소시킨다. 플라즈마 어플리케이터 튜브(도파관의 작은 부분을통하여 배치됨) 내의 작은 체적이 플라즈마를 수용하는 전형적인 원격 극초단파 플라즈마 시스템과 비교하여, 플라즈마 어플리케이터를 공명시키는 극초단파는 유익하게도, 효과적인 극초단파 에너지 사용 및 유효한 플라즈마 점화(ignition)를 위하여 플라즈마 어플리케이터의 전체 체적내의 반응 가스를 활성화시킬 수 있다.
도 1 및 도 10 양자를 참조하면, 모터(28), 공급원 선(supply line, 88a 및 88b)에 연결된 밸브 또는 유동 제어기(89), 유체 수송 시스템, 스로틀 밸브, RF 전력 공급원(44) 및 챔버의 제어와 같은 프로세스 챔버(12)의 제어 및 기판 가열 시스템(substrate heating system)의 제어는 제어 선(control line, 92)위로 시스템 제어기(90)에 의하여 제어되는데 단지 몇 개만이 도시되었다. 시스템 제어기(90)는, 시스템 제어기(90)에 의하여 제어되는 적합한 모터로 이동되는 스로틀 밸브 및 지지대와 같이 이동 가능한 기계적 어셈블리의 위치를 결정하기 위하여 광학 센서로부터의 피드백에 의존한다.
바람직한 실시예에서, 시스템 제어기(90)는 하드 디스크 드라이브(메모리, 94), 플로피 디스크 드라이브 및 프로세서(96)를 포함한다. 프로세서는 단일-보드 컴퓨터(single-board computer: SBC), 아날로그 및 디지털 입력/출력 보드, 인터페이스 보드 및 스텝 모터 제어기 보드를 담고 있다. 기판 프로세싱 장치(substarte processing apparatus, 10)의 다양한 부품들은 보드, 카드 케이지, 및 커넥터의 치수 및 형태를 규정하는 Versa Modular European(VME) 표준에 따른다. 또한 VME 표준은 16-비트 데이터 버스(data bus) 및 24-비트 어드레스 버스(address bus)를 가진 버스 구조를 규정한다.
상기 시스템 제어기(90)는, 메모리(94)와 같은 컴퓨터-판독가능 매체(computer-readable medium)에 저장된 컴퓨터 프로그램인, 제어기 소프트 웨어로 작동된다. 컴퓨터 프로그램은 타이밍(timing), 유체의 혼합, 챔버 압력, 챔버 온도, RF 전력 레벨, 지지대 위치, 및 특정 프로세스의 기타 다른 파라미터를 지령하는 명령어 세트(set of instruction)를 포함한다. 사용자와 시스템 제어기(90) 사이의 인터페이스(interface)는 CRT 모니터(98a) 및 라이트 펜(light pen, 99a)을 통하여 이루어지는데, 이는 도 18에 도시되어 있으며, 도 18은 하나 또는 그 이상의 챔버를 포함할 수 있는 기판 프로세싱 시스템에서의 시스템 모니터 및 기판 프로세싱 장치(10)의 단순화된 모식도이다. 바람직한 실시예에서, 두 개의 모니터(98a 및 98b)가 사용되는데, 하나는 작업자를 위하여 크린 룸의 벽에 장착되고, 다른 하나는 서비스 기술자를 위하여 벽의 뒤에 있다. 모니터(98a 및 98b)는 동시에 동일한 정보를 나타내지만, 단지 하나의 라이트 펜(99a 및 99b)만을 동시에 사용할 수 있다. 라이트 펜(99a 및 99b)의 끝에는 광학 센서(light sensor)가 CRT 디스플레이에 의하여 방출되는 빛을 감지한다. 특별한 스크린 또는 기능을 선택하기 위하여, 작업자는 디스플레이 화면의 지적된 영역과 접촉하고 적절한 라이트 펜(99a 및 99b)상의 버튼을 누른다. 라이트 펜과 디스플레이 화면사이의 정보 전달을 확인하도록, 접촉된 영역은 강조된 색으로 변하거나 새로운 메뉴 또는 화면이 디스플레이 된다. 키보드, 마우스, 또는 다른 지시(pointing) 또는 정보 전달 장치(communication device)와 같은 다른 장치들이, 사용자와 도 10에 도시된 바와 같은 시스템 제어기(90)와의 정보 전달을 가능하게 하기 위하여 라이트 펜(99a 및99b)을 대신하거나 라이트 펜(99a 및 99b)과 더불어서 사용될 수 있다.
시스템 제어기(90)에 의하여 실행되는 컴퓨터 프로그램 제품을 사용하여, 필름을 증착하는 프로세스가 실행될 수 있다. 컴퓨터 프로그램 코드는 어떠한 전형적인 컴퓨터 판독가능 프로그래밍 언어(예를 들어, 68000 어셈블리 언어, C, C++, 파스칼(Pascal), 포트란(Fortran) 또는 다른 언어들)로 기록될 수 있다. 적합한 프로그램 코드는 전형적인 문서 편집기(text editor)를 사용하여 단일 파일 또는 다중 파일로 다루어질 수 있고, 컴퓨터의 메모리 시스템과 같은 컴퓨터-사용가능 매체(computer-usable medium)로 저장되거나 구체화된다. 만약 다루어진 코드 문장이 높은 수준의 언어(high level language)라면, 코드가 컴파일되고, 결과적인 컴파일러 코드(compiler code)가 미리 컴파일된 WindowsTM라이브러리 루틴(library routine)의 객체 코드(object code)와 링크된다. 링크되고 컴파일된 객체 코드를 실행하기 위하여, 시스템 사용자는 객체 코드를 불러내어 컴퓨터 시스템이 코드를 메모리에 로딩(load)하게 한다. 이때 CPU는 프로그램에서 확인된 작업을 수행하도록 코드를 읽고 실행한다.
도 19는 특별한 실시예에 따라, 시스템 제어 소프트웨어, 컴퓨터 프로그램(77)의 계층적인 제어 구조를 설명하는 블록도이다. 상기 언급된 라이트 펜 인터페이스를 사용하여, 사용자는 CRT 모니터에 디스플레이된 메뉴 또는 화면에 응답하여 프로세스 세트 번호와 프로세스 챔버 번호를 프로세스 선택기 서브루틴(773)으로 입력한다. 프로세스 세트들은 특정한 프로세스를 수행하기 위해필요한 프로세스 파라미터의 기설정된 세트이고, 미리 정의된 세트 번호에 의해 동일성이 확인된다. 프로세스 선택기 서브루틴(773)은 (ⅰ) 요구된 프로세스 챔버 및 (ⅱ) 요구된 프로세스를 수행하기 위하여 프로세스 챔버를 작동시키는데 필요한 요구된 프로세스 파라미터 세트를 확인한다. 특정 프로세스를 수행하기 위한 프로세스 파라미터는 프로세스 유체 조성 및 유량(flow rate), 온도, 압력, 극초단파 전력 레벨 또는 RF 전력 레벨 및 저-주파 RF 주파수와 같은 플라즈마 조건, 냉각 유체 압력 및 챔버 벽 온도와 같은 프로세스 조건들과 관련되어 있다. 이러한 파라미터들은 처방전(recipe)의 형태로 사용자에게 제공되고 라이트 펜/CRT 모니터 인터페이스를 사용하여 입력된다.
프로세스를 감시하기 위한 신호가 시스템 제어기의 아날로그 및 디지털 입력 보드에 의하여 제공되고 프로세스를 제어하기 위한 신호들은 CVD 장치(10)의 아날로그 및 디지털 출력 보드에 출력된다. 프로세스 시퀀서 서브루틴(process sequencer subroutine, 775)은 프로세스 선택기 서브루틴(773)으로부터 확인된 프로세스 챔버 및 프로세스 파라미터 세트를 받아서, 다양한 프로세스 챔버의 동작을 제어하기 위한 프로그램 코드를 포함한다. 다중 사용자들이 프로세스 세트 번호 및 프로세스 챔버 번호를 입력할 수 있고, 사용자 한 명이 다중의 프로세스 세트 번호 및 프로세스 챔버 번호를 입력할 수 있어서, 시퀀서 서브루틴(775)은 요구된 시퀀스로 선택된 프로세스를 예정하도록 작동한다. 바람직하게는, 시퀀서 서브루틴(775)은 (ⅰ) 챔버가 사용되는 것인지를 결정하기 위하여 프로세스 챔버의 작동을 감시하는 단계, (ⅱ) 사용된 챔버 내에서 어떠한 프로세스가 실행되는가를결정하는 단계, 및 (ⅲ) 프로세스 챔버의 가용성과 실행되는 프로세스의 형태에 기초하여 요구된 프로세스를 실행하는 단계를 수행하기 위한 프로그램 코드를 포함한다. 폴링(polling)과 같은 전형적인 프로세스 챔버 감시 방법을 사용할 수 있다. 어느 프로세스가 실행될 것인가를 스케쥴링할 때, 시퀀서 서브루틴(775)은 선택된 프로세스에 대해 요구되는 프로세스 조건과 비교되는 사용될 프로세스 챔버의 현재 조건, 또는 각각의 특정 사용자에 의해 입력된 요청의 대기 시간(age), 또는 시스템 프로그래머가 스케쥴링 우선 순위를 결정하기 위하여 포함하기를 바라는 다른 관련 요인들을 고려한다.
시퀀서 서브루틴(775)이 어느 프로세스 챔버 및 어느 프로세스 세트 조합이 다음에 실행될 것인가를 일단 결정하게 되면, 시퀀서 서브루틴(775)은 특정 프로세스 세트 파라미터를, 시퀀서 서브루틴(775)에 의하여 결정된 프로세스 세트에 따라 프로세스 챔버(12)에서 다중 프로세싱 작업을 제어하는 챔버 매니저 서브루틴(chamber manager subroutine, 777a 내지 777c)에 전달함으로써 프로세스 실행을 초기화시킨다. 예를 들면, 챔버 매니저는 프로세스 챔버(12) 내에서 스퍼터링 및 CVD 프로세스 작업을 제어하기 위한 프로그램 코드를 포함한다. 또한 챔버 매니저 서브루틴(777)은, 선택된 프로세스 세트를 실행하는데 필요한 챔버 요소의 작동을 제어하는, 다양한 챔버 요소 서브루틴들의 실행을 제어한다. 챔버 요소 서브루틴들의 예는 기판 포지셔닝 서브루틴(substrate positioning subroutine, 780), 프로세스 유체 제어 서브루틴(783), 압력 제어 서브루틴(785), 히터 제어 서브루틴(787), 및 플라즈마 제어 서브루틴(790)이다. 이 기술에 있어 통상의 지식을가진 자라면, 프로세스 챔버(12) 내에서 어떤 프로세스가 실행되는가에 따라서, 다른 챔버 제어 서브루틴이 포함될 수 있다는 것을 쉽게 인식할 것이다. 작동 시에 실행되는 특정 프로세스 세트에 따라서, 챔버 매니저 서브루틴(777a)은 프로세스 요소 서브루틴을 선택적으로 스케쥴링하거나 요청한다. 시퀀서 서브루틴(775)이 다음에 어느 프로세스 챔버(12) 및 어느 프로세스 세트가 실행될 것인가를 예약하는 것과 같이 챔버 매니저 서브루틴(777a)은 프로세스 요소 서브루틴을 스케쥴링한다. 일반적으로, 챔버 매니저 서브루틴(777a)은 다양한 챔버 요소를 감시하는 단계, 실행될 프로세스 세트에 대한 프로세스 파라미터에 기초하여 작동되는데 어느 요소가 필요한 가를 결정하는 단계, 및 감시 및 결정 단계에 대하여 챔버 요소 서브루틴 실행시키는 단계를 포함한다.
도 1 및 19에 있어서, 기판 포지셔닝 서브루틴(780)은 기판을 지지대(18)에 적재하고, 선택적으로 프로세스 챔버(12)에서 기판 및 샤워헤드(22) 사이의 간격을 제어하기 위하여 기판을 요구된 높이로 들어올리는데 사용되는 챔버 요소를 제어하기 위한 프로그램 코드를 포함한다. 기판(도시 안됨)이 프로세스 챔버(12)내에 적재되는 경우, 지지대(18)는 기판을 수용하기 위해 낮춰진다; 그런 후에, 지지대(18)는 CVD 프로세스 동안 유체 배분 매니폴드로부터 기판을 제 1 거리(distance) 또는 간격(spacing)으로 유지하기 위하여 챔버 내에 요구된 높이로 올려진다. 작동 시에, 기판 포지셔닝 서브루틴(780)은, 챔버 매니저 서브루틴(777a)으로부터 전달된 지지 높이(support height)에 관련된 프로세스 세트 파라미터에 대하여 지지대(18)의 동작을 제어한다.
프로세스 유체 제어 서브루틴(783)은 프로세스 유체 조성 및 유량을 제어하는 프로그램 코드를 가지고 있다. 프로세스 유체 제어 서브루틴(783)은 안전 차단 밸브(safety shut-off valve)의 개/폐 위치(open/close position)를 제어하고, 또한 요구된 유체 유량을 얻도록 질량 유동 제어기(mass flow controller)를 램프형으로 들어올리거나/내린다. 프로세스 유체 제어 서브루틴(783)은 모든 챔버 요소 서브루틴처럼 챔버 매니저 서브루틴(777a)에 의하여 요청되고, 요구된 유체 유량에 관련된 챔버 매니저 서브루틴 프로세스 파라미터로부터 수신한다. 일반적으로 유체 공급원 선(fluid supply line)을 열고, 그리고 반복적으로 (ⅰ) 필요한 질량 유동 제어기를 읽고 (ⅱ) 읽은 값과 챔버 매니저 서브루틴(777a)으로부터 수신된 요구 유량을 비교하며 (ⅲ) 유체 공급원 선의 유량을 필요한 만큼 조정함으로써, 프로세스 유체 제어 서브루틴(783)이 작동한다. 게다가, 프로세스 유체 제어 서브루틴(783)은 유체 유량을 불안전한 유량에 대해 감시하고, 불안전한 조건이 감지되는 경우 안전 차단 밸브를 작동시키는 단계를 포함한다.
몇몇 프로세스에서, 반응 프로세스 유체가 도입되기 전에 챔버 내의 압력을 안정화시키기 위하여 헬륨 또는 아르곤 가스와 같은 불활성 유체가 프로세스 챔버(12)로 유입된다. 이러한 프로세스에 대하여, 프로세스 유체 제어 서브루틴(783)은 불활성 유체를 프로세스 챔버(12)로 챔버내의 압력을 안정화시키는데 필요한 시간동안 유입시키는 단계를 포함하도록 프로그램 되어지고, 그런 다음 상기한 단계들이 실행될 것이다. 더욱이, 프로세스 유체가 예를 들어 테트라 에틸 오쏘실리케이트(tetraetylorthosilicate : TEOS)와 같은 액체 선구체(liquidprecursor)로부터 기화되는 가스를 포함한다면, 프로세스 유체 제어 서브루틴(783)은 버블러 어셈블리(bubbler assembly) 내의 액체 선구체(liquid precursor)를 통하여 헬륨 가스와 같은 운송 유체를 버블링하는 단계 또는 헬륨 가스 또는 질소 가스와 같은 캐리어 유체를 액체 분사 시스템에 도입하는 단계를 포함하도록 기술된다. 버블러가 이러한 형태의 프로세스에 대하여 사용되는 경우, 프로세스 유체 제어 서브루틴(783)은 요구 프로세스 유량을 얻도록 하기 위하여, 운송 유체의 유동, 버블러 내의 압력, 및 버블러의 온도를 조정한다. 상기에서 논의된 바와 같이, 요구 프로세스 유체 유량은 프로세스 파라미터로서 프로세스 유체 제어 서브루틴(783)에 전달된다. 게다가, 프로세스 유체 제어 서브루틴(783)은 주어진 프로세스 유체 유량에 대하여 필요한 값을 담은 저장 테이블에 억세스함으로써, 요구 프로세스 유체 유량에 대하여 필요한 운송 유체 유량, 버블러 압력 및 버블러 온도를 얻는 단계를 포함한다. 일단 필요한 값이 얻게 되면, 운송 유체 유량, 버블러 압력 및 버블러 온도가 감시되고, 필요한 값에 비교되며 적절하게 조정된다.
압력 제어 서브루틴(pressure control subroutine, 785)은 챔버의 배출 시스템에서 스로틀 밸브의 개도 크기를 조정하여 프로세스 챔버(12)내의 압력을 제어하기 위한 프로그램 코드를 포함한다. 압력 제어 서브루틴(785)이 요청되면, 목표 압력 레벨이 챔버 매니저 서브루틴(777a)으로부터 파라미터로써 수신된다. 압력 제어 서브루틴(785)은 챔버(12)내의 압력을 챔버에 연결된 하나 또는 그 이상의 전형적인 압력 마노미터(pressure manometer)를 읽음으로써 측정하고, 목표 압력과 측정된 수치를 비교하고, 저장된 압력 테이블로부터 목표 압력에 부합하는 PID(비례,적분 및 미분) 값들을 얻고, 그리고 압력 테이블로부터 얻어진 PID 값에 따라서 스로틀 밸브를 제어하도록 작동한다. 대체적으로, 압력 제어 서브루틴(785)은 프로세스 챔버(12)를 요구 압력으로 조정하도록 특정 개구 크기로 스로틀 밸브를 열거나 닫도록 기술될 수 있다.
히터 제어 서브루틴(heater control subroutine, 787)은, 만약 지지대가 상기에서 논의된 바와 같이 매입된 전도성 와이어(embeded conductive wire)(도시 안됨)를 포함한다면, 지지대(18)를 가열하는데 사용되는 가열 장치(heating unit)로의 전류를 제어하기 위한 프로그램 코드를 포함한다. 또한 히터 제어 서브루틴(787)은 챔버 매니저 서브루틴(777a)에 의하여 요청되고 목표 또는 설정 온도 파라미터를 수신한다. 히터 제어 서브루틴(787)은 상기 언급한 매입된(embedded) 와이어의 전압 출력을 측정함으로써 온도를 측정하고, 측정된 온도를 설정 온도(set-point temperature)와 비교하며, 설정 온도를 얻도록 히팅 장치에 적용되는 전류를 증감시킨다. 온도는 저장된 변환 테이블에서 상응 온도를 찾음으로써, 또는 4차 다항식을 사용하여 온도를 계산함으로써 측정된 전압으로부터 얻어진다. 매입된 루프가 지지대(18)를 가열하는데 사용된다면, 히터 제어 서브루틴(787)은 점진적으로 루프에 인가되는 전류를 램프형으로 증가시키거나/감소시키도록 제어한다. 부가적으로 프로세스 챔버(12)가 적당하게 설정되어 있지 않다면, 고유-안전-장치 모드(built-in-fail-safe mode)가 프로세스 안전 컴플라이언스를 감지하기 위하여 포함될 수 있고, 가열 장치 작동을 차단시킬 수 있다.
플라즈마 제어 서브루틴(790)이 프로세스 챔버(12)에서 프로세스전극(process electrode)에 인가되는 저주파수 및 고주파수 RF 전력 레벨을 설정하고, 사용된 저주파수 RF 주파수를 설정하기 위한 프로그램 코드를 포함한다. 또한 플라즈마 제어 서브루틴(790)은, 본 발명에서 사용된 마그네트론 또는 다른 극초단파 소스에 인가되는 전력 레벨을 켜고 그리고 설정/조정하기 위한 프로그램 코드를 포함한다. 앞서 기술된 챔버 요소 서브루틴과 유사하게, 플라즈마 제어 서브루틴(790)은 챔버 매니저 서브루틴(777a)에 의하여 요청되어진다.
본 발명은 기판에 근접한 프로세스 영역에 도달할 때까지 두 개의 반응 라디칼 유동의 분리를 유지하면서, 상기 두 유동을 운반하도록 결합된 이중 원격 플라즈마 소스(dual remote plasma source)로 기판 및 구조물 상에 층을 증착하는 방법 및 장치를 제공하는 것으로서, 이와 같은 본 발명에 따르면 반도체 프로세싱 장치에서 원치 않던 증착을 감소시키는 동안 기판 상에 층을 증착시키는 것을 촉진하는 원격 플라즈마 소스에 대한 요구가 성취될 수 있다.
전술한 기술들은 주로 예시적으로 설명하기 위한 목적이고, 전자 사이클로트론 공명기(electron cyclotron resonace :ECR) 플라즈마 CVD 장치, 유도-결합된 RF 고밀도 플라즈마 CVD 장치, 또는 유사한 것과 같은 다른 장치가 향상된 장치를 제공하기 위하여 본 발명에 사용될 수 있다. 게다가, 지지대 구조(design), 히터 구조, RF 전력 주파수, RF 전력 연결 위치 및 다른 것들과 같이 상기에 기술된 시스템이 가능하다. 예를 들면, 웨이퍼는 수정 램프(quartz lamp)에 의하여 지지되어지고 가열될 수 있다. 본 발명은 특정 장치를 사용하는 것 또는 개선하는 것에 한정될 필요는 없다는 것을 인식해야 한다. 상기 기술된 내용을 살펴보는 본 기술에 대하여 통상의 지식을 가진 자들에게 본 발명에 대한 많은 변화가 이루어질 수 있다는 것이 명백하다. 예시로서, 이중 원격 플라즈마 실시예는 효율적으로 기판을 에칭하거나 장치를 세정하는데 사용될 수 있다. 본 발명의 범위는 상기 기술에 대하여 결정되어서는 안되며, 대신에 첨부된 청구항으로, 이 명명된 청구항들에 대하여 등가인 전범위에 따라 결정되어야 한다.

Claims (21)

  1. 프로세스 챔버 내로 이온을 제공하는 방법에 있어서,
    상기 챔버에 대해 원격으로 제 1 및 제 2 플라즈마를 형성하는 단계로서, 상기 제 1 플라즈마는 이와 연계된 다수의 제 1 반응 라디칼을 가지며, 상기 제 2 플라즈마는 이와 연계된 다수의 제 2 반응 라디칼을 갖는 단계;
    상기 제 1 반응 라디칼이 제 1 유동을, 상기 제 2 반응 라디칼이 제 2 유동을 형성하도록, 상기 제 1 및 제 2 반응 라디칼을 상기 프로세스 챔버 내로 유동시키는 단계; 및
    상기 제 1 및 제 2 유동이 상기 프로세스 챔버로 유입된 이후에 이들을 혼합하는 단계를 포함하여 이루어지는 방법.
  2. 제 1 항에 있어서, 상기 제 1 및 제 2 유동을 혼합하기 이전에, 상기 제 1 및 제 2 유동이 이격되어 있는 방법.
  3. 제 1 항에 있어서, 상기 프로세스 챔버에 대해 원격으로 제 1 및 제 2 플라즈마를 형성하는 단계가, 제 1 및 제 2 플라즈마를 동시에 형성하는 것을 포함하는 방법.
  4. 제 1 항에 있어서, 상기 제 1 및 제 2 반응 라디칼을 상기 프로세스 챔버 내로 유동시키는 단계가, 상기 제 1 유동을 다수의 스트림으로, 상기 제 2 유동을 다수의 지류로 분류시키는 것을 포함하는 방법.
  5. 제 1 항에 있어서, 상기 제 1 및 제 2 반응 라디칼을 상기 프로세스 챔버 내로 유동시키는 단계가, 상기 제 1 유동을 다수의 평행한 스트림으로, 상기 제 2 유동을 다수의 평행한 지류로 분류시키는 것을 포함하는 방법.
  6. 제 1 항에 있어서, 상기 제 1 및 제 2 반응 라디칼을 상기 프로세스 챔버 내로 유동시키는 단계가, 상기 제 1 및 제 2 유동을 혼합하기 이전에 이들이 서로 이격되도록 한 채, 상기 제 1 유동을 상기 제 2 유동으로 둘러싸는 것을 포함하는 방법.
  7. 제 1 항에 있어서, 상기 다수의 제 1 반응 라디칼이 실리콘 원자를, 상기 다수의 제 2 반응 라디칼이 질소 원자를 포함하는 방법.
  8. 제 1 항에 있어서, 상기 프로세스 챔버가 흡입 포트, 입구 통공 및 상기 흡입 포트와 상기 입구 통공으로부터 이격되어 있는 프로세스 영역을 포함하는 한편, 상기 제 1 및 제 2 반응 라디칼을 상기 프로세스 챔버 내로 유동시키는 단계가 상기 제 1 유동을 상기 흡입 포트를 통해 상기 프로세스 영역 위로 전송하고 상기 제 2 유동을 상기 입구 통공을 통해 상기 프로세스 영역 위로 전송하는 것을 더 포함하며, 상기 제 1 및 제 2 유동은 상기 프로세스 영역에 도달할 때까지 이격되어 있는 방법.
  9. 제 1 항에 있어서, 상기 프로세스 챔버가 플라즈마 생성 장치와 유통되고, 제 1 및 제 2 플라즈마를 형성하는 단계가 상기 플라즈마 생성 장치에 제 1 및 제 2 반응 가스를 공급하는 것을 포함하는 한편, 상기 다수의 제 1 반응 라디칼은 상기 제 1 반응 가스와, 상기 다수의 제 2 반응 라디칼은 상기 제 2 반응 가스와 연계되고, 상기 제 1 반응 가스는 실리콘 소스를, 상기 제 2 반응 가스는 질소 소스를 포함하는 방법.
  10. 증착 장치에 있어서,
    프로세스 챔버;
    상기 프로세스 챔버와 유통되는, 상기 프로세스 챔버에 대해 원격으로 제 1 및 제 2 플라즈마를 형성하는 수단으로서, 상기 제 1 플라즈마는 이와 연계된 다수의 제 1 반응 라디칼을, 상기 제 2 플라즈마는 이와 연계된 다수의 제 2 반응 라디칼을 가지는 수단;
    상기 프로세스 챔버와 유통되는, 상기 프로세스 챔버 내로 상기 제 1 및 제 2 반응 라디칼을 유동시키는 수단으로서, 상기 제 1 반응 라디칼은 제 1 유동을, 상기 제 2 반응 라디칼은 제 2 유동을 형성하는 수단; 및
    상기 프로세스 챔버와 결합된, 상기 제 1 및 제 2 유동이 상기 프로세스 챔버로 유입된 이후에 이들을 혼합하는 수단을 포함하는 장치.
  11. 제 10 항에 있어서, 제 1 및 제 2 플라즈마를 원격으로 형성하는 상기 수단이 상기 제 1 및 제 2 플라즈마를 원격으로 동시에 형성하는 수단을 포함하는 장치.
  12. 제 10 항에 있어서, 상기 혼합 수단이 제 1 및 제 2 유체 배분 플레이트를 포함하고, 상기 플레이트 각각은 대향하는 주된 표면 및 상기 주된 표면 사이에 연장되는 다수의 통로를 포함하며, 상기 제 1 유체 배분 플레이트는 내부에 형성된 다수의 채널을 구비하는 한편, 상기 제 1 유체 배분 플레이트와 연계된 상기 다수의 통로의 제 1 부분 집합이 상기 다수의 채널과 유통되고, 제 2 부분 집합을 이루는 상기 제 1 배분 플레이트와 연계된 나머지의 통로가 상기 다수의 채널로부터 격리되며, 상기 제 2 유체 배분 플레이트와 연계된 상기 통로의 제 1 소그룹이 상기 제 1 부분 집합과 유통되는 한편, 상기 제 2 배분 플레이트와 연계된 나머지의 통로가 상기 제 2 부분 집합과 유통되는 장치.
  13. 제 10 항에 있어서, 상기 유체 배분 장치가 제 1 및 제 2 유체 배분 플레이트를 포함하는 샤워 헤드를 포함하고, 상기 제 1 유체 배분 플레이트는 대향하는 주된 표면을 구비하는 한편, 상기 대향하는 주된 표면 중 제 1 표면으로부터 다수의 이격된 채널 벽면이 나머지의 제 2 표면에서 멀어지도록 연장되고, 상기 다수의채널 벽면 각각은 상기 대향하는 주된 표면 중 상기 제 1 표면의 영역을 둘러싸서 도관을 형성하며, 인접한 채널 벽면 사이에서 상기 대향하는 주된 표면 중 제 1 표면의 영역이 채널 영역을 형성하고, 상기 채널 영역의 부분 집합은 상기 대향하는 주된 표면이 유통되도록 배치하는 통로를 구비하며, 상기 도관과 연계된 상기 영역이 상기 대향하는 주된 표면 사이에서 연장되는 통로를 가지고, 상기 제 2 유체 배분 플레이트가 대향하는 표면을 가지며, 그 내부에 형성된 다수의 통공이 상기 대향하는 표면 사이에서 연장되고, 상기 다수의 도관 중 하나를 상기 제 1 및 제 2 유체 배분 플레이트 위로 중첩시키도록 배열된 부분 집합의 상기 다수의 통공 각각이 서로 인접하게 배치되고, 소그룹과 연계된 상기 다수의 통공 각각이 상기 다수의 채널 영역 중 하나를 중첩하도록 배열되는 장치.
  14. 제 12 항에 있어서, 상기 유체 배분 장치는, 상기 도파관 장치 및 상기 제 1 부분 집합과 유통되는 제 1 도관과, 상기 도파관 장치 및 상기 제 2 부분 집합과 유통되는 한편 상기 제 1 도관 및 상기 부분 집합으로부터 격리되는 제 2 도관을 갖는 유체 배분 매니폴드를 포함하는 장치.
  15. 제 14 항에 있어서, 상기 도파관 장치가 한쌍의 도파관을 포함하고, 이들 중 하나는 상기 제 1 플라즈마의 소스 및 상기 제 1 도관과 유통되고, 나머지 도파관은 상기 제 2 도관과 유통되는 장치.
  16. 증착 장치에 있어서,
    흡입 포트 및 입구 통공을 갖는 프로세스 챔버;
    다수의 제 1 반응 라디칼을 갖는 제 1 플라즈마 소스;
    다수의 제 2 반응 라디칼을 갖는 제 2 플라즈마 소스;
    상기 제 1 플라즈마 소스 및 상기 제 2 플라즈마 소스와 유통되는 펌프 장치;
    상기 흡입 포트 및 상기 입구 통공과 유통되는 도파관 장치; 및
    상기 도파관 장치와 유통되는 유체 배분 장치를 포함하여 이루어지고,
    상기 펌프 장치는 제 1 및 제 2 유동을 생성하도록 연결되며, 상기 제 1 유동은 상기 다수의 제 1 반응 라디칼을, 상기 제 2 유동은 상기 다수의 제 2 반응 라디칼을 포함하는 장치.
  17. 제 16 항에 있어서, 상기 유체 배분 장치가 제 1 및 제 2 유체 배분 플레이트를 포함하고, 상기 플레이트는 각각 대향하는 주된 표면 및 상기 대향하는 주된 표면 사이에서 연장되는 다수의 통로를 포함하며, 상기 제 1 유체 배분 플레이트는 내부에 형성된 다수의 채널을 구비하고, 상기 제 1 유체 배분 플레이트와 연계된 상기 다수의 통로 중 제 1 부분 집합은 상기 다수의 채널과 유통되고, 제 2 부분 집합을 이루는 상기 제 1 배분 플레이트와 연계된 나머지 통로는 상기 다수의 채널로부터 격리되며, 상기 제 2 유체 배분 플레이트와 연계된 상기 통로 중 제 1 소그룹이 상기 제 1 부분 집합과 유통되고, 상기 제 2 배분 플레이트와 연계된 나머지통로는 상기 제 2 부분 집합과 유통되는 장치.
  18. 제 16 항에 있어서, 상기 유체 배분 장치가 제 1 및 제 2 유체 배분 플레이트를 포함하고, 상기 플레이트 각각이 대향하는 주된 표면, 다수의 통로 및 다수의 통공을 구비하며, 상기 다수의 통로가 한쌍의 상기 다수의 통공 사이에서 연장되고, 한쌍의 상기 다수의 통공 중 각 통공은 상이한 대향하는 주된 표면에 배치되고, 상기 제 1 유체 배분 플레이트는 내부에 형성된 다수의 채널을 구비하는 한편, 상기 상기 제 1 유체 배분 플레이트의 다수의 통로의 제 1 부분 집합이 상기 다수의 채널과 유통되고, 상기 부분 집합의 나머지의 통로가 상기 다수의 채널로부터 격리되며, 상기 제 2 유체 배분 플레이트의 상기 통로의 제 1 소그룹이 상기 제 1 부분 집합과 유통되는 한편, 상기 제 2 배분 플레이트와 연계된 나머지 통로가 상기 제 2 부분 집합과 유통되는 장치.
  19. 제 17 항에 있어서, 상기 유체 배분 장치는, 상기 도파관 장치 및 상기 제 1 부분 집합과 유통되는 제 1 도관과, 상기 도파관 장치 및 상기 제 2 부분 집합과 유통되는 한편 상기 제 1 도관 및 상기 제 1 부분 집합으로부터 격리되는 제 2 도관을 구비한 유체 배분 매니폴드를 포함하는 장치.
  20. 제 19 항에 있어서, 상기 도파관 장치가 한쌍의 도파관을 포함하고, 상기 도파관 중 하나는 상기 제 1 플라즈마의 소스 및 상기 제 1 도관과 유통되며, 나머지도파관은 상기 제 2 도관과 유통되는 장치.
  21. 제 15 항에 있어서, 상기 펌프 장치, 상기 제 1 플라즈마 소스, 상기 제 2 플라즈마 소스 및 상기 플라즈마 소스와 작동가능하게 결합되는 제어기; 및
    상기 제어기에 연결된, 상기 증착 장치의 작동을 인도하기 위해 구현되는 컴퓨터 판독가능한 프로그램을 내부에 구비한 컴퓨터 판독가능한 매체를 포함하여 이루어지는 메모리를 더 포함하고,
    상기 컴퓨터 판독가능한 프로그램은 상기 제어기에 의해 작동되어 상기 다수의 제 1 및 제 2 반응 라디칼을 소정의 비율로 상기 프로세스 챔버 내로 도입하는 것을 조절하도록 하는 컴퓨터 명령어 세트를 포함하는 장치.
KR1020010027491A 2000-05-19 2001-05-19 외부적으로 이온화되고 지원하는 cvd 장치 KR20010105299A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US57440100A 2000-05-19 2000-05-19
US09/574,401 2000-05-19

Publications (1)

Publication Number Publication Date
KR20010105299A true KR20010105299A (ko) 2001-11-28

Family

ID=24295956

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010027491A KR20010105299A (ko) 2000-05-19 2001-05-19 외부적으로 이온화되고 지원하는 cvd 장치

Country Status (3)

Country Link
EP (1) EP1156511A1 (ko)
JP (1) JP2002146538A (ko)
KR (1) KR20010105299A (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100472410B1 (ko) * 2002-03-29 2005-03-10 삼성전자주식회사 반도체 기판을 가공하기 위한 전극 조립체 및 이를 갖는가공장치
KR20150115780A (ko) * 2013-02-08 2015-10-14 어플라이드 머티어리얼스, 인코포레이티드 다수의 플라즈마 구성들을 갖는 반도체 프로세싱 시스템들

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030141820A1 (en) * 2002-01-30 2003-07-31 Applied Materials, Inc. Method and apparatus for substrate processing
TW200402769A (en) * 2002-08-02 2004-02-16 Varian Semiconductor Equipment Removal of plasma deposited surface layers by dilution gas sputtering
DE102004060068B4 (de) * 2004-12-06 2009-04-16 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Mikrowellenplasmaquelle
DE102011111884B3 (de) 2011-08-31 2012-08-30 Martin Weisgerber Verfahren und Vorrichtung zur Erzeugung von thermodynamisch kaltem Mikrowellenplasma
WO2013032406A1 (en) * 2011-09-01 2013-03-07 National University Of Singapore A system and a method for depositing a layer on a substrate
JP6054695B2 (ja) * 2011-11-25 2016-12-27 東京エレクトロン株式会社 成膜装置
SG11202011069RA (en) * 2018-06-14 2020-12-30 Mks Instr Inc Radical output monitor for a remote plasma source and method of use

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2635021B2 (ja) * 1985-09-26 1997-07-30 宣夫 御子柴 堆積膜形成法及びこれに用いる装置
US5874350A (en) * 1987-03-20 1999-02-23 Canon Kabushiki Kaisha Process for preparing a functional thin film by way of the chemical reaction among active species
JPH086181B2 (ja) * 1992-11-30 1996-01-24 日本電気株式会社 化学気相成長法および化学気相成長装置
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US8075789B1 (en) * 1997-07-11 2011-12-13 Applied Materials, Inc. Remote plasma cleaning source having reduced reactivity with a substrate processing chamber

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100472410B1 (ko) * 2002-03-29 2005-03-10 삼성전자주식회사 반도체 기판을 가공하기 위한 전극 조립체 및 이를 갖는가공장치
KR20150115780A (ko) * 2013-02-08 2015-10-14 어플라이드 머티어리얼스, 인코포레이티드 다수의 플라즈마 구성들을 갖는 반도체 프로세싱 시스템들

Also Published As

Publication number Publication date
JP2002146538A (ja) 2002-05-22
EP1156511A1 (en) 2001-11-21

Similar Documents

Publication Publication Date Title
US6026762A (en) Apparatus for improved remote microwave plasma source for use with substrate processing systems
KR100528357B1 (ko) 마이크로파플라즈마공급원을구비한기판처리시스템을개선하기위한장치및방법
US8075789B1 (en) Remote plasma cleaning source having reduced reactivity with a substrate processing chamber
KR100801377B1 (ko) 희석 원격 플라즈마 세척
US9051647B2 (en) Tunable multi-zone gas injection system
KR100611610B1 (ko) Hdp-cvd시스템에서의입자성능을개선하기위한시즈닝프로세스에서의산소대실란비율조절
US6189482B1 (en) High temperature, high flow rate chemical vapor deposition apparatus and related methods
US20030066486A1 (en) Microwave heat shield for plasma chamber
EP0855735A2 (en) A high temperature, high flow rate chemical vapor deposition apparatus and related methods
US20060005856A1 (en) Reduction of reactive gas attack on substrate heater
EP0855453A1 (en) Methods and apparatus for cleaning using a chlorine containing gas plasma
EP1622187A2 (en) Dual-chamber plasma processing apparatus
US20010054387A1 (en) Heater/lift assembly for high temperature processing chamber
KR20010021675A (ko) 기판 처리 시스템의 세척 방법
KR102015698B1 (ko) 플라즈마 성막 장치 및 기판 배치대
KR19980042359A (ko) 하부 장착된 원격 플라즈마 시스템을 갖는 기판 처리용 장치
KR19980042358A (ko) 고온 처리 챔버용 덮개 어셈블리
KR20010043049A (ko) 텅스텐을 증착하기 위하여 기판 처리 장치에 이용되는개선된 히터
KR20010043225A (ko) 티타늄 박막을 증착하기 위한 cvd 장치 및 방법
KR19980042357A (ko) 반도체 웨이퍼의 고온 처리를 위한 시스템 및 그 방법
EP0855452B1 (en) Process and apparatus for depositing titanium layers
KR20010105299A (ko) 외부적으로 이온화되고 지원하는 cvd 장치
US20070034153A1 (en) Thermal management of inductively coupled plasma reactors
US20010042513A1 (en) Apparatus for improved remote microwave plasma source for use with substrate processing systems
KR20180001465A (ko) 플라즈마 성막 방법 및 플라즈마 성막 장치

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid