TW451286B - Apparatus and methods for upgraded substrate processing system with microwave plasma source - Google Patents

Apparatus and methods for upgraded substrate processing system with microwave plasma source Download PDF

Info

Publication number
TW451286B
TW451286B TW087103089A TW87103089A TW451286B TW 451286 B TW451286 B TW 451286B TW 087103089 A TW087103089 A TW 087103089A TW 87103089 A TW87103089 A TW 87103089A TW 451286 B TW451286 B TW 451286B
Authority
TW
Taiwan
Prior art keywords
patent application
item
magnetron
microwave
plasma source
Prior art date
Application number
TW087103089A
Other languages
English (en)
Inventor
Tsutomu Tanaka
Kelkar Mukul
Kevin Fairbairn
Hari Ponnekanti
David Cheung
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW451286B publication Critical patent/TW451286B/zh

Links

Classifications

    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Description

«濟部中夹旙率爲負工消费合作社命氧 k d$l2S6 a7 B7 五、發明说明() 發明領域: 本發明係關係於基板處理,更明白地說,本發明關係 於用以升級一基板處理系統之設備及方法β本發明之一些 實施例係特別有用於清洗於一基板處理系统中之處理 室·然而,本發明之其他實施例同時也有用於蝕刻或沉積 薄膜於處理於該室中之基板。 發明背景: 製造現代半導髏裝置之主要步驟之一為一層之形 成,例如一氧化層形成在一基板或晶圓上。如同遇知的, 一氧化層可以藉由化學氣相沉積(CVD)加以沉積·於傳統 之熱CVD處理中,反應氣體係被供給基板表面,其中, 發生熱感應化學反應,以產生想要之薄膜。於傳統之t漿 加強CVD(PECVD)處理中,反應氣體係藉由如射頻(RF)能 量之施加而分解至接近基板表面之反應區,藉以創造一高 反應種類之電漿,以產生想要之薄膜。 於CVD處理中,釋放於處理至室中之反應氣體形成 層’例如氡化矽或氮化矽層在被處理之基板之表面上•然 而,於此CVD處理時,不想要之氧化物或氮化物殘餘之 沉積可以產生於該CVD設備之任何地方,例如,於氣種 混合塊及氣醴分配歧管間之區域中,及於排氣通道附近, 及於處理室之壁上。經常地,未能清洗來自CVD設備之 殘餘經常導致劣等,不可靠之製程及故障晶固•典型地, 兩種清洗程序係被使用。發生於每一晶K或每η個晶固之 第5育 本紙張尺皮逋用t國國家楳车(CNS ) Α4规格(210X297公着) ^ϋ. n^— ϋ ί n^i ^^^1 Ε m ^^^1 H_B1 l_ai— ./IV (請先《讀背|6之注$項再填寫本頁) 4512 86 A7 B7 觥濟部中夫標準局貝工消费合作社印裝 五、發明说明() 製程間,第一清洗程序使用一蝕刻氣體,可由電漿形成, 以自室壁及其他區域除去殘餘•發生遠低於第一清洗程序 時,第二清洗程序涉及打開處理室並實除以一特殊布及清 洗液擦拭整個反應器--包含室壁,排氣及其他具有累積殘 餘之區域。沒有了這些經常性清洗程序,來自於CVD設 備中殘餘之雜質可以遷入晶圓丰、雜質之問題造成對基板 上之裝置之损壞,這對於現代裝置中之愈小特性大小是特 別地嚴重。因此’適當之清洗CVD設備對於基板處理之 平順操作,改良裝置良率及較佳產品效能係特別重要。 雖然,有效之室清洗可以以傳統CVD設備加以執行, 怛卻遣遇到效率之問題•一些傳統CVD設備中,例如平 行板電容耦式RF傳統CVD設備具有一具整體RF源之處 理室,其於該處產生電漿•因此,第一清洗程序可以在不 打開於此CVD設備中之處理室之情形下被執行。然而, 產生於處理室中之電漿可能不足以清除所有區域中之殘 留物*除非清除操作之時間增加,以補償低蝕刻速率》然 而,這負面地影響基板之產能及整個效率*然而,RF電 漿 < 接用同造成CVD掇傕之金屬部件之離子轟擊,造 成對氣體分配歧管及對内室壁之實體損壞並可能導致金 屬污染問題。 其他具有一分離處理室連接至一大«積並些許易碎 之遠端微波電漿系統之傳统CVD設備同時也導致不同效 率問題。於此CVD設債中,整個遠端電漿源係位於並固 設於處理室之蓋上並延伸邊緣至室之基座上,該源包含一 第6頁 本纸張尺度逋用中國國家揉率(CNS ) A4规格(210><2们公ft ) (請先明讀背面之注意"項再填寫本I ) 451286 A7 B7 *}濟部中央梯车爲*;工消费^.作社印氧 五、發明说明() 電漿電極管,一具電源之磁控管,阻隔器,紫外線(UV) 燈’大體積波導及調頻組件。因為具微波電漿之高崩溃效 率(於約2微米/分之大小)以一電容耦式RF電漿獲得,這 些遠端微波電漿系統提供一電漿,其可以有效並適當地清 除殘留物而不必轟擊。此遠端微波電漿系統典型地利用昂 贵,高瓦連續波(CW)電源供給,使得電源磁控管提供約 2.5至6千瓦(kW)之微波功率。然而,以達些CVD設備執 行第二清除程序可能更費時,因為大體積易碎之遠端微波 電漿系統必須先打開處理室以人工清洗,然後,將其小心 由室之上方搬下,雖然,是必要的,但對基板之製造卻造 成嚴重之中特別是,整個遠端電漿源鈒件需要被固定 於處理室之上方並接近該室之基座。然後,該组件需要被 小心地由該處理室中取出,而且於清洗時,沒有損壞整個 組件之任一部份。經常是一困難及龐大處理外,移除大雔 積遠端雄更増加了所需以執行保護維護清洗之總時間,及 損壞遠端電漿源之危險,這修復係相當昂贵。再者去 大《積遠端源涉及密集波導系統,並替換波導系統,這需 要一费時之品質控制處理,以檢查微波洩漏。 除了上述效率問題之外,CVD設備及傳統遠端微波電 漿系統之使用同時引入包含增加維修成本之其他問題•明 白地說,這些組合以需要液冷之電極管之傳統微波電漿系 統產生相當贪體空間於電極管中(例如,一具兩叶直徑電 極管之兩吋長部份)’因此,需要高功率密度,高成本, 直流(DC)微波電源供應,以獲得一高微波耦合效率。此高 第7頁 (锖先《讀背面之注意Ϋ項再填寫本頁) 本紙張尺度遑用中國國家標率(CNS ) A4说格(210X297公 86 86 鯉濟部中央揉率為貝工消费合作社印«. A7 _B7_____ 五、發明説明() 功率密度電源之操作因而導致增加使用費用β另外’使用 此高源供給之磁控管所形成於此小空間中之電漿具有一 高電漿密度及所需結構上之冷卻需求經常必須使用一水 冷或其他液冷•典型地,液冷系統較贵並高維護成本’例 如,提供冷卻液。同時,液冷系统經常受到洩漏之問題* 此戌漏可以導致設備之侵蝕,而造成於處理基板之品質劣 化。再者,由於侵蝕損壞之故,可能需要經常清洗或替換 於電漿源中之部件。若於嚴重侵蝕時,整個遠端電漿源設 備或其他靠近逮端電漿源之設備可能也需要替換。此清洗 及/或替換程序更中斷基板之製作•達些鏔型之延遲對於製 造者有負面之經濟衝擊•同時,液冷系統之處理室維修需 要移開電漿源,需一费時程序,這增加了總時間,製造被 中斷· 由上可知,吾人想要具有一模组化方便大小遠端微波 電漿源组件’其充許CVD設備可經濟並有效率地被清洗, 並可容易處孽並移動,以降維護清洗所需ΙΟΙ。 吾人想要提供一相當便宜,但高品質之遠端微波電漿源, 其可以移入或移出現行CVD設備之修整,以升級CVD設 備之效能’以改良清洗能力同時降低成本。 發明目的及板诚: 本發明提供一依據一特定實施例之用以一升級CVD 系統之裝置與方法,該系統提供一微波產生電漿,其能夠 有效地宣。蚀刻或某is上,可藉由使用 (請先《讀背面之注$項再填寫本頁) 装· 訂 _ 第βτ
451 A7 B7 五、發明説明(> 本發明之升級CVD系統加以完成•於一特定實施例中, 本發明提供一容易除去,方便處理及相當便宜之微波電漿 玆,成為一修整或用以可移入或移出現行CVD設備•於 一較佳實施例中’遠端微波電漿源有效地提供一電漿,而 不需電極管之液冷。於另一實施例中,本發明提供一改良 CVD設備,或現行CVD設備之修整,其可產生一電漿, 其可以於需要時,有效地清洗處理室。 本發明之這些及其他實施例及優點舆特性係配合上 附_作更詳細之說明。 屬式fii單說明: 第1(a)及1 (b)圈為依據本發明之化學氣相沉積裝置之垂直 剖面圃; 第1(c)及1(d)係描述於第1(a)明之CVD室之部件之分解 立tt圈; 第l(e)_為系統監视器及CVD系統1〇之簡化圖,其中該 系統包含一或多數室: Λ濟部中喪#率局貝工消费合作社印家 H ΙΜ -Γ· .i LI I I t I ./% (請先w讀背面之注意事項再填寫本頁) 第1(f)圈示出依據本發明之系統控制軟體,電腦程式7〇之 基礎控制結構之例示方塊圈; 第2®為依據本發明方法所製造之半導髏裝置之簡化剖面 闽; 第3(a)圖為依據本發明之一特定實施例之遠端微波電漿源 模組300之上視圈; 第3(b)圖為依據該特定實施例之配合例示處理室4q〇與模
W9TT 本紙張尺度適用中國國家揉準(CNS ) A4現格(210X297公釐) 451286 輕濟部中央橾率Λ員工消费合作社印策 A7 _____B7_五、發明说明() 组300上視圏; 第3(c)圈為包含兩放電管3 20a及3 20b於諧振腔3 20内模 组3 00之另一實施例之上視圖; 第3(d)圈為第3(a)或3(c)圈之模组300之相關於處理室 400之蓋部405之側視圈; 第3(e)及3(f)圈分別為依據本發明之另一特定實施例之遠 端微波電漿源模组420相對於配合使用室400之上 及側視圈; 第3(g)圖為依據另一特定實施例之相關於放電管320之末 端385之第3(f)li之出口歧管380之剖面圈; 第3(h)及3(i)圈為依據另一特定實施例之相對於第3(g)圈 之B-B’線之出口歧管3 80之前及後視圈; 第4(a)及4(b)圈為本發明之遠端微波電聚源模组500之另 一實施例之簡化上及側梘圖; 第5(a)-5(c)國為一固表,示出雙磁控管產生相流脈衝 (60Hz)電場(2.45 GHz)之時間對功率之函數,以產生 120Hz之定脈衝;及 第6(a)及6(b)圖簡化圖表,例示出本發明之另一特定實施 例,其提供新升級CVD設備或修改現行CVD設備 600 - «號對照說明: 10 CVD系統 11 歧管 12 托架 13a 氣體分配面板 第10頁 本紙浪尺度逋用中國S家標準(CNS > A4現格(210X297公嫠> •451286 A7 B7 五、發明说明() 鐘濟部中央揉率局貝工消费合作社印製 13b 多孔 14 處理位置 15 真空室 16 槽狀孔 17 排氣充氣 19 上介電質襯墊 20 圓型蓋部 21 延伸部份 23 排氣通道 24 關閉閥 25 排氣出口 26 插入/除去開口 32 馬達 34 系統控制器 36 襯墊 37 處理機 38 記憶體 42 多孔擋板 44 RF電源供應 50a 監視器 50b 光筆 70 電雎程式 73 處理選擇副程式 75 製程序向副程式 77 室管理副程式 80 基板定位副程式 83 處理氣體控制副程式 85 壓力控制副程式 87 加熱器控制副程式 90 電靨控制副程式 200 積ft電路 203 NMOS電晶體 206 PMOS電晶體 212 源極區 215 汲極區 218 閘極區 220 場氧化層區 221 前金屬介電質層 226 通孔 227-229 内金屬介電層 230 鈍化層 240 金屬層 242 金屬層 244 金屬層 246 金屬層 300 遠端微波電漿源模組 305 磁控管 310 波導系统
第11T <讀先M讀背面之注意事項再本頁)
本纸張尺度逋用中國8家揉準(€阳)八4洗格(2丨0><297公釐> ίΓ 4512 8 6 五、發明説明() 炫濟部中*梯率扃負工消费合作社印策 Α7 Β7 315 共振腔 320 電漿故電管 325 空氣路徑 330 波導部 335 調整短管 340 短管天線 345 窗口 350 第一安裝组件 355 第二安裝组件 ' 360 外殼 365 末端 370 密封组件 375 氣鱧饋送管 380 出口歧管 385 末端 400 室 405 蓋部 410 區域 415 入口 420 遠端模组 423 環 425 安裝板 430 最佳元件' 433 斜端 435 固定器 436 微波屏蔽密封 437 密封组件 438 RF阻隔器 450 突出部 452 凸緣 454 鑽孔 456 通孔 458 孔 5 00 遠端電漿源模组 505 磁控管 515 共振腔 520 Τ型放電管 545 窗口 555 波導系統 560 垂直分支 565 垂直柄 600 CVD設備 603 室 605 磁控管源 610 室主髏 613 壁 615 上蓋 617 基座 (請先W讀背面之注意事項再Ϊ本頁) 裝 訂 第12頁 本纸張尺度逋用中困Η家揉半(CNS ) A4规格(2Ϊ0Χ297公釐) 451286 M濟部中央揉準Λ胄4洧费合作社印隶 A7 B7 五、發明说明() 619 歧管 620 抗熱托架 625 支持座 630 提升機構 635 介電質窗口 640 波導 發明詳細說明: ' I·例示性CVD系統 本發明之一些特殊實施例烈以使用配合或修改各種 化學氣相沉積(CVD)處理機器之上,本發明之合適CVD設 備可以使用配合或修改示於第1(a)及1(b)圈所示,其係為 一 CVD系統1〇之剖面圈,該系统具有一真空或處理 室15’其包含一室壁15a及一室蓋组件15b。室壁14a及 室蓋组件15b係被示於第i(a)及〖(b)之分解立體闽中β 反應器10包含一氣體分配歧管U,用以分配處理氣 想至一基板(未示出),其放在被對中於處理室中之加熱托 架12之上•於處理時,基板(例如一半導||晶圓)係被放在 托架12之平(略凹)面12a之上•托架12可以被控制地移 動於一低加載/下載位置(示於第i(a)圈)及一上處理位置 (由第1(a)圈中之虚線14所示)(示於第1(;b)圈)之間,該位 置係相當接近歧管11。一中央板(未示出)包含感應器,用 以提供晶圓位置之資訊。 沉精及載氣係經由傳統平板圓型氣體分配面板13&之 多孔13b(圖1(d))而引入室15之中·更明白地說,沉積處 理氣髏經由入口歧管11’傳統多孔擋板42,及於氣體分 配面板13a中之孔l3b而流入室(由第ib圖中之箭頭40 __第 131T_ 本紙張尺度遥用中«Β家揉率(CNS > A4规格(210X297公釐) ^裝-- ,* (请先《讀背*之注意事項再本霣) 訂 -線- 4512 8 6 輕濟部中夬樣率馬貝工演费合作杜中氧 Α7 Β7 五、發明说明() 所示)。 在到達歧管 < 前,沉積及載氣被由氣體源 供給管路8(第1(b)圈)而輪入氣髗混合區塊或系統9之 中,其中,氣體被蚝合並然後被送至歧管η·大致而言, 用於每一處理氣《之供給管路包含⑴幾個安全關閉閥(未 示出),其係用以自動或手動地關閉處理氣體流入室中, 及(u)質流控制器(同時未示出),其量測經由供給管路之氣 想波量。當有毒氣雜被用於處理中時,幾個安全關閉閥被 以傳統方式定位於每一氣體供給管路上。 執行於反應器10中之沉積處理可以熹栽處琢Α 一》 漿加強處理·於一電漿加強處理中,一 RF電源供應44供 給電源於氣逋分配面板13a輿·托架12之間,以激勵處理 氟體混合物,形成電漿於面板13a及托架12間之圓柱區 域之内。(該區域被為反應區電漿之組成反應以沉積一 想要之薄膜於為托架12所支禕 <半導髏晶圓之表面上· RF電源供應44可以是一混頻rf電源供應,其典型地以 13.56MHz之高RF頻率(RF1)及一 360kHz之低RF頻率, 以加強沉積在真空室15中之反應物之分解》當然,RF電 源供應44可以供給單一或混RF電源(或其他想要之變化) 給歧管11,以加強$丨入室15中之反應物之分解。於熱處 理中,RF電源供應44未被採用,處理氣體混合物熱反應 以沉積想要之薄膜至被支撐在托架12上之半導體晶圓之 表面,托架12被電阻性地加熱,以提供反應所需之熱能 量。 _ ___第 14ΊΓ_ 本#Λ張尺度逋用中团國家樑率(CNS ) A4规格(21〇><297公釐) —I I I I I I I I ^ I 訂— I I ^線 . < . * .... !' (請先Mtl背面之注意Ϋ項本頁) ί' A7 B7 Λ濟部中夹揉率局貝工消费含作社印装 五、發明说明() 於一電漿加強沉積處理中,電漿加熱整個反應器10, 包含包含排氣通道23及關閉閥24之室主體15a之壁面· 於熱沉積處理時’加熱托架12造成反應器1〇受熱。當電 漿未被通電或於熱沉積處理時,一熱液係循環於反應器1〇 之壁面15a,以保持室於一璲升之溫度,用以加熱室壁i5a 之液體包含典型液種類’即,水為主之乙二酵或油為主之 熱傳送液*該加熱有利地降低或減少不想要反應物之冷凝 並改良了處理氣體及揮發產物與污染物之清除,否則,它 們將會冷凝於冷真空通道之壁面上,並於沒有氣醴流動 時,回到處理室。 未被沉積於一層上之氣體混合物之剩餘物包含反應 產物者係被真空泵(未示出)所由室中抽出。 tt經由一面繞反應區域之環型横狀孔16排出,進入環蜜 排氣充氣區」7。環型槽及充氣區17係由於室圓柱側壁 15a(包含在壁上之上介電質襯墊19)及圓柱室蓋20之底部 間之間隙所定義。該360度環型對稱及均勻槽孔16及充 氣室17對於完成一均句流量之處理氣體於晶圓上係重要 的’以沉積一均勻膜於晶圓之上· 流動於排氣充氣區17之橫向延伸部份21下之氣體通 過一觀察埠(未示出),經由一向下延伸氣艙通道23,通過 一真空關閉閥24(其主體與下室壁15a —體成型),並進入 排氣出口 25’該出口經由一前管(未示出)連接至外真空系 (未示出)。 電阻加熱托架12之晶圓支撐盤係使用一内藏單環加 ___第 151Γ____ 本纸張尺度逍用中國國家標率(CNS ) A4規格(2丨0 X 297公釐) {請先s讀背面之注$項再^pbr本頁) ί»1 451286 钂濟部中夹橾率Ϊ工消费含作社印氧 Α7 Β7__五、發明说明() 熱元件加以加熱,該元件以兩並聨同心環之形式作兩圓 圈》加熱元件之外部份進行至支撐盤之圓周,同時一灼部 份進行於一具有較小半徑之同心圓之路徑上。至加熱元件 之拉線通過托架12之桿部•托架12可以由包含鋁,陶瓷 或其组合之材料作成β ' 典型地,任何或其他所有之室襯墊,氯體入口歧管面 板,及各種其他反應器硬嫌係由例如鋁,陽極處理之鋁或 其他陶瓷之材料所作成。此CVD設備之例子描球於由 Zhao等人所領證名稱為“ CVD處理室“之美固專利第 5,558,717_5M^,於此係併入作為參考。 一皋起機構及馬達32(第1(a)圈)升起並降低加熱托架 组件12,及其晶圓提重銷12b係被機械手(未示出)所傳送 晶圓經由在室10側中之插入/除去開口 26而進出室主髗》 馬達32升起並降低托架12於一處理位置14及一低晶圓 加載位置。連接至供給管路8’氣體傳送系,節流閥,RF 電源供應44及室輿基板加熱系統之馬達,閥或流量控制 器均被一在控制管路36上之系統控制器34(第1(b)圈)所 控制,管路只部份被示出》控制器34取決於來自光感應 器之回授而決定可動機械组件,例如節流閥及托架之位 置,諸组件係被由控制器34所控制之適當馬達所移動。 於較佳實施例中,系統控制器包含一硬碟機(記憶體 3 8)’ 一软碟機及一處理機37»處理機包含一單板電腦 (SBC),一類比及數位輪入/輸出板,界面板及步進馬達控 制器板。CVD系統10之各種部件係配合Versa模组歐洲 ______第 167Γ_ 本紙張尺度適用中两_家樣準(〇^)八4规格(2丨0><297公釐) (請先«讀背*之注意事項^>^本頁) A7 B7 五、發明説明() (VME)標準,其定義板,卡,及連接器大小及種類。該VME 標準同時定義匯流排結構,成為具16位元資料匯流排及 一 24位元位址医流排· 系統控制器34控制所有CVD機器之活動•該系統控 制器執行系統控制軟體,其係儲存於電腦可讀媒體,例如 記憶體38中之電腦程式》較佳地,記憶鳢38是一硬碟機, 但記憶體38也可以是其他種類記憶體。電雎程式包含指 令集’其命令時間,氣體混合,室壓力,室溫度,RF功 率位準,托架位置及特定處理之其他參數。其他儲存於其 他例如軟碟或其他適當機器中之記憶體裝置中之t腦程 式可以用以操作控制器34 · «濟部中夬揉準局貝工消费含作杜印簟 於使用者及控制器34間之界面係經由一(示於第l(e) 圈)之除極射線管(CRT〉監視器50a及光筆50b,該國係於 基板處理系中之系統監視器及CVD系統之簡化圈,該系 统包含一或多數室*於較佳實施例中,以在筆前端之光感 應器檢測由CRT顧示所發射之光。兩監視器50被使用, 一安裝在無塵室壁,用於操作者及另一在該壁面之後,用 於服務技術貝。該兩監視器50a同時顯示相同資訊,但只 有一光筆50b可使用。光筆50b以在筆前端之光感應器檢 測由CRT顯示所發射之光。為選擇一特定螢幕或功能,操 作者接觸«示螢幕之指定區域並按下在筆65b上之按鈕。 被接觸至之區域改變其發亮色彩,或一新名單或螢幕被颟 示,而確認於光筆及類示螢幕間之通訊》其他裝置,例如 鍵盤,滑鼠或其他指定或通訊裝置可以用以替代或除了光 第17頁 本紙張犬度逋用中«两家揉车(CNS > A4洗格< 210X297公釐) 451286 鐘濟部中央橾率為貝工消费合作社印«. A7 B7 五、發明説明() 筆50b外再加上使用,以允許使用者與控制器34职絡。 該薄膜之i究積處理可以使用一電腦程式產品加以實 行,該產品可以被控制器34所執行•該電雎程式鳴可以 被以任何傳統重應可讀取程式語言,例如68〇0〇組合声 言’ C,C + +,或Pascal ’福傳•或其他語言加以撰窝。合適之 程式碼係使用一傳統文字編輯器加以輸入一單一楼案或 多檔案中,並被儲存在例如電腦記憶體系統之例如電腦之 記憶體系統之電腦可使用媒體中若所輸入碼文字係高播 語言’則瑪被編譯,所得編譯瑪然後被連結至預編輯視窗 库常式之目的碼。為了執行所連結之编譯目的碼,系枝使 用者呼唤目的碼,使得電猫系統載入於記憶β中之场。然 後’ CPU讀取並執行該碼,以執行指定於程式中之工作β 第1(f)圈示出依據本發明之電雎程式70之系统控制 軟醴之基礎控制結構之例示方塊圈β —使用者藉由使用光 筆界面而反應於蘋示在CRT監視器上之選單及螢幕,而輸 入一處理组數目及處理室數至一處理選擇器副程式73。該 處理组係需用以執行特定處理之處理參數之預定组,並被 預定設定數所指示》該處理選擇器副程式73識別(i)想要 之處理室,及(ii)需用以操作執行想要處理之處理室之想 要處理參數组。用以執行一特定處理之處理參數關係於處 理之條件,例如處理氣體组成及流率,溫度,壓力,電漿 條件,例如,RF偏壓功率位準及低頻RF頻率,冷卻氣《 壓力及壁室溫度•這些參數被以名單方式提供給使用者並 利用光華/CRT監視界面輸入》 第 18ΊΓ 本紙張尺度遥用中國«家標率(CNS ) Α4规格(210X297公釐) ----^---_----------IT------ (讀先《讀背面之注$項寫本頁) ί ;广 451 2 8 6 Α7 Β7 Μ濟部中*輾率f工供费合作社印氧 五、發明説明() 用以览視製程之信號係由系統控制器之類比及數位 輸入板所提供,及,用以控制製程之信號係輸出在CVD 系統10之類比及數位輸出板上。 一製程序向剁程式75包含用以接受識別處理室及來 自處理選擇副程式73之處k參數纽,以及,用以控制各 處理室操作之程式碼•多使用者可以輸入處理組號及處理 室號’或一使用者可以輸入多處理组號及處理室號,使得 房向副程式75操作以排序選定之處理於想要之順序。較 佳地,序向副程式75包含一程式瑪,以執行以下之步驟.: (i)監視處理室之操作,以決定是否哪些室被使用,(H)決 定被使用處理室中正被執杆钶A嫌,衣(iii)基於可用之處 理室及予以執行之處理類型來執行想要之處理。傳統監視 處理室之方法可以加以使用’例如,輪流監視•當排定哪 一處理被執行時,序向副程式75可以被設計以考量予以 使用之處理室之狀沉舆選定處理之想要處理狀況,或者每 一特定使用者輸入要求之“年齡”,或者是一系統規割者 想要包含之其他相關因素相比較,以決定排序項序· 在存向到程式75決定哪一處理室及處理组组合被下 —個執行時,序向副程式75藉由傳送特定處理组參數至 一室管理副程式77a-c’而使得處理組執行,該副程式77a-c 依據由序向副程式75所決定之處理組來控制於處理室1〇 中之多處理工作•例如,室管理副程式77a包含程式碼, 用以控制於處理室15中之濺鎪及CVD處理操作。室管理 副程式77同時控制各種室元件副程式之執行,該等副程 第19T 本纸張尺度適Λ中β國家揉準(CNS > A4规格(210X297公釐) (讀先《讀背面之注$項一^¾本頁) -裝. 訂 線 經
央 樣 率 X 消 费 合 作 杜 Α7 Β7 五、發明说明() 式控制需要以執行選定處理組之室元件之控制操作β室元 件副程式之例子是基板定位副程式80’處理氣體控制副程 式83’塵·力控制副程式85’加熱器控制副程式87及電漿 控制副程式90·熟習於本技藝者將知道可以包含其他之室 控制副程式,這是取決於想‘要執行於處理室15中之處理 而定。於操作中,室管理副程式77a依據予以執行之特定 處理,而選擇性地排序或呼叫處理元件射程式。由室管理 副程式77a所執行之排序係以麵似於由序向副程式75所 使用之方式進行,以排序哪一處理室15及處理组予以下 一锢被執行•典型地,室管理副程式77a包含步场有:玄 视各種室元件,基於予以執行之處理組之處理參數,而決 定哪一元件需要被操作’以及’反應於該監視及決定步驊 而使得室元件副程式執行。 特定室元件副程式之操作將藉由參考第1(f)圖加以說 明。基板定位副程式80包含用以控制室元件之程式媽, 其被使用加載一基板至托架12並移動基板至室15中之想 要高度,以控制於基板及氣體分配歧管11間之間味β當 一基板被加載至處理室15時,托架12被降下以收納基 板,随後’托架12被上升至處理室中之想要高度,以保 持基板於或於CVD處理時之離開氣體分配歧管之至—處 理位置間之第一距離或間距。於操作中,基板定位副程式 80可以反應於由室管理器副程式77a所傳送之支搏高度相 闞之處理组參數而控制托架12之動作。 處理氣體控制副程式83具有程式碼,用以控制處理
»2〇T 本纸張尺度埴用中國困家搞準(CNS Μ4规格(210X297公釐)
I 451286 Α7 Β7 五、發明说明() Μ濟部中央橾率ΛΛ工消费合作杜印*. 氣雄成份及洗率。副程式83控制安全閥之開/ «位置,及 同時升/降質流控制器,以獲得想要之氣體流速。處理氣髏 控制副程式83係被室管理副程式77a所換起,如同所有 宣元件副程式_般,副程式83接收相酺於想要氣«流速 之來自室管理副程式之處理‘參數.典型地,處理氣髏控制 副程式83藉由打開氣雅供給管路加以操作,及重覆地⑴ 讀取所需流控制比书讀管理到程 式77a之想要流速,及(Hi)於需要時,調整氣髗供給管路 . —. 之流速。再者,處理氣體控制副程式83包含步嗶:監視 不安全流速之氣體流速,及當一不安全狀沉被檢出時,作 動安全閥。 於一些處理中,例如氦或氬之惰性氣髏係流入室15 中’以在處理氣髏被引入之前穩定室中之麈力•對於達些 處理,處理氣體控制副程式83被規劃以包含步驟有:令 情性氣體流入室15中一段時間,以穩定化室中之壓力, 然後執行上述之步揭。另外,當一處理氣禮被由液前堪 物,例如,原矽酸四乙酯(TE0S)汽化時,處理氣體控制到 程式83係被窝入包含步驟:發泡傳送氣鳢,例如氦,經 由於發泡室组件中之液體前驅物或引入載氣,例如氦或氮 至一液體注射系統β當發泡室被用於此類處理時,處理氣 體控制副程式83調整傳送氣體之流動,發泡室中之墨力 及發泡室溫度’以獲得想要之處理氣體流速。如同以上所 述’想要處理氣體流速係被傳送至處理氣體控制副程式作 為處理參數•再者,處理氣體控制副程式83包含步梅: 本纸張尺度遍用中两國家榡率{ CNS ) Α4规格(210X297公釐) <請先閏讀背面之注$項苒本頁 装· —訂· 4512 8 6 Μ濟部中*至消费合作社印家 A7 B7____五、發明説明() 獲得用於想要處理氣髖流速,所需俾送氣體流速,發泡室 壓力’及發泡室溫度,藉由取得包含一已知處理氣«流速 之储存表格。一旦所需值被取得,傳送氣髗流速,發泡室 廛力及發泡室溫度被監視,並比較於所需值及作調整* 壓力控制副程式85包+用以控制室15中壓力之程式 碼,其係藉由調節於室排出系統中之節流閥開口之大小加 以進行•節流閩之開口大小係被設定以控制室壓力至有關 於總處理氣流流,處理室大小,及排氣系統之泵設定點壓 力之各想要之位準。當壓力控制副程式85被呼唤時,目 標屡力位準係被接收成為一來自管理副程式77a之參數· 蜃力控制副程式85操作以量測於室15中之壓力,籍由讀 取連接至室中之一或多數傳統墨力計加以進行,並比較量 測值舆目標壓力,獲得相對於目標签力之來自锖存壓力表 之比例積分微分(PID)值,並依據獲得自壓力表之ρπ)值, 來調整節流閥•另一方面,壓力控制副程式85可以被窝 入開啟或關閉節流閥至一特定開口大小,以調節室15而 獲得想要之壓力》 加熱器控制副程式87包含用以控制電流至一加熱單 元之程式碼,該單元係用以加熱基板20·加熱器控制副程 式87同時也被室管理副程式77a所唤起,並接收一目標, 或設定點溫度參數•加熱器控制副程式87藉由量測位於 托架12上之電熱耦之電壓輪出,比較量得溫度與設定點 溫度,及增加或減少施加至加熱單元之電流而黃得温度β 溫度係藉由查看於量得電壓相對於锻存轉換表中之溫度 第22Τ 本纸張尺度適用中國國家標準(CNS > Α4规格(210X297公釐) " " 451286 Α7 Β7 經濟部中央橾丰局員工消费合作杜印It 五、發明説明() 而量得或藉由使用四陪多項式計算溫度而取得。當一内藏 環路被使用以加熱托架12時,加熱控制副程式87逐漸地 控制施加至該環路之電流之上升/下降β另外,一内建故降 安全模式可以引入以檢測處理安全之儀器,並於處理室15 未逋當設定時關閉加熱單元'之操作. 電漿控制副程式90包含用以設定施加至室15中處理 電極之低及高頻RF產功率位準,以及,用以設定所使用 之低RF頻率•電漿控制副程式90同時包含程式,用以導 通及設定/胡整施加至磁控管之功率位準。類似於先前所述 之室元件«程式’ t漿控制副程式90係被室管理副程式 77a所呼嗅。 上述反應器說明係主要用來作為例示目的,其他設備 例如t子環繞共振式(ECR)電漿CVD裝置,電感搞式RF 高密度電漿CVD裝置等可以使用以配合本發明以提供升 級之設備》另外,上述系統之各種變化,例如於托架設計, 加熱器設計,RF電源頻率,RF功率連接位置及其他各變 化也有可能。例如,晶囬可以被支撐並被石英燈所加熱· 本發明並不限制於配合使用特定裝置或為其改型β II.例示結構 第2圖例示一依據本發明之積嫌電路2〇〇之簡化剖面 圖。如所示’積體電路2〇〇包含nm〇S及PMOS電晶禮 203及206’其被一由本地矽氧化(1^0(:08)或其他技街所形 成之場氧化區220所電氣分隔或絕緣。另一方面,當電晶 體203及206均為NMOS或均為PMOS時,也可以藉由一 第 23ΤΤ ----------:裝— ··- (請先闭讀背面之注#^項再¥本頁) 本紙張尺度埴用中國國家橾率(CNS ) Α4规格(210X297公釐) 451286 鐘濟部中夬橾率為員工消费合作社Λ-製 A7 _B7_五、發明説明() 淺溝渠絕緣(未示出)而彼此分離或電氣絕緣。每一電晶鳢 203及206包含一源極區212,一汲極區215及一閛極區 218。 _前金Λ介電質(PMD)層221將電晶體203及206分 離開金屬層240,以接點2i4所作之連接於金屬層240及 諸電晶體之間》金屬層240係包含於積餿電路200中之四 金屬層240,242,244及246之一。每一金屬層240, 242, 244及246係以個別内金屬介電(IMD)層227,228及229 與相鄰金屬層分開。相鄰金屬層係以通孔226連接至選定 之開口 •平坦鈍化層230係沉積在金屬層246之上· 可以了解簡化積體電路200係只為例示目的·熟習於 本技藝者將可以實行本案之方法來製造積體電路,如微處 理機,客戶指定積體電路(ASIC),記憶《裝置等。再者, 本發明可以應用至PMOS,NMOS,CMOS,雙極性或BiCMOS 元件。 ΠΙ.特定實施例:使用微波磁控管组件電漿源 依據本發明之特定實施例,一升級CVD設備可以藉 由附加一遠端微波電漿源至現行CVD設備或修改現行 CVD裝置加入一微波電漿源。雖然,以下之說明針對特定 實施例,但在本發明之範固内之其他實施例將會是明類 ^1. 的。同時,值得注意例示於第3至6圖中之結構係不是成 比例。 依據本發明之一特定實施例,第3(a)圈示出可附加至 一室(如示於第3(b)圈者)之上蓋之遠端微波電漿源模組 第24貫 (請先閱讀背面之注f項^^寫本頁) 本纸張尺度適用中國國家標率(CNS > A4规格U10X297公釐) 451286 A7 B7 M濟部中夫揉率ΑΛ工消费合作社印装 五、發明説明() 3 00之上視闽。於此貧施例中,遠端微波電漿源模组3〇〇 之整個组件包含一磁控管305連接至一波導系統31〇,— 共振腔315經由一窗口 345連接至波導系統310,及一電 槃放電或電極管320(虚線)安置於共振腔315之t .電漿 放電管320包含一連接至一氣體入口 375之輪入端365及 一輸出端385。連接至氣體源之氣體嬪送管3 75引入反應 氣雄至放電管320中,其中,氣體被來自磁控管經由一窗 口 345引入腔315之微波所激能,以形成電漿於放電管320 中。由所形成之電漿之原子團然後由輸出端385離開放電 管320以被用於室中。 明白地說,依據本發明之波導系統310包含一波導部 330及多數調諧短管335於沿著波導部33〇長度(lw)之多點 上*磁控管305之短管天線340連接微波至波導部330。 於特遂實施例中,波導部3 30可以是一矩型剖面波導之一 部份’但具有其他剖面之波導也可以用於其他實施例中。 部份之波導部330之一端係接近磁控管3 05而另一端係接 近共振腔315。較佳地,波導部330係接近並該另一端與 共振腔315之部份一體成型。波導部330除了窗口 345外 於該另一端係靠近共振腔315。波導系統310可以選擇地 包含一最隹特性,例如方向耦合器或一相位檢測器,以監 視反射功率及/或具有一負載之阻隔器,以吸收任何反射微 波2功率,否則,其將損壞磁控管》如上所述’來自磁控 管305之微波係經由波導部330傳送經由窗口 345進入腔 315中,並激能於放電管320中之反應氣體。 第 25T _ -------^---^裝------ΤΓ------赚 (婧先《该背面之注意Ϋ項再t本頁) ί 本紙張尺度適用中国國家橾準(CNS ) Α4规格(210X297公兼} 451 2 86 <濟部中*樣率為貝工消费合作杜印氧 A7 ____ B7_五、發明説明() 於模组3 00,放電管3 20係安置並包含於共振腔315 之中’使得放電管320之長度實質地相當於共振腔315之 宽度(WR)。放電管320係實質地安置穿過腔315,並被縱 向定位使得放電管3 20重合最大輻射,例如在腔315中之 微波之電場(E場)分量。依無特定實施例,放電管no係 具有圓剖面之管。放電管320之末端係為一第一安裝組件 350及一第二安裝组件355連接至共振腔315。第一安裝 组件350包含一外殼360,其包含並支持放電管32〇之輸 入端365,放電管320係被螺絲(或其他固定物或環氧樹脂 可以被使用)經由於共振腔315或组件350中之壁中之相 對孔而固定至共振腔315上。末端365具有一密封组件 370’較佳地係一 〇型環’其外程係防止真空由放電管320 中漏出•末端365是一被真空密封之開口端,該末端係氣 密的,除了連接至外殼360之氣鳢饋送管375之外•第二 安裝组件355被連接至一輸出歧管380,其係包含並支持 放電管320之輸出端3 85,該端被以螺絲(也可使用其他固 定物,或環氧樹脂可被使用)經由於共振腔315及组件355 中之另一相對壁中之相Μ孔而固定至共振腔315中。放電 管320之輸出端3 85係開口端並通向輸出歧管380之内 部’歧管380可以連接至一處理室中之氣體引入管中。另 一方面,末端385同時也可以具有一密封组件,其外徑係 防止於管320及氣體引入管間之洩漏'•可選擇地,第二安 裝组件355相較於第一安裝組件3 50係於長度上作延伸, 以提供適當之距離於放電管3 20之出口與一可能被使用於 _ _第 261_ 本纸張尺友遑用十國國家搮準(€阳>人4規格(210父297公釐> ----------餐------ir------^ (請先《讀背面之注$項本頁) .ί、 h 4β1 2 8 6 Μ濟部中央標準局•:工消费合作社印装 A7 B7 五、發明説明() 末端385上之密封組件之間e因此,密封组件並不會由於 來自排出電漿種類於放電管320出口之上升溫度而熔化》 如於第3(b)圈中所示,模组3 00同時包含一小型容易 處理以附至處理室中之模组中之氣冷路徨325»氣冷路徑 3 25允許空氣由一予以被流鮝於放電管間32〇之風扇(未示 出)導引。由於微電波電漿產生所產生於放電管32〇中之 熱係因此被氣冷方式消散而不是液冷。 遠端微波電漿源模组300使用磁控管305作為用於由 波導系統310導向能量至共振腔315之能量源,以形成電 漿於共振腔315中之放電管320中。雖然,不同數量之微 波t源供給可用,但本發明利用不贵脈衝低瓦電源供給以 由磁控管產生約1至1·5千瓦功率,而不是昂揚高瓦數之 連續波(CW)電源供給,以由磁控管典型產生約於2.5至6 千瓦電源。於較佳實施中,磁控管305(例如於某些微波爐 中所使用者)係被一低成本低瓦數脈衝為60 Hz之半波整流 電源(其包含漣波)所供電,以產生具有頻率約2.45 GHz之 微波•此脈衝低瓦數微波產生器可以至少成本低於高功率 CW微波產生器或RF產生器之百分之一。 微波能量係經由波導系統310由磁控管305傳送至包 含放電管3 20之共振腔315·特別地,來自磁控管305之 短管天線340之微波輻射係通到波導系統3 10。依據該特 定實施例,短管天線340大小係為其離開波導部33〇 一端 之最佳等效距離或四分之一波長(於操作微波頻率)。可選 擇地,短管天線340可以被以熟習於本技藝者所知之方式 第 27ΊΪ 本纸張尺度逋用中家揉率(CNS > A4洗格(210X297公釐) (請先Μ讀背面之注項whf寫本頁) •裝- 線 4512 86 A7 B7 艘濟部中央樣率局貝工携费含作社印* 五、發明说明() 放置,以一槽狀天線或其他可α與微波相通之輻射元件由 磁控管305通至波導系統310»波導部330具有一太小簡 單地導引磁控管之微波能量共振腔315,而不是選擇地導 引特定模式•同時,波導部330長度可以足夠長,以》合 近接性並與所使用磁控管源’及共振腔315呈模組性^於特 定實施例中,波導部330其有一約1〇吋之長度(1WG),1.7 叶之寬度(WWg)及約3.4对之高度(hWG)» 依據一特定實施例,波導部330之部份係與共振腔 315 —雄成型並具有一相通至共振腔315内之窗口 345。 傳送經窗口 345之微波之TE丨〇之電場分量係沿著平行於 具有窗口 3 45之共振腔315之壁面平板作變化。於特定實 施例中’窗口 345連接至波導部330及共振腔315。於本 實施例中,窗口 345係具有一長約2吋(lw>及宽約1吋(Ww) 之矩型開口,其係實質位於共振腔315壁之中心。於特定 實施例中,lw可以實相當於或略低於hWG。於其他實施例 中,窗口 345可以以已知方式被加裝以一介電質窗口(或 •其他微波透通材料)或被一短管天線或其他輻射元件所替 換。沿著波導部330之長度之調諧短管335可以用以調諧 來自窗口 345之離開波導部330之微波之模式/相位*雖 然,只有兩調错短管335被示出,但其他實施例可以包含 更多或更少之短管。 經由氣體饋送管3 70供給至放電管3 20之反應氣體可 以被微波共振所激能於腔315之中。微波能量之駐波形成 内共振腔315並實際沿著實質相當於腔315寬(WR)之管之
第28T 本纸張尺度適用中國Η家橾率(CNS > A4规格(2丨OX297公釐) (锖先St讀背面之注意事項再|本頁) -裝· 订 451 2 86 A7 ______B7_ _ 五、發明说明() 整個長度’激能在放電管3 2〇中之反應氣體β共振腔315 及波導系統310可以由金屬’例如銅,鋁,不鏽鋼或其他 導ΐ材料作成•依據特定實施例,共振腔315是一單模腔, 具有一約7吋長度〇r),6吋之Wr,及約5.1吋之高度(hR), 微波之TE1〇2之主模具有約’ 2.45GHz之頻率(假設沿著1r 之軸並垂直放電管320之長度之“傳遞方向”)。腔315 可以是多模腔,例如增加之腔寬度(WR)及放電管32〇長 度* 鱷濟部中*梂率局Λ工消资合作社_装 - (讀先聞讀背面之注意事項I寫本頁) 於一些較佳實施例中,共振腔315之至少一壁可以移 動,以允許藉由調整壁之位置而作諧振調整•如上所述, 使用短管335之調諧可以反應於放電管320中由反應氣艟 之引入及反應氣體之後績離子化所造成之阻抗變化,而加 以執行》上述實施例係利用單模共振•然而,其他實施例 可以使用不同之大小之共振腔315,以利用多模共振或利 用其他約2.45GHz旁之頻率·使用可配合多模共振之共振 腔可以增加於放電管320中之反應氣之離子化並使得微 波予以耦合至放電管較以第3(a)圖之特定實施例之共振腔 315為久。沒有較長之放電管,更多反應氣體將會為沿著 放電管之長度施加之微波所分解,導致增加之離子化。 放電管320較佳可以由介電材料作成’該材料對微波 係相當透通並對例如於陶瓷或蓝寶石形式中之鋁之電漿 中之原子團蝕刻有抵抗性。放電管320之長度應相當於wR 或略長於收納在放電管320末端之Ο塑環。放電管320應 位於共振腔315之内’使得放電管3 20之直徑重疊輻射圖 _第 29耳_______ 本紙張尺度逍用中國國家揉準i CMS ) A4规格(210X297公釐) J 45彳 2 86 Μ濟部中夹鏢率Λ員工消费合作社印装 A7 B7 五、發明説明() 案最大,例如一沿著管320之長度之電場最大·同時,放 電管320之直徑應大於操作微波頻率之四分之一波長,使 得放電管320之直徑重疊整個長度至少一輻射(例如電場) 最大。較佳地’放電管320之直徑及放置係最隹化,使得 電漿可以打在管320中,棱得氣體離子化可以發生於管 320之中心。依據特定實施例,其利用一單模腔315者, 放電管320係由鋁構成,並較佳具有一約1 87吋之外徑, 及約1.65吋之内徑,及約6至8吋之長度,最好是7.8吋· 當然,對於使用多模腔之實施例,放電管320之直徑,適 當放置應較佳地夠大以最大地重疊沿著放電管長度至少 每一模之一輻射(例如電場p 使丐本發明,一重锥可以扣在營370中,高下"V、押 ffl uv燈•這允許更經濟地使用於模組中之室之 真空使得於微波產生中之電聚之原子明經由出口歧管380 離W放電管320並被随後提供至所連接至真空室中。由於 導源於在放電管3 20中之反應氣體之離子化及引入導致在 共振腔315中之阻抗變化,調諧短管335之使用最佳化了 微波能量耦合。於其他實施例中,電場檢測器或棒,例如 多向耦合器或相位檢測器可以安裝,以量測在波導330中 之微波能量並藉由系統控制器34之控制下,使得機械手 馬達自動調諧短管33 5 ,該控制器34係被連接以接收來自 電場檢測器或棒之量測值。 如於第3(a)及3(b)圈中所示,依據該特定實施例,整 個模组300之實艙大小(约12吋寬,12吋長及8吋高)係 _ _第307T____ 本纸張逋用中國國家標率(CNS ) A4规格<210X297公釐) 一 ---------------ir---^----^ (請先H讀背面之注項45-.¾本頁) ί ^512 8 6 Α7 Β7 五、發明説明() 很精巧並能夠裝置至一容易處理之單元中•有利地,遠端 模组300並不需要一 UV燈並具有精巧之波導系统,不像 傳統大體積之微波電漿源。因為整個组件之實ft結構,所 以遠端微波電漿源模组300係可使用低成本低瓦數之電源 供給以用於磁控管而不需要‘昂贵高瓦數CW電源供應,而 不必犧牲高微波耦合效率。更明白地說,於共振腔315中 之微波共振係能沿著於共振腔315中之整個長度,而激能 於放電管320中之反應氣體β於第3(a)两中之實施例中, 於放電管320中之變體分子將具有相當長之殘餘時間(約 0.016秒)。因此,即使使用低瓦脈衝電源供給磁控管以產 生約1至1.5千瓦之微波能量,遠端電漿源模组3 00係能 完成高微波耦合效率。特別地,對於一放電管3 20之已知 鳢積而言,耦合至電漿之微波能量之數量約於80至100 %之間· 嫌濟部中夬#率Λ貝工消费含作社印装 (請先Μ讀背面之注$項4^鳥本頁) 由於高能量濃度所造成之高位準熱量,耦合微波至放 電管之小部份之傳統波導耦合方法舆裝置需要液冷系 統。因為使用本發明之放電管320及共振腔315之能量密 度係遠小於傳統方法之高能量密度,使得較少之熱量分配 至於特定實施例中之共振腔315之放電管320之大區域之 中*由於形成電漿之放電管320之加大區域,熱量散失快 些》經由一空氣路徑325之來自風扇之空氣係足夠散熱分 配在放電管320上之熱量。因為,本發明之熱梯度係淺所 以放電管320具有較長及有用之壽命。因為放電管320不 需經常替換,所以本發明協助降低維護成本。另外,本發 第 3ΠΤ_ 本纸張尺度遠用中«Η家搮芈(CNS ) Α4供雇(210X297公釐) 86 A7 B7 i'發明说明() 明之特定實例避免了由於相闥於液冷系統有關之腐蝕,造 成模組3 00之金屬元件之壽命加長,因此,不必經常替換。 ----------—聋-- ' - * (請先閱讀背面之注意事項|寫本頁) 鋟濟部中央橾率f工消费合作杜4-«. 遠端微波電漿模组300具有一小軌路,以允許以最小 動作’容易附著至處理室或其他方便位置之上,這係如第 3(b)圈中所示’該明係為模鲢3〇〇及處理室4〇〇之上視圈β 示於第3(b)闽中之處理室400是一例示處理室,模组300 可以座落於其中並被附於其上·處理室4〇〇具有一相當平 上表面或蓋部405,其係一寬區域或多角形,如同典型之 多數CVD處理室者·»於特定實施例中,直部405及室400 收墙至小區域410中,該區域410係接近節流閥及氣髗出 口 ·蓋部405同時包含一入口 415(如虚線所示),其係可 由上端或下端引入反應氣體至處理室4〇〇中,以傳送氣體 經過氣體通路(於第1(a)圈及1(d)圖中之臂部8)至氣《混 合區塊並通過氣體分板13» —般而言,沉積氣鱧進入入 口 415之下端(當上端關閉時),傳送氣體至氣髖混合區塊 並經過氣體分配板至室中。於特定實施例中,入口 415之 上端可以直接地連接至模组30Q之出口歧管380,其中, 一分開饋送管(未示出)可以用以經由其上端連接管415至 放電管320之端385*允許原子圈由放電管320傳送至入 口 415(當下端關閉時)。 當模组300被放置蓋405上並被固定至處理室4〇〇 時’反應氣想可以饋入溃送管375中及模组300可以供電 以於所需時清洗處理室400之操作。於供電時,一電漿係 由於放電管320中之反應氣體及來自電漿出口管32〇之原 第 32ΊΓ 本紙张尺度逍用中國國家標準(CNS ) A4规格(210X297公釐) 錄濟部中夹揉率局男工消费合作社印氧 A7 B7_五、發明説明() 子困形成。因此,具有氧化物或氮化物殘留於處理室400 中之下游區域被由模组3 00餚出並進入入口 415之電漿中 之原予困所清洗。入口 415導引臂部S内之氣體通路至氣 體混合區塊9,其係經由氣體分配板13連接至室15。殘 留於氣體混合區塊及氣體排氣出口間之處理室4Q0中之殘 留物及於入口 415及氣禮混合區塊間之殘留物係被來自附 上之遠端微波電漿塬模组300之原子團所清除。一排氣系 統使用真空泵系统,由處理室400排出殘留物及氣腫經由 埠部至真空歧管並排出排氣管。氣體及殘留物經由排氣管 放出之蜃力係由一節流閥及泵浦系統所控制。 本發明之其他實施例可以利用一個以上之放電管,一 個以上之磁控管,或於實體結構上有各種變化,同時保持 或改良模组300之模组性及效率•例示於第3(a)及3(b) Η 中之實施例利用在共振腔315中之放電管320。然而,在 共振腔315中之兩個或多數放電管可以使用於其他實施例 之中》可以知道於特定實施例中之輸入饋送管具有質流控 制器或閥以控制反應氣體流入放電管之流量。另外,多放 電管可以利用分開或共享輸入饋送及/或分離或共享輸出 請送或其组合。 例如,第3(c)圈是另一模組300之實施例之上視圖, 其包含例如兩個之放電管320 a及320b於共振腔320之 中。第3(c)圈示出一實施例,其中,放電管320a及320b 具有一共用輸入饋送3 75a及分離輪出,其可以連接至一 共用輸出饋送(未示出)至一輸入415或分離之輸出婧送 第33貫_ 本纸浪尺度逍用中國國家標率(CNS ) A4规格(2丨0X297公i ) ---------餐------tr------勝, -!· (讀先stfc背面之注意事項本頁) ^ 451 2 86 艟濟部中夹標率局員工消费合作杜印It A7 ______B7_____五、發明说明() (未示出)至處理室400之不同入口》使用多數放電管增加 了激能氣«量並允許高氣鳢流率進入放電管之中,以完成 相較於單一放電管之相同離子化位準》 第3(d)国是第3(a) «或3(c)圈之模组300之相關於處 理室400之蓋部405之部份'之側視圈》特別地,第3(d)两 示出共振腔315,放電管320,磁控管305及波導部330 之側視圖*處理室400之入口 415.可以經由一饋送管(未 示出)連接至放電管320之末端385。遠端微波電漿源模组 3 00之模组’精巧本質可以提供,而不管是否一單一故電 管或多數放電管被使用》 依據本發明之另一特定實施例,第3(e)及3(f)圈分別 為遠端微波電漿源模组4 20相關於例示室400之上及側視 圈*更明白地說,第3(f)圈是沿著第3(e)圈中之線A-A, 之模组420之部份剖面側視圈•當然,示於剖面明之第3(f) 圈之部份係經由平行於線Α·Α,側視平面之相闞部份中心 所取·如於第3(e)固中所示,依據本實施例模组420之整 個實體大小(小約12吋寬,約12吋長,及少於8吋高)同 時也是精巧並可以包裝成一容易處理單元,如第3(e)及3(f) 圈所示附著至一處理室上。因為整個组件之實雅架構,遠 端微波電漿源模组420同時也具有類似於上述笫3(a)至 3(b)圖之實施例之侵點。例如,模组420係能使用低成本, 低瓦數,脈衝微波源(提供於約1至1_5千瓦)而替代昂贵 之高功率CW微波薄(提供約2.5至6千瓦微波功率),而 不必犧牲高微波耦合效率。同時,於模组420中之放電管 第 341Γ 本紙張尺度適i中國國家樣率(CNs"):4规格(210X297公釐) 1-1- ·ϋ n n I in n I - - I - - I '*- (请先H讀背面之注$項Ϊ寫本頁) 訂 線 Α7 Β7 鐘濟部中央梯率扃貝工消费合作杜印氧 五、發明説明() 320之氣冷係足夠並廷長放電管320以及於模组420中其 他金屬元件之壽命。如同於第3(e)圏中所示,遠端微波電 漿模组420具有一小軌跡,允許以最小動作容易安裝在處 理室400或其他方便位置之上方。 一般而言,遠端模組4i〇具有一類似於上述遠端模组 之結構。更明白地說,第3(e)圖示出一遠端微波電漿源模 组420之上視圈,其包含連接至波導系統310之磁控管 305’經由窗口 345連接至波導系統310之共振腔315*安 置於共振腔315中之電漿放電管320(虚線)及一氣冷路徑 325 ·波導系統310包含波導部330及於沿著波導部330 長度(lw)之調諧短管33 5。磁控管305之上升金屬環423 耦合微波至波導部330,用以經由於腔315中之窗口 345。 放電管320包含一輸入端365連接至氣醴饋入管375,用 以引入反應氣禮及一輸出端385。來自礎控管305之微波 係經由波導部330及窗口 345傳送至腔315,於其中管320 中之反應氣體係被激能以形成電漿於其中•來自電漿之原 子圈離開管320經由輪出端385,以用於室中· 於示於第3(e)及3(f)围之實施例中,波導部330大致 是一矩形剖面波導之剖面。強化金屬,例如鋼,銘,不镛 鋼或其他導材料所作成之波導部330具有—長度足夠以供 給近接性並輿共振腔315配合使用之磁控管成模组。於遠 端模组420中,波導部330具有一壁作為_安裝板425, 以穩固地安裝磁控管305及共振腔315。波導部330之另 三壁面係被銲至安裝板425,以形成波導部33〇β於本實 第昍肓 ---------^-- - - « {請先Μ讀背面之注意事項t3l'本頁) -訂 本紙張尺度遑用中國國家梯準(CNS ) Α4規格(2丨0Χ297公釐) 觥 % Ϊ 合 作 社 五、發明说明() A7 B7 施例中’波導部330具有一约1〇吋之長度(丨WG),约1.7 吋之寬度(WWG) ’及約3,4吋之高度(hWG) ·如於第3(e)圈 中所示’安裝板425保附於波導部330之整個長度上並超 出波導部330之大小,以完成磁控管3〇5及共振腔315之 穩固安裝於其上。作為安裝’板425之波導部330之一壁面 係經由固定器427附著於磁控管305之上。磁控管305係 安裝於板425上,使得波導部330之一端部份係耦合並經 由板425接近磁控管3 05,其中磁控管3 05之上升金屬環 420提供於磁控管305及波導部330間之所需電氣連接。 當然’安裝板425具有一實質相當於環423直徑孔,於一 特定實施例中,該環具有約1.4吋之直徑。明白地說,可 以由铜,鋁或其他導電材料所作之環423係固定至磁控管 305之網目上。 另外,遠端模组420之波導系統310同時包含一最佳 元件430,例如方向耦合器或相位檢測器以監視反射微波 及具有負載之阻隔器,以吸收反射微波。部份波導部330 係耦合並經由板345接近共振腔315於另一端43 3(角度35 至55度,較佳約45度)。接近共振腔315之端433提供 經由窗口 345由部330耦合至腔315*可選痒性地,斜向 端433可以被一曲面端所替代。當然,波導部330之板425 包含窗口 345,使得來自波導部330之微波通過窗口 345 至共振腔315,共振腔315係經由固定器43 5安裝在板 42 5。於本實施例中,窗口 345具有一約1·4吋之宽(ww), 及約3.4吋之長度(lw),並實質位於共振腔315之壁之中 第 367Γ 本紙張尺度適用中國國家橾率(CNS ) A4规格(210X297公釐) ----------^------tr------^ (*先M讀背Λ之注意事項寫本頁) ,[ LDM濟#^央揉率Λ真工消费含作社印輩 4 2 8 6 A7 ___B7_ 五、發明说明() 心•於安裝板425及共振腔315之間的是一微波屏蔽密封 436,其屏蔽經由於波導部3 30及腔315間任何破裂漏出 腔315之微波能量如於第3(f)圖所示,板42 5係被作成 以固定器427及435提供波導部330,磁控管305 ,及共 振腔315穩固之安裝,固定> 可以是螺絲,螺栓或任何其 他傳統固定元件,或環氧樹脂。可以知道該板425之特殊 形狀於諸實施例間可能不同。 於模組420中,放電管3 20係安置於腔315中並縱向 定位使得放電管320重疊一輻射最大圖案,例如,微波共 振之電場(E場)分量共振於腔315中。依據本實施例,共 振腔315是一具有長度(U)約5.9吋,寬度(wR)約6吋,及 高度(hw)約5.1吋之單模腔,其微波之TE1C2主模具有一 約2.45GHz之頻芈(假設沿著1R轴及垂直於放電管320長 度之“傳遞方向)。共振腔315可以由例如銅,鋁,不鏽 鋼或其他導電材料之金屬作成*於本實施例中,具有固剖 面及由鋁所作成之放電管320具有約1.87吋之外徑及約 1.65吋之内徑*及約6至8吋長度,較佳係約7.8吋。再 者,沿著放電管320之長度之中心轴係偏移窗345 —微波 能量共振頻率之3/4波長之距離(d),或其最佳等效距離* 於本實施例中,d係約4.08吋。 如於第3(e)及3(f)圈中所示,放電管320之末端係為 第一安裝組件350及第二安裝组件355所連接至共振腔 315中。第一安裝組件350包含一外殼360,其包含並保 有放電管320之輸入端365,其被螺絲(也可使用其他固定 第37耳 本紙張尺度適用中BS家搮準(CNS ) Α4规格(210XW7公釐) 裝. -1-51 線.
齷濟_中夬橾率為員工¾费合作社印策 五、發明说明() 器或環氧樹脂)穿過於共振腔315及组件350中之壁中之 相關孔所固定至共振腔315中《輪入端3 65具有一密封组 件370,較佳地為一 〇型環,其外徑係防止真空由放電管 320漏出。末端365係一開放氣密真空密封端,除了連接 至外殼360之氣體饋入管3k之外。連接至清洗饋送歧管 445之氣體饋送管375引入反應氣體至放電管3 20中,其 中氣體可以被微波所激能以形成電漿於放電管320中》第 二安裝组件3 55包含並保有放電管320之另一端3 85,其 被以螺絲(也可使用其他固定器或環氧樹脂)通過於共振腔 315及组件355中之另一壁面之孔而固定至共振腔315 中· 放電管320之輸出端385是一開口端並通向出口歧管 3 80,如於第3(f)圈所示,出口歧管係經由一 RF租隔器438 連接至處理室之氣體混合區塊9。於各種實施例中由例如 鋁’銅,鎳或不鏽輛之金屬所作成之出口歧管380提供一 導管,使原子團由遠端模组之放電管320經由RF阻隔器 438進入室中·出口歧管380之其他特性將更說明如後· 於其他實施例中,出口歧管380可以被以下述相對於阻隔 器43 8之防原子困材料加以作内部襯墊。 依據一特定實施例之出口歧管438係更詳細示於第 3(g)至3(i)圖中•第3(g)固是第3(f)圈之出口歧管380之 侧剖面視圈,其係相關於放電管320(示於虚線)之末端 3 85。第3(h)及3(i)圖是相對於線b-B’之歧管380之前及 後視圈。如於第3(g)及3(h)圈所示,出口歧管380提供一 _______第 38貫__ 本紙張尺度逋用中國两家揉準(CNS > A相t格(210X297公釐) ----------I-----.—1T-----.'—0 ' 一 r i+. (請先《讀背面之注$項本頁) f 45128 Α7 Β7 齷濟部中失#準局Λ工消费合作社印笨 五、發明説明() 具有一輸入及輸出之導管或通道,輸出歧管380之導管較 佳係一圓剖面,或具有其他剖面外形,並係適當地彎角以 配合放電管320之相對位置及進入室40〇之入口。於本實 施例之中’末端3 85具有一密封组件43 7,其較佳為〇型 環,其外徑係防止於管320及出口歧管3 80間之洗漏。出 口歧管380係適當地銹孔以收納末端385及密封组件 43 7*放電管385及密封组件43 7之末端385係被插入輸 出歧管3 80之輸入之鑽孔部,以提供真空密封連接。當輸 出端385因此安置於鑽孔輸入時,出口歧管380之輸入之 部450穿過進入放電管320某一距離。接近末端3 85,該 突出部份450之外表面係被懸弔放電管320之内部髏積 内,沿著放電管3 20之長度部份*有效地作動為一散熱器 以散熱由原子團出口管320所產生於末端385之熱量之突 出部450足夠地延伸入放電管3 20中,以對流並輻射地自 管320中之氣髏内部《積吸收熱量β有利地,出口歧管380 之突出部450提供放電管3 20之末端385之冷卻,以作用 以延長放電管320之壽命,其長度係如上述地被冷卻*為 了提供由放電管320之内徑至提供於出口歧管3 80之導管 直徑之逐漸轉換,突出部450之内部如同一漏斗(徑向斜 向外約25至50度之間,較佳由水平約30度角),以導引 原子團至道管中》這漏斗型使得原子困可以有利地保持層 流入導管中,使得擾流及渦流為最小。依據一特定责施 例,漏斗之大開口具有一略小於故電管320之内徑(約1·65 吋)之直徑(约1.5吋),漏斗小開口之直徑是约〇·80吋,及 第39頁 (请先《讀背面之注f項寫本頁) 本纸張尺度適用中家揉率(CNS ) Α4规格(210X297公釐) 451286 «濟部中央標準局男工消费合作社印策 A7 B7 五、發明説明() 於出口歧管380中之導管之垂直部份具有約〇 67吋之直 徑。 如於第3(f)-3(i)明所示,出口歧管38〇具有一轉出, 其具有a緣452’具通孔(未示出)於其中,使得凸緣452 及阻滿器438(其具有相闞通孔,未示出)可以由螺絲或樣 栓穿遇通孔而連接至氣想混合區塊9。如於第3(g)圈所 示,出口 380之導管輸出可以具有一鑽孔454,用以通過 阻隔器438對準一入口管及進入氣醴混合區塊9之蓋部 405。一於入口管旁之密封组件也可用於出口歧管38〇之 嫌孔輸出’以確保真空密封•出口歧管380同時具有多數 通孔456穿過其上部,使得螺絲或螺栓可以用以確保出口 歧管380之輸入通過於组件35 5中之相關之通孔至腔315 中,使得離開管320之原子图進入出口歧管3 80。 如於第3(i)圈所示,在出口歧管380之背外表面上之 孔458係用以安裝一溫度鞯鎖(未示出),例如一具有安全 開關連接至系統控制器34之熱電耦,該控制器可以規劃 以自動地關關供給至磁控管之電源,若出口歧管380之溫 度接近管320之熱破裂臨界溫度•可選擇地,一熱電耦439 可以用以監視放電管320之溫度(由電漿種類離開管 3 20),使得不超過管3 20之熱破裂之臨界溫度β RF阻隔器43 8隔離氣體混合區塊9(其係於一 RF高) 輿出口歧管3 80,蓋部405及室主Λ (所有均接地阻 隔器43 8較佳地由一提供RF阻隔之材料作成,該材料係 例如鋁,或聚四氟乙烯(PTFE),其係對抗為原子團(例如 第40貫 本紙張尺度遑用中國國家標率(CNS ) A4規格(210X297公釐) (請先H讀背面之注意事項再填寫本頁) 裟· 45ί 286 A7 B7 五、發明説明() 當使用含氟氣體如NF3形成電漿時之氟原子困)之腐蝕及 沉積中。此材料包含PTFE(可由例如鐵氟龍ptfe購得)並 不使得氟原子團.再組合於由出口歧管380所提供之導管 中。除了 PTFE外’锋何包含氟化聚合物,例如μα(其係 组合聚四氟乙缔樹脂之氟彳後主缝與全氟煙基側键),氟 化乙烯丙稀(TFE)等可以使用當然,其他防止特定反應 化學物之材料可以使用。 M濟部中央樣率局貝工消费合作社印f. 如上所述’示於第2(e)及3(f)圖中之處理室4〇〇係一 例示處理室’其中’模組420可以是位於其中並被使用„ 處理室400具有一相當平上表面或蓋部405,其係為一寬 區域並多角形,如同於典型之CVD處理室•於特定實施 例中,蓋部405及室400斜入小區域410(如第3(b)及3(f) 國所示),該區域係接近節流閥及氣體出口 *處理室400 之蓋部405可以加裝以一冷卻剞入口歧管440,入口 415(示於第3(e)圖中之虚線)’及清洗氣醴饋入歧管445。 依據本實施例’冷卻劑入口歧管440允許輸入於歧管440 之入口之冷卻液進入在蓋部405中之冷卻通路.於一些實 施例中,清洗氣體讀入歧管445係由不鏽铜,鋁,銅或其 他金屬作成。於其他實施饤中’清洗氣體饋入歧管445可 以由如上述用於阻隔器43 8之防原子困材料所作成β 依據本實施例,示於第3(e)及3(f)圈中之遠端模組420 相反於第3(b)圖之實施例,提供形成於放電管3 20中之電 漿之原子困經由出口歧管3 80及阻隔器43 8至氣體混合區 塊9,以引入氣雄經由氣ft分配板13a進入處理室400, 第 本紙張尺度璁用中81¾家棣準(CNS ) A4规格< 210X297公釐) 45^286 A7 B7 Μ濟部中央樣率局Λ工消费合作社印«. 五、發明説明() 於第3(b)i]中經由入口 415提供原子图至氣體通路(於臂 部8中)至氣體混合區塊9。如上所述,入口 415(示於虚線) 係可由上端及下端加以進出,經由上下端氣體可以藉由通 過氣體通道(於第1(a)及1(d)圈中之臂部8中)而引入處理 室400至氣體混合區塊然後'經由氣體分配板13。於第3(e) 及3(f)圖之實施例中,入口 415係用以提供反應氣體進入 用以引入放電管320中之清洗氣體饋入歧管445,以形成 含電漿原子明。明白地說,反應氣體係經由入口 415之開 口上端進入清洗氣體饋入歧管445,用以引入放電管320 中•連接至入口 415之氣鳢通道(於第1(a)及1(d)圈中之臂 部8中)可以保持關閉(例如,使用閛間,其可以被開或 閉),若只想要送反應氣體至遠端摸组420。然而,蓋部 415之氣體通路可以被保持開路,使得反應氣鳢並不只被 送至遠端模组420,同時也送至室400 ·當保持打開時* 氣饉通道同時接收反應氣體以引入氣tt混合區塊9及氣髖 分板13a至真空室中·一般而言,沉積氣體進入入口 415(當其上端閉合由其下端)以引入氣體通道至氣«泥合 區塊。於示於第3(e)固之實施例中,用以清洗之反應氣體 可以遵擇地允許藉由打開入口 415之上端而,進入清洗氣 體饋入歧管445。經由入口 415下端之清洗氣«可以然後 通過清洗歧管445進入氣體饋入管3 75至遠端模组420之 放電管3 20。這些氣體因此被引入放電管320之中,於其 中形成一電漿並且來自微波產生電漿之原子困係由管3 20 被引入出口歧管380(及阻隔器43 8)至氣體混合區塊9,其 第 42ΤΓ (请先《饋背*之泣意事項ί寫本ί ) 本紙張尺度逋用中國國家標準(CNS ) A4规格(210x297公釐> tJ2 86 Α7 Β7 經濟部中央樣率為貝Η消费合作社印製 五、發明说明() 中原子固然後由氣體分配板13a進入室400中。於其他實 施例中,入口 415可以提供一通道給予以送至清洗氣艙饋 入歧管415之清洗氣β及一分開通道給予以送至氣||通道 (於臂部8中)之沉積氣體,而不同於上迷之實施例者, 示於第3(e)及3(f)圈之‘實施例允許氣嫌及原子團雙輸 入至室400(藉由使氣體通道連接至打開之入口 415)。於一 些應用中可能想要氣體及原子困之雙輸入,但是,用以只 引入原子ffl至室400及單輸入清洗氣體至遠端模420也可 能為其他應用所使用。當然,經由入口 415及/或經由遠端 模组420之處理氣體輸入同時也為其他應用所想要,依據 其他實施例,其中,遠端模组420係用以沉積•對於清洗 應用,於處理室400中之於氣«混合區塊9及氣體排氣歧 管問之殘留物係被來自附上遠端微波電漿源模组300之原 子围所清除。一排氣系統由處理室400排出殘留物及氣體 經由卑進入真空歧管並由一真空泵系統所排出排氣管•經 由排氣管所釋放氣體及殘留物之壓力係由節流閥及泵系 統所控制》 第4(a)及4(b)圖例示出本發明之一逮端微波電漿源模 组500之另一實施例之簡化上及倒視圖·依據第4(a)及4(b) 圈之本實施例,遠端微波電漿源模组500包含兩磁控管 505a及505b(未示出);一共振腔515 ; — T型放電管520 於共振腔515之中;兩開口或亩口 545a及545b於共振腔 515之中;及兩波導系統555a及555b,一用於窗口 545a 及545b,並通向個別之磁控管505a及505b。為了簡單起 第+31·____ 本紙張尺度遄用中•國家標準(CNS > A4规格(210X297公釐) (請先Η讀背*之注意事項^5?寫本頁) •裝. 訂 Α7 Β7 45^2 86 五、發明说明() (請先聞讀背面之注項寫本頁) *濟部中央揉率局貝工携费合作社印氧 見’第4(b)圓只示出完整波導系統555a及555b之食口 545a及545b* —氣冷系統同時也可以用以配合本實施例, 每一磁控管505及其個別波導系統555及窗口 545之結構 及說明係類似於第3 (a)圈之實施例所說明者。由鋁所作成 之放電管52〇具有兩水平分‘支560a及560b,其接合於垂 直柄565 ’其係一中心排氣埠《反應氣贌係被輸入放電管 5 20之每一分支560之外端。然後.,於分支560a及560b 中之反應氣體係被微波輻射所激能,該輻射係被由來自磁 控管505a及505b之個別波導系統555a及555b經過個別 窗口 545 a及545b所導引。因此,電聚被形成於放電管520 之分支560a及56 Ob之中。來自形成於分支560a及560b 中之電漿之原子團然後經由柄560離開放電管560。輸出 自柄部560之原子團經由其分配歧管被鑌入一處理室400 之中。於柄部560及處理室400間之饋入之短長度降低了 殘留物再组合。原子團由遠端模组500流入附著之CVD 設備,其中排出殘留物及氣體· 一排氣系統由附著之CVD 設備經由埠排出殘留物及氣«進入真空歧管並由一真空 泵系統所排出一排氣管。氣體及殘留物由排氣管釋放之壓 力係由一節流間及泵系統所控制。 使用多間歇脈衝磁控管激化氣體多重時間,加強總離 子化及原子困形成。即,於一放電管中之氣體之相同差醴 積將被來自多磁控管之微波所激能,於一已知時間内施加 該放電管一增加之微波能量β對於一已知低速氣體流入放 電管中,多重脈衝磁控管(每一提供低微波功率)可以用以 第44Χ 1紙張尺度逋用中《國家標率《^8>八4规格(2丨〇><297公兼> ~ A7 B7 級濟部中央樣率為貝工*费合作社印氧 五、發明说明() 完成某位準之離子化及原子困形成•對於流入放電管中之 較高氣體流速,每一提供相同低微波功率之多重多頻脈衝 磁控管可以使用以完成該位準之離子化及原子團形成。例 如,如上所述,一多磁控管系統可以使用兩磁控管《於包 含第4(a)及4(b)圖之例示板實施例之雙磁控管之實施例 中,該兩磁控管源可以被選擇地加以供電,使得微波被以 約120Hz定時地加脈衝《如於第5 (a)国所示,每一磁控管 505a及505b使用每一個別脈衝電源之脈衝方式(約60Hz) 產生微波(约於2.45GHz)。如於第5(b>圈所示,藉由延遲 磁控管505b之脈衝一约相對於另一磁控管505a約180度 之相位差*用於磁控管之兩脈衝電薄供應能夠提供如第 5(c)圖所示之定於約120Hz之微波脈衝•於第5(c)圖中, 波形(MA)之第一遇期係由磁控管505a所產生及一第二週 期(Mb)係由磁控管505b所產生。對於約700標準立方公 分(seem)之NF3氣髏流入放電管中,以一少於约3托耳之 壓力,對於一少於約1.2托耳之室壓力,約1.5千瓦總微 波能量係被磁控管產生,每一磁控管被供電以約750瓦之 微波能量,該能量可以產生一約99%之氣體崩溃效率《因 此,此由交替脈衝電源所供電之雙磁控管較電容耦式電極 產生一高清洗效率,該電容耦式電極典塑地只產生於約 15-30%之氣體崩溃效率。再者,如上所述,對於低瓦, 脈衝電源之用於磁控管,舍產生較少之熱量於放電管520 並且氣冷係足以消散於放電管520之熱量。當然,於各種 實施例中之磁控管可需要液或氣冷》 第*5頁 -- 舞 \... (#先和讀背面之注意事項本頁〕 本紙張尺度逍用中國两家揉率(CNS )人4规格(210X297公釐) 4 «濟部中央揉率局Λ工ίκ费合作杜印装 51 2 86 A7 _B7_五、發明説明() 第6(a)及6(b) _為本發明之其他特定資施例之簡化垂 直剖面圈,其提供新升級CVD設備或修改之現行CVD設 備。本實施例實際整合至CVD設備,以提供一升級能力 之微波電漿源。 明白地說’第6(a)圖Θ示出一升級/修改CVD設備 600,其依據本發明之另一特定實施例具有一利用兩磁控 管605a及605b之室603。當然,可以知道其他實施例可 用單一磁控管源或可用兩個以上之磁控管源·如於第6(a) 圈所示,CVD投備600包含一室主體610,具有一壁部613 及一上蓋615及一底部617;及一氣體分配歧管619,用 以經由於歧管619中之鑽孔而分起反應氣ft至放在防熱托 架620上之晶圓或基板上(未示出)·托架620是高度熱反 應的並安裝在支撐架625之上,使得托架620(若有的話, 及支持在托架620之上表面之基板)可以被一提升機構630 所控制而移動於一下裝載/卸載位里與一上處理位置之 間,該處理位置係接近歧管619。 如於第6(a)圈所示,接近壁613及底部617間之接 面,CVD設備600可以裝置或加裝有介電質窗635a, 635b,其較佳係由陶瓷或藍寶石形式中之鋁作成。例如, 現行CVD設備可以被加工以提供窗口及介電質窗口安裝 於其上。介電質窗口 63 5a及63 5b同時也可以由其他材料 作成,例如對於微波相當透通及對對形成於室603中電漿 之原子圈腐蝕有抵抗性之材料作成。 磁控管605a及605b係分別連接至波導640a及640b , _第 46ΊΓ_____ 本紙張尺度逍用中國國家標率(CNS ) A4規格(210X297公釐> ---------裝-- ► - · i (請先Η讀背*之注f項whi寫本頁) 訂' Α7 Β7 451 2 8 6 五、發明说明(> {请先W讀背面之注$項Ϊ寫本頁) 波導再個別連接至介電質窗635a及635b。來自磁控管 635a及635b之微波能量係被波導640a及64〇b所導引經 介電窗口 635a及635b至室603。波導64〇a及64〇b係主 要用以提供磁控管605a及605b之方便放置位置’及由其 中導引微波能量•於其他實施例中,波導640a ’ 640b可 以被省略並且磁控管605a及605b可以如第6(b)明所示被 放置接近介電質窗口 63 5a及63 5b·再者,第一磁控管之 组合一波導及第二磁控管有组合波導同時也可取決於 CVD設備600中之空間限制加以使用。每一磁控管605a 及605b均為低成本微波磁控管,發射約2.45GHz之微波 並具有一低瓦脈衝電源操作於約60Hz。較隹地,磁控管 605a及605b可交替地加以脈衝,以如上述第5(a)及5(b) 圈提供約120Hz之定脈衝微波· «濟部中央椹率為貝工消费合作社印装 當然,CVD設備600同時包包含其他元件,例如氣體 供應管,氣體分配系統,質流控制器/閥等,由於已經說明 於第1(a)至1(f)圖之例示性CVD系統10之中,因此不再 討論。依據第6(a)及6(b)_之特定實施例,被供給至歧管 619之反應氣β大致被均勻地排氣向托架620並被由磁控 管605a及605b射之經由窗口 635a及635b之微波能量所 離子化β因為反應氣體在被配送至室603以後被離子化, 所以於本實施例中使用磁控管,離子再結合於經由面板 619上之通道之可能被降低《即,由本發明之磁控管源所 創立之電漿創造了具有純化作用之離子β然而,其他使用 電壓或電位差以創造偏壓電漿之CVD系統則受到來自實 第47頁 本紙張尺度逋用中國«家揉準(CNS ) Α4规格(210X297公釐) 4512 8 6 A7 B7 五、發明说明() 鳗濟部中夬揉t貝工Λ费合作社命装 «濺鍍作用之密集離子損壞該室之各部份。特別是,電位 差使得電漿中之離子被加速向或攻擊例如室壁•本發明操 作不用電位差並提供具有化學本質之離子而沒有任何濺 鍍作用。本發明因此較其他CVD系統為少之離子損壞室 之各部份。 ’ 於本實施例之中,室603之體積作用為一來自磁控管 605a及605b之微波之共振腔。一般而言,當托架620於 最低位置時,室603係約14吋宽,約19吋長及約7吋高。 此室體積603大致合適以共振於約2,45GHz。然而,共振 室603容«之調整可以藉由調整CVD設備600之部份加 以完成。依據一特定實施例,於面板619及托架620間之 可變空間係用以調整用以共振之室/腔603 *離子化或原子 困形成之效率可以藉由完成於室613中之面板619及托架 620間之共振體積加以增加。托架620之移動然後可以調 整室/腔603以調整為微波所電漿離子化前後之阻抗變 化*特別地,托架620可以相對於面板619移動至第一位 置,其定義用以電漿激能之共振體積。托架620可以然後 被相對於面板619調整進入第二位置,其調整共振體積, 以補償在電漿被打擊後之阻抗改變。另一方面,共振室* 積603之調整同時也可以藉由提供可動室壁613加以完 成。於室壁613間之可變空間係用以調整用以共振之室/ 腔603 »離子化及原子困形成之效率同時也可以藉由完成 於室壁613間之共振鳢積而完成。於室壁613間之空間調 整也可以完成,用以前及後電漿激勵共振位置,以補償阻
I 面 之 注 項 裝 頁 訂 本纸張尺度適用中國Β家揉率(CNS ) Α4规格<21〇Χ297公釐) 86 經濟部中央樣率局員工消费合作社印It A7 __B7_五、發明说明() 抗改變。 由於微波源所氣鳢離子化之高效率,所以一低壓或低 流速區域可以被用以避免於室603中電漿之區域化•不同 於上述之使用一放電管於一遠端微波電漿源之請實施 例,本實施例使用一放電舍來分配而不需安裝在室主體 610上之介電窗》因此,室603係被用為一组合共振腔及 電漿電極。再者,壁613之溫度係被控制並保持於約80 °C之溫度。因此,室壁613對介電質窗63 5a及63 5b提供 良好散熱性,藉以省略了介電質窗口之主動冷卻(氣或液 冷>。一併入一真空泵系統之排氣系统然後經由埠氣出殘 留物及氣體至真空歧管並排出排氣管*經由排氣管之氣體 及殘留物釋放之壓力係被一節流閥及泵系統所控制。 本發明之上述實施例係有用於清洗CVD設備或其他 設備。本發明之用途係展現用以清洗使用NF3作為反應氣 體之CVD設備。然而,其他反應氣«,例如CF4及C1F3 也以使用。 本發明之以下說明遠端模组3 00,420或500(第3及4 圖)係相關於類似於第1(a)至1(f)圈之CVD系統10之處理 室加以說明,但本發明同時也可使用於其他CVD系統或 其他設備。為了清洗處理室,控制器34控制機械臂之適 當馬達,以由托架12卸下已於處理室中處理之晶圓》托 架12係然後被由氣體分配歧管11移動至其最低非處理位 置,該歧管於特定實施例中可以是约999密耳,以確保來 自形成於遠端模组3 00, 420或5 00之上游電漿室之最佳 {請先《讀背面之注f項—寫本頁) 本紙張又度埴用中國B家標準(CNS ) A4规格(210X297公羡) 4512 86 經濟部中夾橾率爲貝工消费合作杜印製
A7 _B7_五、發明说明() 清洗。一旦托架12被適當地定位,系統控制器34保持被 加熱托架12較佳地於約300至500°C之溫度,最好是於約 400eC。達些溫度範圍係被保持於整個清洗程序中。處理 室係被保持於約低於1.5托耳之壓力,較佳係約50毫托耳 至1.5托耳之範圍内,於一‘些實施例中較佳為〇.7至1.2 托耳。在放電管內之壓力係少於約3托耳,於一些實施例 係約1.5托耳至3托耳,以攻擊電槳。反應氣體NF3係然 後經由入口 415被引入清洗氣體歧管445,以輸入饋送375 至遠端模组3 00之放電管320或進入至遠端模组500之放 電管520入口,其中微波離子化NF3。NF3之流速較隹係 於400至1〇〇〇標準立方公分/分鐘(sccm)之間,較佳係約 700sccm。反應器氣體被引入放電管之流速可以被CVD系 統10之系統控制器34,經由一於氣體婧入管375或入口 中之閥或質流控制器所控制。另一方面,NF3可以由入口 415經由氣體通道進入氣髖混合區塊,以引入至室中·反 應氣體啟始可以在不加電下流入磁控管,以提供氣體流穂 定性•於一特殊實施例中,這氣體流速穩定性可以在供電 給磁控管之前持績約5秒》然後,來自形成於遠端模组中 之電漿之氟原子圈(及或同時NF3)向下流至處理室之歧管 11並有效地清除於處理室中之殘留物*選定處理室壓力係 被一配合上真空泵系統之節流閥所設定並保持於整個沉 積中*節流閥及真空泵系統係被系統控制器34所控制, 以設定並保持選定壓力•在設定後,對於整個清洗程序, 處理條件被系統控制器34所保持一選定時間段,較佳係 第 50TT ----------裝--•, - ί請先《讀背*之注$項ί寫本頁) 訂 本紙張尺度埴用中國Β家揉率(CNS ) Α4规格(210Χ 297公釐) 4512 8 6 鐘濟部中夹揉率局貝工消费合作社印氧 A7 B7 五、發明説明() 於约40至80秒之間,最好是約50至60秒•一旦磁控管 在清洗被完成後被斷電’於發生被進行於室中後績程碎步 驟之想要大小之前,餐力被允許穩定約5秒。對於約 700sccm NF3氣艙流入放電管,以約少於3托耳之壓力於 室壓約少於1.2托耳,則由磁控管所產生之約ι·5千瓦微 波功率可以產生約90%之氣體崩溃效率· 本發明之升級/改型CVD設備600之以下說明係有關 於如同於第l(a)-l(f>圈之CVD系統1〇之處理室加以說 明,但本發明同時也可以使用其他CVD系統。為了清洗 CVD投備600·系統控制器34控制機械手臂之適當馬達, 以由托架600卸載已經於處理室603中處理之晶圓》托架 620然後被移動至其最低之非處理位置,該位置係離開氣 tt分配歧管619約999密耳,以確保由形成於室603中之 電漿之氟原子團之最佳清洗。一旦托架620係被適當定位 時,控制器34保持加熱托架620較佳於约3 50至45〇t, 較佳係約400°C ·這些溫度範困係被保持於整個清洗程序 中*處理室603係被較隹保持於約50毫托耳至約1.5托耳 之範困間。壓力穩定步《可以加以執行。反應氣體nf3々 後經由一氣ft供給管引入氣雅歧管619進入共振腔603 中’其中來自磁控管之微波有效地離子化該NF3 * NF3之 流速係較佳為約400至800sccm之間,最好為約600sccm。 反應氣«引入處理室603之速率可以為CVD系統10之控 制器34經由一間或於氣體供給管中之質流控制器加以控 制*來自微波電漿之氟原子團有效地清洗於整個處理室 _____第 51ΤΓ i紙張ΧΑϋ财 8 辟料(CNS ) 21GX297公釐)
{請先W讀背面之注f項再填寫本頁J 訂 A7 B7 五、發明説明() 603之殘留物。於其他實施例中,其他之含氟氣體可以被 引入共振室603之中β系統控制器34同時控制提升機構 630’其調整托架62〇之位置,以調整前及後電漿激化共 振*於共振室603中之選擇壓力係被配合真空泵系統及反 應氣體之引入之節流閥所·設定並保持於整個清洗程序 中•節流閥及真空泵系統均被控制器34所控制,以設定 並保持選定壓力。在設定後,處理條件係被控制器34所 保持’ 一選定時間段,以有效地清洗CVD系統。 除了提供升級能力之清洗程序外,第6(a)及6(b)圖之 實施例同時也能於其他程序步驟需時,用以沉積及蝕刻, 藉以節省時間並提供其他優點。可以了解的是這些實施例 同時使用一整合微波電漿源來,用以蝕刻或沉積一晶圓或 基板,而不必附一遠端模组至處理室603上•再者,即使 一遠端模组被附著至一處理室603,用以由處理室603上 除去遠端摸组之程序可以容易地藉由簡單地將遠端模组 自處理室603之蓋部拆下或除去加以完成》因此,處理室 603之維謾清洗涉及打開方便之蓋部或容易除去遠端模组 而打開蓋部,造成較少之時間浪费。 上述之氣體流動,室壓力及溫度範圍提供給清洗程序 者係足夠以除去不想要之處理多數晶圓或基材板後所長 時間累積之氧化物及氮化物殘留物。於上述程序之參數不 應被認為限制申請專利範圍。被選定用於一特定清洗程序 之實除值(溫度,壓力,氣流流速等)將會依據不同應用而 改變β同時,上述之流速值係用於一由應用材料公司所製 第52頁 本纸張尺度逍用中國國家標率(CNS > Α4规格(210X297公釐) 請 先 Μ 面 之 注 項 Λ濟央槺率局貝工消费合作社印隶 451286 A7 B7 經濟部中夹輮率局貝工消费含作社印策 五'發明说明() 造之DxZ室(被裝有200mm之晶圔並具有總體積約5公 升)’流速值將隨著所使用室之大小及類型而不同。例如, 一多模室將需要不同之流值。另外,上述之流量閥係用於 其他實施例之電極管。熟習於本技藝者同時也可使用其他 化學物,室參數及用以清洗條件。 可以了解的是,上述說明係例示性質並非限制用。很 多實施例將可由熟習於本技藝者觀看本說明後而了解。本 發明於此已例示相關於一清洗裝置,但其並不是作如此限 制《熟習於本技藝將可以本發明之申請專利範圍之内,了 解等效之或其他沉積介電質層之方法•雖然上迷之說明討 論NF3’特別是其他反應氣艙,包含稀釋之F2, CF4, C2F6, C3F8 ’ SFe或CIF3可以被使用清洗用以沉積氧化矽基板處 理系統。另一方面,沉積或蝕刻氣ft可以被用於實施例, 其中’微波電漿系統係用以沉積或蝕刻。除了被用以CVD 室外’上述遠端電漿模组也可以使用於蝕刻室,物理氣相 沉積(PVD)室或其他室。再者,雎然,本裝置之各種部份 之特定實施例已經依據特定實施例加以說明,但是一些特 定大小係為例示性’其他之大小尺寸也可用於其他實施例 中•因此,本發明之範困不應由參考上述說明加以界定, 而是參考隨附之申請專利範圍及其等效之全範困加以決 定。 第53肓 本紙張尺度逋用中國國家搮率< CNS ) A4规格ί 210X297·公嫠) (請先^讀背面之注^^-項再填寫本頁) 訂

Claims (1)

  1. 2, § 2 纟51 ,4 A8SSD8 E, 煩請委員明示和年Γ月1TCT.所提之 蛵濟部智慧財產局貝工消费合作杜印數 修正$無嫌曼^;内容是否准予修正。 六、申請專利範圍 第抓叫4^號斯丨谋?。年r月修正 1· 一種遠端微波電漿源模组,用於一基板處理設備中,該 遠端微波電漿源模組至少包含: 一磁控管系統,該磁控管系統包含一第一磁控管操 作一第一腺衝低瓦數電渌,該磁控管系統提供低微波功 率之微波; 一共振腔,該共振腔具有一第一窗口之第一表面, 該共振腔與該微波共振: 一波導系統,該波導系統包含一連接至該第一磁控 管之第一波導,該波導系統經由第一窗口連接至該共振 腔,該第一波導由第一磁控管指引微波經由第一窗〇至 共振腔; 一第一電漿放電管具有一管長及一管直徑,該第— 電漿放電管被安置於該共振腔之内,其中,該微波之場 最大重疊沿著該管長度之管直徑,該場最大係實質平抒 於該第一表面,該第一電漿放電管接收一反應氣髖並故 電一由共振腔中之微波所激能之反應氣體之原子困;及 其中,該遠端微波電漿源模組係構建以成為一锲組 化單元,其可以被容易地附著至基板處理設備,該楔級 化單元具有一軌跡可相比於該基板處理室之上尺寸》 2.如申請專利範圍第1項所述之遠端微波電聚源模组,其 中上述之低微波功率是1至1.5千瓦β 3·如申請專利範团第2項所述之遠端微波電漿源模组,其 第52貰 本紙張尺度適用中國國家標準(CNS)A4規格(210 * 297公釐〉 <請先IH讀背面之注意事項再填寫本頁} 1- > -------丨訂---------線' 沏680808 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 中上迷之第一波導包含一第一端,一相對於第一端之第 二端’ 一第一壁面及一相對於該第一壁面之第二壁面, 該第一波導連接接近該第一端之第一磁控管,以及該第 二壁面之_部份接近包含第一窗口之第二端。 4, 如申請專利範園第3項所述之遠端微波電漿源模組,其 中上迷第一壁面包含至少一調整短管,用以調整於該腔 中之微波* 5. 如申請專利範圍第3項所迷之遠端微波電漿源模組,其 中上述之共振腔包含一矩形腔,其包含一上壁,一下 壁,一前壁,一後壁,一第一側壁及一第二側壁,該上 壁連接至該前,後,第一及第二側壁,下壁連接至前壁, 後壁,第一及第二倒壁,前壁係相對於後壁*該第一側 壁相對於該第二側壁,該上壁相對於下壁,該第一側壁 包含第一包含有第一窗口之表面。 6. 如申請專利範圍第3項所述之遠端微波電漿源模组,其 中上述之第一電漿放電管具有一第一開口及第二開 口,該第一開口連接至該前壁及該第二開口連接至該後 壁· 7, 如申請專利範圍第6項所述之遠端微波電漿源樸组’其 中上迷之第一開口作用為一用於反應氣體之輸入及該 第S3貰 本紙張尺度適用t國國家標準(CNS)A4規格(210 * 297公釐) — — — — 1111 I 111+ I — 111 — — · I I I I — II 1 c :c (請先閱讀背面之注$項再填寫本頁)
    經濟部智慧財產局員Η消費合作杜印製 ο、申請專利範圍 第二開口作用為用於來自激化反應氣體之原子困輸 出。 8. 如申請專利範团第6項所述之遠端微波電漿源模組*其 中上述之第一開口作為用於反應氣體之輸入及該第二 開口作用為用於反應氣鳢之另—輸入;及上述之第一波 導更包含一第三開口,其作為來自激能反應氣體之原子 明輸出,及第三開口係安置於下壁處。 9. 如申請專利範園第1項所述之遠端微波電漿源模组,更 包含多數電漿放電管,該第一電漿放電管係為多數放電 管之一,該多數電漿放電管同時安置於共振腔内,使得 另一場最大值沿著其長度重疊於多數放電管之另一個 的直徑,該多數放電管係實質平行於該第一及第二側 壁,以收納該反應氣體及放電來自為該於共振腔微波所 激能之反應氣體之原子困。 10. 如申請專利範圍第1項所述之逮端微波電漿源模组,其 中上述之共振腔是一單模腔。 11·如申請專利範圍第丨項所述之遠端微波電漿源模组,其 中上述之共振腔是一多模腔。 12.如申請專利範園第丨項所述之遠端微波電漿源模组,其 第54貰 本紙張尺度適用令0國家標準(CNS>A4規格(210 X 297公釐> \ 請先閱讀背面之注意事項再iic本頁) 袭 訂: -線 5 4 8 2 A8B8C8D8 /、、申請專利範圍 中上述之磁控管系統更包含一第二磁控管操作於一低 瓦數腺衝電源,該第二磁控管係同時被連接至該第一波 導’其係接近相對於該第一磁管之第一端。 13. 如申請專利範困第3項所述之遠端微波電漿源模组,其 中上迷之第一波導更包含一第三壁面及平行及相對於 該第三壁面之第四壁面〇 14. 如申請專利範圍第3項所述之遠端微波電漿源模组,其 中上迷之磁控管系統更包含一第二磁控管操作於第二 脈衝低瓦電源,其係相對於該第一脈衝低瓦電源交替地 加脈衝; 15. 如申锖專利範園第14項所述之遠端微波電漿源模組, 其中上述之第一及第二磁控管係被供電以交替脈衝》 16. 如申請專利範圍第丨4項所述之遠端微波電漿源模组, 其中上述之第三端係直接相對於上述之第一端,使得該 第一及第二磁控管係直接彼此相對《 Ϊ 7.如申請專利範圍第14項所述之遠端微波電漿源模組, 其中上述之第三端係對角相對於第一端,使得第一及第 二磁控管係彼此對為相對。 第55貰 本紙張尺度適用中國國家標準(CNS)A4規格(2】0 X 297公釐) ------------- <請先|«讀背面之注意事項再填寫本1) 經濟部智慧財產局貝工消費合作社印装 II訂·!-線- A8B8C8D8 經濟部智慧財產局負工消费合作社印製 六、申請專利範圍 18·如申請專利範困第7項所迷之遠端微波電漿源模組其 中上述之磁控管系統更包含一第二磁控管操作於一第 二腺衝低瓦電源,以交替地相對於第一脈衝低瓦電源作 脈衝; 該共振腔之第二側壁具有一第二窗口;及 其中,該波導系統更包含一第二波導,該第二波導 包含一第三端’一平行並相對於第三端之第四端,一第 五壁’及一平行並相對於第五壁之第六壁,該第五壁具 有至少一調整短管,該第六壁之一部份接近第四端並接 近並平行於該共振腔之第二側壁,該第二波導係連接至 該接近第二端之第二磁控管,使得第二波導之第六壁面 經由第二窗口舆共振腔相通,該第二波導導引來自該第 二磁控管之微波經由第二窗口進入該共振腔。 19.如申請專利範圍第is項所述之逮端微波電漿源模组, 其中上述之第三端係直接相對於該第一端,使得該第一 及第二磁控管係直接地彼此相對。 20. 如申請專利範面第18項所述之遠端微波電漿源模组, 其中上述之第三端係對角相對於第一端,使得該第一及 第二磁控管係彼此對角相對· 21. 如申請專利範圍第6項所述之遠端微波電漿源模组,其 中上述之電聚故電管係由ai2o3组成。 第56貰 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -----—------i--------訂- i ^ί\ (請先《讀貲面之注意Ϋ項再填窵本Ϊ) C 6 AS BS C8 D8 六、申請專利範圍 22. 如申請專利範圍第4項所述之遠端微波電漿源模组,其 中上述之電漿放電管具有一由1.5至3吋之内徑。 23. 如申請專利範圍第1項所述之遠端微波電漿源模組,其 中上述之模组單元之軌跡是為14.5吋乘以14.5吋。 24‘如申請專利範圍第1項所述之遠端微波電漿源模组,更 包含基板處理設備·該遠端微波電漿源模组附著至該基 板處理設備。 2 5.如申請專利範圍第5項所述之遠端微波電漿源模組,其 中上述腔之至少一壁係可調。 26. —種操作一基板處理系统之方法,其至少包含下列步 驟: 使一反應氣髏流至一處理室中,作為處理室清洗動 作之一部份,其中該處埂室清洗動作包含第一及第二陏 段,其中該第一階段包含一在電漿於該處理室產生之前 的時間區間,而該第二味段包含在該電漿產生之後及該 電漿消失之後的時間區間; 導引微波能量至該處理室,在該第一及第二時間區 間之間;及 調整該處理室之一可移動部份至一第一位置,在該 處理室清洗彭作之該第一暗段時,以使該微波在該處理 第 57ΤΓ 本紙張尺度適用中國國家標準(CNS)A4規格(210 * 297公釐〉 ----1--.------ 叙--------訂---------線]. Γ、-·—、 (請先Μ讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消费合作社印製 AB BS C8 D8 六、申請專利範圍 室中產生共振,並在該處理室清洗動作之該第二味段時 满整該處理室之該可移動部份至一第二位置,以使該微 波在在該處理室中之該第二隋段内產生共振β 27. 如申請專利範園第26項所述之方法,其中該可移動部 份為一基板支撐器。 28. 如申請專利範圍第26項所述之方法,其中該可移動部 份為該處理室之一牆a 29. 如申請專利範園第26項所述之方法,其中該反應性氣 體至少包含氟。 經濟部智慧財產局員工消费合作社印製 30. 如申請專利範圓第26項所述之方法,其中該處理室包 含一第二介電窗口,而該微波產生系統更包含一第二磁 控管,該第二磁控管耦合至該第二介電窗口,1其中該 第一及第二磁控管將脈波式低伏特電壓微波能量導經 該第一及第二介電窗口,並更進_步分別流至該處理室 中。 31. 如申請專利範圍第30項所述之方法,其中該將微波能 量導至該處處理室中的步Ίϊ?至少包含將脈波式低伏特 微波能量從該第一及第二磁控管交替送至該處理室中 的步驟· 第邱7Τ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐^ ' _卜45
    六、申請專利範圍 經濟部智慧財產局具工消费合作杜印製 髋被引入電漿放電管時,該電漿產生源創造該電漿產生 反應種類* 36·如申猜專利範園第35項所述之設備,更包含: 一共振腔,該電漿放電管被安置於該共振腔之中, 該電漿產生源經由該共振腔電磁地耦合至該電槳放電 管’其中上述之電漿產生源包含磁控管· 3 7.如申請專利範困第36項所述之設備,其中上述之出口 歧管之輸入作為一散熱器,以散熱來自該重漿放電管之 外端之熱t β 38.如申請專利範圔第37項所述之設備,其中上述之設備 係為一遠端電漿模组之一部份,其可以被安裝在基板處 理室之上· 39.如申請專利範圍第35項所述之設備,其中上 產生源是被至少1000瓦所供電及該出口歧管之輸入係 作為一散熱器’以散熱來自電漿放電管之外端之熱量· 第60Τ 本紙張尺度適用中國國袁標準<CNS)A4規格(210 χ 297公爱) ----4 4,!·-------------訂------ ——線 -yev (靖先鬩讀背面之注意事項再填寫本頁)
TW087103089A 1997-03-05 1998-03-03 Apparatus and methods for upgraded substrate processing system with microwave plasma source TW451286B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/811,627 US6039834A (en) 1997-03-05 1997-03-05 Apparatus and methods for upgraded substrate processing system with microwave plasma source

Publications (1)

Publication Number Publication Date
TW451286B true TW451286B (en) 2001-08-21

Family

ID=25207086

Family Applications (1)

Application Number Title Priority Date Filing Date
TW087103089A TW451286B (en) 1997-03-05 1998-03-03 Apparatus and methods for upgraded substrate processing system with microwave plasma source

Country Status (5)

Country Link
US (3) US6039834A (zh)
EP (1) EP0863536A3 (zh)
JP (1) JP4230556B2 (zh)
KR (1) KR100528357B1 (zh)
TW (1) TW451286B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI417931B (zh) * 2005-10-28 2013-12-01 Edwards Ltd 電漿清除設備
TWI704636B (zh) * 2019-03-08 2020-09-11 大陸商瀋陽拓荊科技有限公司 晶圓處理裝置
TWI736829B (zh) * 2018-07-24 2021-08-21 日商日立全球先端科技股份有限公司 電漿處理裝置及電漿處理方法

Families Citing this family (182)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6797188B1 (en) * 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
EP0989595A3 (en) * 1998-09-18 2001-09-19 Ims-Ionen Mikrofabrikations Systeme Gmbh Device for processing a surface of a substrate
JP2000124204A (ja) * 1998-10-20 2000-04-28 Tokyo Electron Ltd プラズマ中の負イオンの測定方法、プラズマ処理方法及びその装置
US6176198B1 (en) * 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
US6186154B1 (en) * 1998-12-07 2001-02-13 Taiwan Semiconductor Manufacturing Company Find end point of CLF3 clean by pressure change
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6163007A (en) * 1999-03-19 2000-12-19 Applied Materials, Inc. Microwave plasma generating apparatus with improved heat protection of sealing O-rings
US20020129902A1 (en) * 1999-05-14 2002-09-19 Babayan Steven E. Low-temperature compatible wide-pressure-range plasma flow device
US7091605B2 (en) * 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
JP2001168086A (ja) * 1999-12-09 2001-06-22 Kawasaki Steel Corp 半導体装置の製造方法および製造装置
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
TW451351B (en) * 2000-01-31 2001-08-21 Mosel Vitelic Inc Method of removing the polymer byproduct accumulated on the bottom electrode of the etch reaction chamber
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
JP4730572B2 (ja) * 2000-08-21 2011-07-20 株式会社アルバック プラズマ成膜装置及びそのクリーニング方法
DE10041698A1 (de) * 2000-08-24 2002-03-14 Infineon Technologies Ag Verfahren zur Herstellung einer ferroelektrischen Festkörperschicht unter Verwendung eines Hilfsstoffes
KR100705189B1 (ko) * 2000-12-30 2007-04-06 주식회사 하이닉스반도체 반도체 소자의 박막 형성 방법
US20040221800A1 (en) * 2001-02-27 2004-11-11 Tokyo Electron Limited Method and apparatus for plasma processing
US6810886B2 (en) * 2001-05-24 2004-11-02 Applied Materials, Inc. Chamber cleaning via rapid thermal process during a cleaning period
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
US6868856B2 (en) * 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US6719641B2 (en) * 2002-04-26 2004-04-13 Nicklaus Golf Equipment Company Golf iron having a customizable weighting feature
US20060228497A1 (en) * 2002-05-08 2006-10-12 Satyendra Kumar Plasma-assisted coating
AU2003234476A1 (en) * 2002-05-08 2003-11-11 Dana Corporation Plasma-assisted nitrogen surface-treatment
US20060237398A1 (en) * 2002-05-08 2006-10-26 Dougherty Mike L Sr Plasma-assisted processing in a manufacturing line
US20060233682A1 (en) * 2002-05-08 2006-10-19 Cherian Kuruvilla A Plasma-assisted engine exhaust treatment
US20040149224A1 (en) * 2002-08-30 2004-08-05 Albert Wang Gas tube end cap for a microwave plasma generator
US20040231798A1 (en) * 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
KR100542740B1 (ko) 2002-11-11 2006-01-11 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
US7189940B2 (en) * 2002-12-04 2007-03-13 Btu International Inc. Plasma-assisted melting
US20040129385A1 (en) * 2003-01-02 2004-07-08 International Business Machines Corporation Pre-loaded plasma reactor apparatus and application thereof
US7604708B2 (en) * 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
US7205662B2 (en) * 2003-02-27 2007-04-17 Symmorphix, Inc. Dielectric barrier layer films
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US20040216845A1 (en) * 2003-05-02 2004-11-04 Czeslaw Golkowski Non-thermal plasma generator device
US6835664B1 (en) * 2003-06-26 2004-12-28 Micron Technology, Inc. Methods of forming trenched isolation regions
US7431772B2 (en) * 2004-03-09 2008-10-07 Applied Materials, Inc. Gas distributor having directed gas flow and cleaning method
US7164095B2 (en) * 2004-07-07 2007-01-16 Noritsu Koki Co., Ltd. Microwave plasma nozzle with enhanced plume stability and heating efficiency
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US20060021633A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
US20060052883A1 (en) * 2004-09-08 2006-03-09 Lee Sang H System and method for optimizing data acquisition of plasma using a feedback control module
US20080129208A1 (en) * 2004-11-05 2008-06-05 Satyendra Kumar Atmospheric Processing Using Microwave-Generated Plasmas
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
JP4727266B2 (ja) * 2005-03-22 2011-07-20 東京エレクトロン株式会社 基板処理方法および記録媒体
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US7247582B2 (en) * 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
JP4576291B2 (ja) * 2005-06-06 2010-11-04 株式会社日立ハイテクノロジーズ プラズマ処理装置
TW200742506A (en) * 2006-02-17 2007-11-01 Noritsu Koki Co Ltd Plasma generation apparatus and work process apparatus
JP4837394B2 (ja) * 2006-02-17 2011-12-14 株式会社サイアン プラズマ発生装置およびそれを用いるワーク処理装置
US20070266946A1 (en) * 2006-05-22 2007-11-22 Byung-Chul Choi Semiconductor device manufacturing apparatus and method of using the same
KR101501426B1 (ko) * 2006-06-02 2015-03-11 어플라이드 머티어리얼스, 인코포레이티드 차압 측정들에 의한 가스 유동 제어
FR2904726B1 (fr) * 2006-08-07 2008-12-26 Sidel Participations Procede et dispositif pour le depot de couche barriere par plasma froid et machine utilisant un tel dispositif
TW200816881A (en) * 2006-08-30 2008-04-01 Noritsu Koki Co Ltd Plasma generation apparatus and workpiece processing apparatus using the same
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
TW200946714A (en) * 2008-02-18 2009-11-16 Mitsui Engineering & Shipbuilding Co Ltd Atomic layer deposition apparatus and atomic layer deposition method
JP4585574B2 (ja) * 2008-02-26 2010-11-24 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7902625B2 (en) * 2008-04-21 2011-03-08 International Business Machines Corporation Metal-gate thermocouple
US20100074810A1 (en) * 2008-09-23 2010-03-25 Sang Hun Lee Plasma generating system having tunable plasma nozzle
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7967913B2 (en) * 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
US7921804B2 (en) * 2008-12-08 2011-04-12 Amarante Technologies, Inc. Plasma generating nozzle having impedance control mechanism
US20100201272A1 (en) * 2009-02-09 2010-08-12 Sang Hun Lee Plasma generating system having nozzle with electrical biasing
CN101851746A (zh) * 2009-04-03 2010-10-06 鸿富锦精密工业(深圳)有限公司 磁控式溅镀靶及磁控式溅镀系统
US20100254853A1 (en) * 2009-04-06 2010-10-07 Sang Hun Lee Method of sterilization using plasma generated sterilant gas
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
US20110143548A1 (en) * 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
JP5770740B2 (ja) * 2009-12-11 2015-08-26 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 高ドーズインプラントストリップの前に行われる、シリコンを保護するためのパッシベーションプロセスの改善方法およびそのための装置
KR101565432B1 (ko) * 2010-03-31 2015-11-03 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치용 유전체창, 플라즈마 처리 장치 및 플라즈마 처리 장치용 유전체창의 장착 방법
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8746284B2 (en) * 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
KR101241049B1 (ko) 2011-08-01 2013-03-15 주식회사 플라즈마트 플라즈마 발생 장치 및 플라즈마 발생 방법
US10049881B2 (en) * 2011-08-10 2018-08-14 Applied Materials, Inc. Method and apparatus for selective nitridation process
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
JP2013069603A (ja) * 2011-09-26 2013-04-18 Tokyo Electron Ltd マイクロ波処理装置および被処理体の処理方法
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
WO2013139878A2 (en) 2012-03-20 2013-09-26 Mapper Lithography Ip B.V. Arrangement and method for transporting radicals
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
EP3150032A4 (en) * 2014-05-30 2018-01-24 Pelican Biotech&Chemical Labs Pvt. Ltd. Novel design of multiple microwave/radiofrequency (mw/rf) magnetrons heated single vessel/reactor/chamber and its various applications including a novel dehydration process employing solvent extraction and solvent recovery
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
RU2595156C2 (ru) * 2014-12-15 2016-08-20 Федеральное государственное бюджетное научное учреждение "Федеральный исследовательский центр Институт прикладной физики Российской академии наук" (ИПФ РАН) Плазменный свч реактор для газофазного осаждения алмазных пленок в потоке газа (варианты)
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9736920B2 (en) * 2015-02-06 2017-08-15 Mks Instruments, Inc. Apparatus and method for plasma ignition with a self-resonating device
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
WO2017165550A1 (en) * 2016-03-22 2017-09-28 Tokyo Electron Limited System and method for temperature control in plasma processing system
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10366859B2 (en) * 2016-08-24 2019-07-30 Varian Medical Systems, Inc. Electromagnetic interference containment for accelerator systems
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10751765B2 (en) 2018-08-13 2020-08-25 Applied Materials, Inc. Remote plasma source cleaning nozzle for cleaning a gas distribution plate
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102144094B1 (ko) * 2019-07-26 2020-08-12 주식회사 엠디케이 블레이드를 갖는 마이크로 웨이브 챔버
US11373845B2 (en) * 2020-06-05 2022-06-28 Applied Materials, Inc. Methods and apparatus for symmetrical hollow cathode electrode and discharge mode for remote plasma processes
KR20220099004A (ko) 2021-01-05 2022-07-12 삼성전자주식회사 웨이퍼 처리 장치

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3906892A (en) 1971-04-27 1975-09-23 Cit Alcatel Plasma deposition of thin layers of substrated or the like
FR2245779B1 (zh) 1973-09-28 1978-02-10 Cit Alcatel
JPS5782955A (en) * 1980-11-12 1982-05-24 Hitachi Ltd Microwave plasma generating apparatus
AU544534B2 (en) * 1983-06-14 1985-06-06 Toyota Jidosha Kabushiki Kaisha Plasma coating
JPS6074626A (ja) * 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
US5364519A (en) * 1984-11-30 1994-11-15 Fujitsu Limited Microwave plasma processing process and apparatus
JPH0740566B2 (ja) * 1986-02-04 1995-05-01 株式会社日立製作所 プラズマ処理方法及びその装置
US4736304A (en) * 1986-04-07 1988-04-05 Energy Conversion Devices, Inc. Method and apparatus for operating one or more deposition systems
JPS63114973A (ja) * 1986-10-31 1988-05-19 Canon Inc マイクロ波プラズマcvd法による機能性堆積膜の形成装置
KR880013424A (ko) * 1987-04-08 1988-11-30 미타 가츠시게 플라즈머 장치
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JPH0192375A (ja) * 1987-10-05 1989-04-11 Canon Inc マイクロ波プラズマcvd法による機能性堆積膜形成装置
US4996077A (en) * 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US5084126A (en) * 1988-12-29 1992-01-28 Texas Instruments Incorporated Method and apparatus for uniform flow distribution in plasma reactors
US4943345A (en) * 1989-03-23 1990-07-24 Board Of Trustees Operating Michigan State University Plasma reactor apparatus and method for treating a substrate
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US4946549A (en) * 1989-10-27 1990-08-07 At&T Bell Laboratories Method for fabricating or modifying an article comprising the removal of a polymer coating
JP2966029B2 (ja) * 1990-03-30 1999-10-25 新日本無線株式会社 マイクロ波プラズマcvd装置
US5008593A (en) * 1990-07-13 1991-04-16 The United States Of America As Represented By The Secretary Of The Air Force Coaxial liquid cooling of high power microwave excited plasma UV lamps
FR2665323B1 (fr) * 1990-07-27 1996-09-27 Reydel J Dispositif de production d'un plasma.
JP3056772B2 (ja) * 1990-08-20 2000-06-26 株式会社日立製作所 プラズマの制御方法ならびにプラズマ処理方法およびその装置
US5082517A (en) * 1990-08-23 1992-01-21 Texas Instruments Incorporated Plasma density controller for semiconductor device processing equipment
US5273609A (en) * 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
US5173641A (en) * 1990-09-14 1992-12-22 Tokyo Electron Limited Plasma generating apparatus
EP0478283B1 (en) * 1990-09-26 1996-12-27 Hitachi, Ltd. Microwave plasma processing method and apparatus
US5111111A (en) * 1990-09-27 1992-05-05 Consortium For Surface Processing, Inc. Method and apparatus for coupling a microwave source in an electron cyclotron resonance system
JPH04144992A (ja) * 1990-10-01 1992-05-19 Idemitsu Petrochem Co Ltd マイクロ波プラズマ発生装置およびそれを利用するダイヤモンド膜の製造方法
US5217570A (en) * 1991-01-31 1993-06-08 Sony Corporation Dry etching method
US5234526A (en) * 1991-05-24 1993-08-10 Lam Research Corporation Window for microwave plasma processing device
DE4132558C1 (zh) * 1991-09-30 1992-12-03 Secon Halbleiterproduktionsgeraete Ges.M.B.H., Wien, At
US5211995A (en) * 1991-09-30 1993-05-18 Manfred R. Kuehnle Method of protecting an organic surface by deposition of an inorganic refractory coating thereon
US5234529A (en) * 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
US5282899A (en) * 1992-06-10 1994-02-01 Ruxam, Inc. Apparatus for the production of a dissociated atomic particle flow
US5306985A (en) * 1992-07-17 1994-04-26 Sematech, Inc. ECR apparatus with magnetic coil for plasma refractive index control
AU5098293A (en) * 1992-09-02 1994-03-29 University Of North Carolina At Chapel Hill, The Method for plasma processing at high pressures
US5389153A (en) * 1993-02-19 1995-02-14 Texas Instruments Incorporated Plasma processing system using surface wave plasma generating apparatus and method
US5567241A (en) * 1993-04-30 1996-10-22 Energy Conversion Devices, Inc. Method and apparatus for the improved microwave deposition of thin films
US5387288A (en) * 1993-05-14 1995-02-07 Modular Process Technology Corp. Apparatus for depositing diamond and refractory materials comprising rotating antenna
IT1261918B (it) * 1993-06-11 1996-06-04 Cetev Cent Tecnolog Vuoto Struttura per deposizione reattiva di metalli in impianti da vuoto continui e relativo processo.
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
US5498308A (en) * 1994-02-25 1996-03-12 Fusion Systems Corp. Plasma asher with microwave trap
EP0697467A1 (en) * 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US6029602A (en) * 1997-04-22 2000-02-29 Applied Materials, Inc. Apparatus and method for efficient and compact remote microwave plasma generation

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI417931B (zh) * 2005-10-28 2013-12-01 Edwards Ltd 電漿清除設備
US9333460B2 (en) 2005-10-28 2016-05-10 Edwards Limited Plasma treatment device
TWI736829B (zh) * 2018-07-24 2021-08-21 日商日立全球先端科技股份有限公司 電漿處理裝置及電漿處理方法
TWI704636B (zh) * 2019-03-08 2020-09-11 大陸商瀋陽拓荊科技有限公司 晶圓處理裝置

Also Published As

Publication number Publication date
US6230652B1 (en) 2001-05-15
JPH10284296A (ja) 1998-10-23
US6361707B1 (en) 2002-03-26
US6039834A (en) 2000-03-21
EP0863536A2 (en) 1998-09-09
KR100528357B1 (ko) 2006-01-27
JP4230556B2 (ja) 2009-02-25
KR19980079855A (ko) 1998-11-25
EP0863536A3 (en) 2000-11-02

Similar Documents

Publication Publication Date Title
TW451286B (en) Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6271148B1 (en) Method for improved remote microwave plasma source for use with substrate processing system
KR100271694B1 (ko) 기판 처리 장치로부터의 과플루오르 화합물 가스 방출을 감소시키기 위한 방법 및 장치
US20040118519A1 (en) Blocker plate bypass design to improve clean rate at the edge of the chamber
US5928426A (en) Method and apparatus for treating exhaust gases from CVD, PECVD or plasma etch reactors
KR100495783B1 (ko) 기판처리장치의인-시튜진공라인을세척하기위한평행판장치
KR100503127B1 (ko) 기판처리장치의인-시튜진공라인을세척하기위한마이크로파장치
CN105144849B (zh) 环形等离子体处理装置
US4846102A (en) Reaction chambers for CVD systems
TW490740B (en) Magnetic barrier for plasma in chamber exhaust
US4686113A (en) Plasma confinement in a low pressure electrically grounded R.F. heated reactor and deposition method
JP2010080972A (ja) 堆積シールドを具備するプラズマ反応炉
JP2012238881A (ja) 複数の基材を処理するための広域高周波プラズマ装置
KR19980071010A (ko) 높은 온도, 부식 및 플라즈마 환경에서의 세척 처리 방법 및장치
KR20010095208A (ko) 챔버로부터의 배출물을 모니터링하는 방법 및 장치와, 챔버 클리닝 장치
US20030066486A1 (en) Microwave heat shield for plasma chamber
JP2007149638A (ja) プラズマ生成方法及び装置並びにプラズマ処理装置
US5261960A (en) Reaction chambers for CVD systems
US5096534A (en) Method for improving the reactant gas flow in a reaction chamber
JPH0773997A (ja) プラズマcvd装置と該装置を用いたcvd処理方法及び該装置内の洗浄方法
JPH10189293A (ja) プラズマ処理装置
US20210202214A1 (en) Chamber Seasoning to Improve Etch Uniformity by Reducing Chemistry
US11251026B2 (en) Material deposition prevention on a workpiece in a process chamber
US5044315A (en) Apparatus for improving the reactant gas flow in a reaction chamber
US4500565A (en) Deposition process

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees