JP4377224B2 - ウェハを処理加工する方法、システム及びそのためのコンピュータプログラム - Google Patents

ウェハを処理加工する方法、システム及びそのためのコンピュータプログラム Download PDF

Info

Publication number
JP4377224B2
JP4377224B2 JP2003514592A JP2003514592A JP4377224B2 JP 4377224 B2 JP4377224 B2 JP 4377224B2 JP 2003514592 A JP2003514592 A JP 2003514592A JP 2003514592 A JP2003514592 A JP 2003514592A JP 4377224 B2 JP4377224 B2 JP 4377224B2
Authority
JP
Japan
Prior art keywords
processing
failure
wafer
recipe
condition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003514592A
Other languages
English (en)
Other versions
JP2005522018A5 (ja
JP2005522018A (ja
Inventor
ピー.レイス テリー
ピー.シャンムガサンドラム アルルクマー
ティー.スクワーム アレキサンダー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2005522018A publication Critical patent/JP2005522018A/ja
Publication of JP2005522018A5 publication Critical patent/JP2005522018A5/ja
Application granted granted Critical
Publication of JP4377224B2 publication Critical patent/JP4377224B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B23/00Testing or monitoring of control systems or parts thereof
    • G05B23/02Electric testing or monitoring
    • G05B23/0205Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults
    • G05B23/0259Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults characterized by the response to fault detection
    • G05B23/0286Modifications to the monitored process, e.g. stopping operation or adapting control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31357Observer based fault detection, use model
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31443Keep track of nc program, recipe program
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • Manufacturing & Machinery (AREA)
  • Quality & Reliability (AREA)
  • General Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Testing And Monitoring For Control Systems (AREA)
  • Maintenance And Management Of Digital Transmission (AREA)
  • Selective Calling Equipment (AREA)

Description

本発明は、一般に半導体製造法に関する。より具体的には、本発明は、故障検出(fault detection)の概念をラン間制御(run−to−run control)と統合することによって半導体を製造する技法に関する。
本出願は、2002年5月1日出願の米国出願番号10/135405および2001年7月16日出願の米国仮出願番号60/305140からの優先権を主張するものであり、両出願は本明細書に参照として組み込まれる。
通常の半導体製造処理加工では、半導体ウェハ、あるいは簡略して「ウェハ」が、いわゆるファブ(fab)の中にある多数のステーション(station)を経由して進行する。このアセンブリライン状の工程の各位置では、処理加工装置またはツール(tool)によってウェハを加工するための処理加工作業が行われる。たとえば、あるツール(例えば、成膜ツール)によってウェハに様々な層が追加され、それらの層を別のツール(例えば、エッチングツール)により加工して完全な半導体製品を形成する。
ウェハがアセンブリラインを移動する際、周期的な品質検査がウェハに対して行われる。この品質検査には通常、加工異常(aberration)に関するウェハ上の微視的な線の幅や膜厚を測定することが含まれる。様々な品質検査の内多くの検査では、測定が行われるのは、加工異常の原因となる処理加工作業に後続するいくつかの処理加工作業がウェハに対して行われた後である。さらに、通常は、加工異常の導入とその検出との間で一定の時間といくつかの処理加工工程が経過している。従って、加工異常の導入後でもいくつかの処理加工が行われることがある。同様に、加工異常が導入された後でもツールがウェハの処理加工を継続する可能性がある。どちらの場合でも、多数のウェハを廃棄せざるを得なくなる。
これらの問題のいくつかに対処する従来技術が知られている。ラン間制御および故障検出を含む例が2つある。
概括して説明すると、ラン間制御では、送出ウェハおよび受入ウェハからのデータを、処理加工パラメータを調節するモデル化技法と共に用いることにより、処理加工アウトプット(process output)のドリフト(drift)(すなわち処理加工目標からのドリフト)に対処する。これらのドリフトは、ツールがアウトプットをもたらす形態が通常のツール使用によってわずかに変化することに関係している。たとえば、化学機械研磨(CMP)処理加工では、膜圧を減ずるのに用いる研磨パッドが時間と共に磨耗する。その結果、磨耗したパッドは必然的に新しいパッドよりも所望の厚さを得るのに多くの時間を要することになる。ラン間制御を用いて、研磨パッドの磨耗などの問題を考慮して研磨時間等の処理加工パラメータを調節することにより、これらの種類の問題に対処できる。
ラン間制御では、1つまたは複数の処理加工工程で得られた計測データを用いて処理加工レシピ(すなわち処理加工成果を実現するのに要する所定の処理加工パラメータのセット)をラン間ベースで調整する。1つのランは、ウェハの製造処理加工の1つまたは複数の工程に相当する。それは、処理加工工程およびファブに関する特有の要件および能力に応じて、1バッチのウェハロットでも、1つのロットでも、またはウェハ1枚でもよい。一般に、ラン間制御では、各処理加工またはツールで測定したデータを用いて、各ツールのレシピの設定値に対して幾分かの修正または調節を施すことにより、ウェハ特性(たとえば、膜厚、均一性、等)をその公称値付近に維持する。通常の場合では、特定ツールでの処理加工工程中にまたはその直後に得られたデータをフィードバックして後続のラン用のレシピを調節する。同様に、次のツールにデータを送って、下流のレシピを調節してもよい。このようにして、ラン間制御を用いて処理加工アウトプットのドリフトに対処できる。
ラン間制御を用いて処理加工ドリフトに対処できるが、一方で、レシピ設定値に対して施される調節によらずツールが受入可能な製品を全く生産できなくなった状況では、ラン間制御は不十分である。同様に、ラン間制御ではウェハが欠陥(flaw)を含んでいる状況に対処していない。これらの状況は、ツールまたはウェハ特性故障と称する。ツールが故障(default)または障害(failure)条件に直面すると、ウェハに対して加工異常または欠陥が導入される。同様に、ウェハ特性故障により、そのウェハが修復できない条件にあることが示される。これらの条件を検出するためにいくつかの方法を用いることができる。たとえば、所与の処理加工作業の実行に要する温度からの著しい温度低下は、故障の前兆となり得る。故障条件の別の例としては、処理加工材料の流量のスパイク(spike)がある。これらの例では、ラン間制御装置は故障をドリフトとみなしており、単にツールのレシピを調節するだけでは問題に対処できなくても、そうすることによりこの状況を修復しようと試みる。このようにして、ツールは許容できる作業状態に戻されるのではなく、後続のウェハに加工異常を導入し続け、または欠陥ウェハに対する処理加工を続けることになり、その結果さらに無駄が増える。
故障検出では、ラン間制御とは対照的に、ツールおよびウェハの特性障害/故障条件を検出するために処理加工装置パラメータおよびウェハ属性を監視する。故障検出システムにより処理加工データを集め、処理加工装置の運転中に異常または故障に関して該データを分析する。故障を検出した場合、故障検出システムは種々の対処法をとり得る。たとえば、該システムは装置のオペレータに通知することもあり、あるいは処理加工装置の運用を停止することもあり得る。
故障検出は、ツールまたはウェハ特性の障害状態に対処するには適切であるが、処理加工のドリフトに対処するわけではない。したがって、ツールまたは処理加工が障害を受けるまでは、故障検出システムは活動しないままであり、ツールが最適作業条件からドリフトするのを放置することになる。
したがって、ウェハを処理するより効率的な方法が必要であることが明らかである。特に、必要となるのは、処理加工ドリフトと故障条件の両方に対処できるシステムである。
本発明は、ラン間制御技法と故障検出技法とを統合して上述の問題を解決するものである。具体的には、半導体ウェハおよび他の物品が、製造実行システムと共にラン間制御装置および故障検出システムを用いて処理加工される。まず、本発明のもう一つの実施形態に従って、ツールを制御するラン間制御装置により製造実行システムからレシピが受信される。このレシピは1つまたは複数の目標ウェハ特性を得るための設定値を含んでいる。次いで、故障検出システムおよび/またはセンサを用いて故障条件およびウェハ特性を含む処理加工属性を測定することによって、ウェハの処理加工が監視される。これらの処理加工属性は故障検出システムからラン間制御装置へ転送される。その結果、故障条件が故障検出システムで検出された場合を除き、処理加工属性に基づいてラン間制御装置によりレシピの設定値を修正して、目標ウェハ特性を維持することが可能である。
別の(または類似の)実施形態では、ウェハをやはりレシピに従って処理加工する。このレシピは1つまたは複数の目標ウェハ特性を得るための少なくとも1つの設定値を含んでいる。この技法は、ウェハ特性を測定すること、ならびに故障条件(たとえばウェハ故障か装置故障)を示す条件を検出することも含んでいる。故障条件が検出されない場合、レシピの設定値を測定されたウェハ特性に基づいて修正して、目標ウェハ特性を維持する。いくつかの実施形態では、故障条件を検出した場合、処理加工を停止する。
別の(または類似の)実施形態では、処理加工の実行前にウェハ特性を測定してもよい。さらに別の(または類似の)実施形態では、温度、圧力、パワー、処理加工時間、リフト位置および材料の流量を含むことができる1つまたは複数のレシピ設定値が修正される。
別の(または類似の)実施形態では、故障検出モデルを用いて、故障条件を示す条件の範囲を定義してもよい。これらの実施形態では、故障検出モデルを修正して、ラン間制御で修正されるレシピ設定値をパラメータとして組み込んでもよい。
本発明の種々の目的、特徴および利点はより深く理解することが可能であり、本発明に関する以下の詳細な説明を参照し、添付の図面と合せて考察すれば、これらに対する理解はさらに深まる。
本発明の1つまたは複数の実施形態に従って、半導体ウェハを処理加工するための技法が、ラン間制御装置および故障検出システムを用いる製造実行システムと共に提供される。より具体的には、この製造実行システムは、ツールを制御するラン間制御装置にレシピを転送する。このレシピは、1つまたは複数の目標ウェハ特性を得るための設定値を含んでいる。さらに、故障検出システムは、故障条件およびウェハ特性を含む処理加工属性を測定することによってウェハの処理加工を監視する。ラン間制御装置は、故障検出システムが故障条件を検出した場合を除いて、(故障検出システムならびに例えば他の情報集積源から受信した)処理加工属性に基づいてレシピの設定値を修正して、目標ウェハ特性を維持する。
図1は、本発明の少なくとも1つまたは複数の形態を実施するために用いる半導体製造システム100の一部のハードウェア構成図の少なくとも1つの例を示している。図1に示すように、半導体製造システム100は、他の構成要素の中でも、故障検出システム110、ラン間制御装置120、および1つまたは複数の処理加工機器またはツール150を含んでおり、それぞれネットワーク130を介して相互接続されている。上述のように、故障検出システム110は、1つまたは複数のツール150の監視と、故障条件の検出を目的とするウェハの監視とを担当する。ラン間制御装置120は、製造効率を高めることを目的としたツールレシピの修正を担当する。図1は、故障検出システム110とラン間制御装置120を分離したまたは別個の構成要素として示しているが、本発明の1つまたは複数の実施形態では、故障検出システム110とラン間制御装置120を同一計算ノードで実装することが企図される。
ラン間制御装置120および故障検出システム110に加えて、本発明の1つまたは複数の実施形態では、任意の個数の計測ツールまたはセンサ190を1つまたは複数のツール150の上流または下流に配置して、1つまたは複数のツール150によって処理加工する直前または直後のウェハを測定することが企図される。計測ツール190は、使用の際は、ネットワーク130を介してシステム100の他の部分にリンクさせることが可能である。同様に、入力されたウェハ特性を、上流ツールまたはフィードフォワードツール(たとえば、他のツールの上流に配置されたツール)から受信することも可能である。したがって、先の製造工程の終了時またはその間にセンサで他のツールでの特性を測定し、これを現行ツールで用いるために転送してもよい。このような計測ツール190の例としては、KLA−Tencor(米国California州San Jose)が提供するRS−75(登録商標)が含まれる。
1つまたは複数のツール150は、ウェハを処理加工して所望のアウトプットを得るために用いられる、任意の個数の種々の形式のツールとすることが可能である。例としては、CMPツール、リソグラフィツール、成膜ツールまたはエッチングツール等が含まれる。本発明の1つまたは複数の実施形態では、1つまたは複数のツールは制御装置152、任意の個数の処理加工チャンバ154、およびウェハ測定サブシステム156を含むことが可能である。以下に詳しく説明するように、制御装置152は故障検出システム110およびラン間制御装置120からの情報を用いて、ウェハを処理加工する。作業中は、まず受入ウェハ160が処理加工チャンバ154内に移動する。次いで、ウェハを処理加工した後、ツールの外部に送り出す。ある種の処理加工チャンバの例としては、デュアル型プラズマエッチチャンバおよびCMP研磨チャンバが含まれる。
ウェハ測定サブシステム156を用いて、ウェハ処理加工の前、ウェハ処理加工の間、および/またはウェハ処理加工の後にウェハ特性を測定する。これらの特性は、対象となるツールの種類によって決まり、膜厚、均一性、等を含んでよい。ウェハ測定サブシステム156は、処理加工中にウェハパラメータを実時間で測定することが可能なin−situ型センサを含んでよい。同様に、ウェハ測定サブシステム156は、ほぼ実時間の測定をするために、処理加工チャンバ154内またはその近傍に配置された一体型またはインライン型センサを含んでよい。in−situ型センサの例としては、Applied Materials,Inc.(米国、California州、Santa Clara)が提供するIn Site Removal Monitorが含まれる。一体型またはインライン型センサの例としては、計測技法を統合したツール(たとえば、イスラエル、RehovotのNova Measurement Instruments,Ltd.が提供するNova 2020(登録商標)、または米国California州Santa ClaraのNanometricが提供するNano9000(登録商標))が含まれる。
概括して説明すると、1つまたは複数のツール150は、プロセスレシピに従って、すなわち換言すると、加工処理成果を実現するのに要する所定のパラメータのセットに従って、受入ウェハ160に対して加工処理作業を行う。たとえば、通常のレシピは、所望のアウトプットを実現するのに要する任意の数の処理加工に対する1つまたは複数の設定値を定義することが可能である。したがって、レシピは、特定のウェハ結果をもたらすために必要な、温度、圧力、パワー、処理加工時間、リフト位置、および材料の流量を定めることが可能である。さらに、同様に他の特性を含んでもよい。本発明の1つまたは複数の実施形態に従って、制御装置152は、たとえば上流の計測ツール、および1つまたは複数のツール150の先行作業またはランから受け取った情報を用いて、必要であればこれらのレシピを修正する。これにより、受入ウェハの測定膜厚を、処理加工の前に先行ランの結果と共に制御装置152に提供してもよい。次いで、この情報を制御装置152が用いて、生産効率を向上するために処理加工レシピの1つまたは複数の設定値を修正してもよい。
処理加工中、ウェハ測定サブシステム156を用いて、任意の数のウェハ特性を測定してもよい。さらに、ウェハ特性を処理加工の直前または直後に測定してもよい。本発明の1つまたは複数の実施形態では、サブシステム156を用いて、(たとえばエンドポイント検出、等により)処理加工の終了を検出してもよい。処理加工が終了すると、ウェハはプロセスチャンバ154から出され、たとえば下流ツールに移送される。処理加工の終了時に集められた任意のウェハ特性を、ウェハ測定サブシステム156または他の計測ツールのいずれかを用いて、下流ツールに転送してもよい。同様に、測定された特性を、以降のランを修正するために、制御装置152、故障検出システム110、および/またはラン間制御装置120に転送してもよい。以下に示すように、任意の故障条件を検出するため、また後続の処理加工レシピを修正するために、故障検出システム110およびラン間制御装置120に転送されたデータを分析してもよい。
図2を参照すると、半導体製造システム100に実装可能な制御システム200の一例が示されている。図2に示すように、制御システム200は制御処理部210、故障検出処理部220、ラン間処理部230、およびウェハ測定処理部240を含んでいる。制御処理部210によって、1つまたは複数のツール150の作業が、たとえば制御アルゴリズム等を用いて制御される。たとえば、制御処理部210は、ウェハを処理加工するために用いるツールまたは処理加工レシピの選定を担当する。この処理加工レシピは、システム200に、たとえば処理加工技術者等が入力またはダウンロードしてよい。レシピは、部分的に、任意の個数の目標特性によって特定される、所望の成果または生産すべき最終製品を定義する。これらの目標特性には、たとえば、CMPツールによって与えられる所望の最終膜厚が含まれてもよい。さらに、制御処理部210は、たとえば上流の計測ツールから任意の数のプレウェハ測定値214も受け取る。これらの測定値は、受入ウェハの特徴を制御処理部210に対して示し、以下に説明するようにレシピ設定値を決定するのに用いられる。
これらの入力(すなわちレシピ212および測定値214)を用いて、制御処理部210は、所望の成果を実現するために特定の設定値を生成する。当業者には周知であるように、制御処理部210は、たとえば、一定の入力に基づいて予測アウトプットを予測するモデルを用いて、目標特性および測定値214を分析する。この場合、目標特性(たとえば、膜厚)およびプレウェハ測定値(たとえば、実際の厚さ)が入力される。次いで、モデルに基づいて、所望の目標特性を得るために必要な設定値を予測することができる。本発明の1つまたは複数の実施形態では、このモデルは、たとえばシステムの初期化段階の間に処理加工技術者等によって入力または実装されてもよい。一般的に言えば、任意の好適な半導体ウェハ製造モデルを用いてよい。
使用中、任意の個数のウェハ特性の測定値が、加工処理の前、加工処理の間、および/または加工処理の後にウェハ測定システム240によって集められる。次いで、これらの特性は、ラン間処理部230に転送される。ラン間処理部230は、ウェハ測定システム240で測定したウェハ特性を分析し、効率向上のためにツールの処理加工レシピに対して(たとえば、制御処理部210により)何らかの修正が可能であるかを判断する。例として、上述のように、CMP研磨作業中は、研磨パッドが使用に伴い磨耗する傾向がある。その結果、磨耗した研磨パッドは、特定の膜厚を得るのに新品のパッドよりも長い研磨時間を要する。長い時間を要することを認識し、必要な場合に(パッドが磨耗した場合に)研磨時間を長くするよう研磨ツールを指向する目的でラン間処理部230を使用してもよい。したがって、ラン間処理部による分析結果を制御処理部210に転送して、後続の作業での処理加工ドリフトの対処に使用することができる。
本発明の1つまたは複数の実施形態によると、システム200では、故障検出処理部220を用いて故障条件を検出する。以下に詳しく説明するように、故障検出処理部220は、処理加工中に、たとえばin−situ型または一体型センサにより集められたデータを使用する。1つまたは複数の実施形態では、これらの目的のために故障検出指標を生成することを想定している。具体的には、この指標を制御処理部210が使用して、処理加工レシピに対して調整をするかどうかを判断することができる。たとえば、故障検出指標、およびラン間制御処理部230によって与えられた分析結果(これらは共に本発明で用いる処理加工属性の少なくともいくつかを構成している)を制御処理部210に転送し、これら分析をし、プロセスレシピに対して変更を行うべきかどうかを判断する。たとえば、ラン間制御処理部230はレシピに対する修正を生成し、故障検出処理部220は、修正を実行すべき時または実行すべきでない時を判別する。したがって、制御処理部210は、レシピを修正できるのは、好適である場合(すなわち、対処可能な問題または非効率を改善する方法でツールレシピを調節してよい場合)だけである。したがって、システム200は、「故障」状態においては、ラン間技法により生成された修正が実施されるのを止めることができる。
図3は、本発明の製造処理加工を制御するために実施可能な処理手順の少なくとも1つの例を示している。ここで図3を(図1と共に)参照すると、少なくとも本実施形態では、処理手順は、ウェハ特性を測定するステップ(STEP304)で始まる。具体的には、処理加工前のウェハ特性を、それらがツール(たとえば、1つまたは複数のツール150)に到着する前に測定してよい。上述したように、上流のツールに配置した事後測定センサ、in−situ型センサ、一体型またはインライン型センサ、あるいは他の類似のデバイス中からいずれを用いてもよい。この時点で、任意の数のウェハ特性を測定してもよく、それには、たとえば、膜厚、均一性、臨界寸法、パーティクル数、等が含まれる。これらのウェハ特性を測定した後、データをラン間制御装置120に転送する(STEP308)。
ラン間制御装置120に測定データを転送することと相まって、対応するウェハが、任意の関連する処理加工情報と共に1つまたは複数のツール150に移送される(STEP312)。さらに、製造実行システム(MES)が、ウェハを処理加工する1つまたは複数のツール150で用いられる特定のレシピに関係しこれを識別する情報を送信する。当業者には周知のように、MESは、使用する特定のチャンバ、任意の処理加工手順、ツールのルーチン情報、設定、等を定めることが可能である。同様に、MESは通常、アウトプットの製品の運用および生産に要する諸加工処理および諸資源のそれぞれに対する自動化、統合、および調整を担当する。
次いで、1つまたは複数のツール150がその製造処理加工を実行する(STEP316)。より具体的には、1つまたは複数のツール150は、ラン間制御装置120および/または故障検出システム110により提供された任意の情報と共に、MESから受信した情報に従って、ウェハを加工処理する。後述で説明するように、ラン間制御装置120から受信した情報を用いて、無故障時にMESにより提供されるレシピを修正または調節しても良い。
処理加工中に、後述で説明するように、故障検出システム110がツール故障またはツール障害に関してツールを監視し、ウェハ特性障害に関してウェハを監視する(STEP320)。故障検出システムが行った分析、換言すれば、故障が検出されたかどうかが、ラン間制御装置120に転送される(STEP324)。たとえば、故障の有無を判別するために故障検出指標が(故障検出システム110から)制御装置120に送られる。本発明の1つまたは複数の実施形態によると、次いでこの情報を用いて、レシピをラン間技法に従って修正するべき(および修正するべきでない)時を判断する。
ツールによる加工処理が実行を完了した後、処理加工後測定ステップでウェハに対する測定が行われる(STEP328)。本発明の1つまたは複数の実施形態では、測定はツール上の一体型センサを用いて行ってよい。同様に、他の種類のセンサを用いてもよい。次いで、この情報を用いて、本明細書で説明するように、後続のレシピを修正する。
本発明の1つまたは複数の実施形態により、また上述したように、ラン間制御装置120は、処理加工後測定を故障検出情報と共に用いてツールレシピを修正する。具体的には、まず、処理加工でツールまたはウェハ特性故障が現れているかを判断する(STEP332)。たとえば、後述で詳細に説明するように、故障検出システム110が生成した故障検出指標(たとえば、ウェハおよび/またはツール上に存在する1つまたは複数の条件を示す1つまたは複数の数値)を、たとえばラン間制御装置120が受入れ可能な範囲との比較を行う。指標が受入れ不可能であれば、故障が生じたことになる。故障が生じた場合、ツール故障が生じたランから集められた処理加工後測定値は、後続のレシピを修正する目的で使用されることはない(STEP336)。さらに、全体的に、加工処理を停止してもよい。一方、故障は生じていないと処理手順で判断された場合、レシピは本発明のラン間技法に基づいて修正される(STEP340)。このようにして、ツール故障が生じていない状況ではレシピが修正される。
図4は、本発明の1つまたは複数の概念によるラン間制御手順を実施するのに使用可能な処理手順の少なくとも1つの例を示す。まず、先行の処理加工またはツールから処理加工後ウェハ特性を測定し、本発明のラン間および故障検出技法が実装されるツールに転送される(STEP404)。測定値は、上流ツール、または上流処理加工の後でかつ現行のツールの前に位置する計測ツールから得てもよい。同様に、測定値を現行のツール自体から得てもよく、あるいは他の任意の類似デバイスで、または処理加工の前の位置で得てもよい。
ある種の例では、上流での測定は妥当なものではない。たとえば、いくつかのツールまたは処理加工で各ウェハを測定することは時間の浪費となる。このような状況では、各ウェハまたはランを測定することはない。たとえば、測定を1ラン置きにまたは2ラン置きに行わない可能性がある。したがって、これらのウェハからの処理加工後測定は妥当ではなく、またはこの処理手順で検討される。このため、上流測定値を調べてそれらが妥当な測定値であるかを判断する(STEP408)。妥当でない場合、ラン間制御装置120は測定された上流測定値を無視し、先行ランの設定値を用いて処理加工を継続する(STEP432)。妥当である場合、ツールの処理加工レシピの修正にこの測定値を用いることができる。
本発明の少なくともいくつかの実施形態により、また後述で詳細に説明するように、レシピに対する修正値を変数として故障検出モデルに取り入れてもよい。これらの実施形態では、ラン間制御装置120が実施したレシピ設定値の任意の変更が故障検出システム110に転送され、次に、故障検出システム110はこれらのレシピ修正値を用いて新しい故障条件範囲を識別する。このようにして、レシピ変更がラン間制御装置120により実施された場合でも、故障検出システム110は感度良好な形(すなわち、修正した任意のレシピ設定値に適合するように故障条件の範囲を調節してある)で動作することが可能である。
これまで説明し記載した実施形態の具体的な諸ステップおよびその順序は例示的なものであり、他の追加、省略および構成も本発明で企図されていることを理解されたい。たとえば、ラン間制御装置で受信したすべての処理加工属性がまず故障検出システムを介して送られる(またはそこから発せられる)ことも想定している。このような実施形態では、故障を検出した場合、ラン間制御装置は、任意の処理加工属性を受信するのではなく、たとえば故障警報だけを受け取ることになろう。
本発明の1つまたは複数の形態により、また上述したように、ツール故障が生じたまたはウェハ特性障害が生じたツールで処理加工したランまたはウェハは、後続のランのためにラン間処理手順で検討されることはない。そのため、継続する前に、処理加工ツールに関する故障検出情報を検討する(STEP416)。具体的には、先行ランの障害または故障条件が検出された場合、ツールレシピは修正されない(STEP412)。さらに、エラーメッセージを表示して、全体的に処理加工を休止してもよい。
先行ランで故障が検出されなかった場合、データに対する必要な任意の変換が行われる(STEP420)。たとえば、センサで読み取った未加工データをより有意義な形態のものに変換してよい。一例として、均一性パラメータでは多数の測定値間の比率が必要となる。このため、この例では、測定値それぞれの比率を計算する。同様に、膜圧の平均値では、測定された膜厚すべての平均値を求める変換が必要となる。また、全体として、ある種の測定値については変換が不要となる。
任意の変換を(必要に応じて)行った後、制御処理手順アルゴリズムを実行して、次の予測アウトプットを推定する(STEP424)。概括して説明すると、アルゴリズムでは、様々なモデル化技法、ツールレシピ、受入ウェハおよび先行処理加工ランに関する情報を利用して、ツールがもたらすと予測されるアウトプットを定める。たとえば、あるモデルを用いて、圧力、パワー、ガス流、等のパラメータに対応する設定値に基づいて、特定のアウトプット膜厚を予測してよい。
制御アルゴリズムにより次のアウトプットが予測されると、このアウトプットは仕様限界値と比較される(STEP428)。仕様限界値は、ウェハ特性の許容限界値を示すものである。アウトプットが仕様限界値内にある場合(すなわち、予測アウトプットが許容範囲内にある場合)、修正は不要であり、先行ランで用いられた同一の設定値が再び使用される(STEP432)。一方、予測アウトプットが仕様限界値を超えている場合、予測アウトプットをツールの許容取扱範囲と比較する(STEP436)。ツールの取扱範囲とは、実現可能なツールの性能を示すものである。ツールの取扱範囲が不十分であるために予測アウトプットを仕様限界値内にすることが不可能である場合、その結果、所望の結果を得ることはできなくなる。この場合、処理手順は結果を無視し、エラーメッセージを表示し、また、たとえば処理加工を停止する(STEP440)。
予測アウトプットが仕様限界値の外にあるものの、ツールの取扱範囲内にある場合は、ツールレシピに対して修正を行ってよい(STEP444)。特に、レシピの1つまたは複数の設定値を、標準的なモデル化技法に従って修正する。多くの場合、当業者には周知であるように、これらのモデルは処理加工技術者によって設計され、施設の初期化段階の際にシステム100にダウンロードされるものである。所望の製品を得るのに必要な調節が推定されると、処理加工が実行される(STEP448)。このようにして、本発明の1つまたは複数の実施形態では、制御アルゴリズムが、1つまたは複数の目標ウェハ特性(すなわち、所望のアウトプット)、測定された受入ウェハ特性、ならびに、ラン間および故障検出技法により決定したツールレシピに対する修正値を用いて、半導体ウェハを効率的に生産する。
図5は、本発明の1つまたは複数の実施形態による故障検出制御手順を実施するために用いる処理手順の少なくとも1つの例を示す。まず、故障検出システム110が、ツールまたは処理加工で実施するレシピを識別する(STEP504)。使用しているレシピに従って、故障検出モデルが構築または選択される(STEP508)。当業者には周知のように、故障検出モデルを用いて、故障条件を示す条件範囲を定義してよい。すなわち、レシピに特別に関係するモデルを用いる。
故障検出モデルを選択した後、製造処理加工が開始され、その間、センサを用いて、膜厚、均一性、等のウェハ特性が実時間で集められる。あるいは、処理加工の前または後にウェハ特性を集めてもよい。これらの特性を故障検出モデルと比較して、故障検出指標または故障イベント(すなわち、トリガ)を生成する。当業者には周知のように、任意の数の方法を使用して故障検出指標を生成してよい。たとえば、統計的プロセス制御、ニューラルネットワーク、またはモデルベースの解析技法、等のいずれを用いてもよい。上記指標は、ツールが生産するウェハの最適度を示すものである。したがって、上記指標は、ツール故障またはツール障害を示す所定の値と比較してよい。上述したように、上記指標は、ウェハ生産を最適化する際に本発明で用いられるウェハ処理加工属性の少なくとも一部を構成するものである。たとえば、上述したように、ラン間制御装置120は、故障条件を生じたツールが生産したランから測定されたウェハ特性を無視してよい。
上述で簡単に説明したように、本発明の少なくとも幾つかの実施形態では、ラン間制御装置120によってなされたレシピに対する修正値を故障検出モデルに独立パラメータとして取り入れることが企図される。このようにして、故障検出システム110は、レシピ変更を取り込むように故障条件範囲を再定義して、システム感度を向上することができる。
より具体的には、レシピ設定値に対する変更または修正に従って、かつこれを考慮して、故障検出境界を再定義してよい。特に、レシピ設定値の修正に従って故障条件範囲を調整することにより、故障検出モデルでより範囲の狭い故障条件を定めてもよい。本発明の少なくともいくつかの実施形態では、故障条件範囲は、レシピ設定値から一定の間隔で設定してよい。したがって、これらの実施形態では、設定値が修正されると、それに応じた、故障条件範囲に対する修正がなされる。
一例として、一次元の場合、特定の目標特性を得るための固定されたレシピ設定値を初期値で設定する(たとえば、50単位量)。このレシピに関連する故障検出モデルに従って、最初に故障条件境界を所与の範囲(たとえば48単位量と52単位量)に設定してよい。これにより、所与の範囲から外れたウェハ特性の実測値(たとえば、52単位量より上、および48単位量未満)は、故障条件となる。これらの故障条件の下で、上述したように、処理加工は、たとえば停止される。
処理加工中、ラン間制御装置120によるレシピ設定値に対する修正を、処理加工アウトプットドリフトに対処するように行ってもよい。すなわち、上述の例では、ラン間制御装置120はレシピ設定値を高くし(たとえば、50から53単位量)、それにより意図せず故障条件が生じる場合がある。通常のラン間修正を考慮するために、一つの解決法は、故障条件の範囲を広げることである(たとえば、43単位量および57単位量)。ただし、この解決法では、故障検出性能の感度が低下する。この問題を緩和するために、本発明の実施形態では、修正された設定値を故障検出モデルに組み込んで、設定値からの間隔に基づいて故障条件境界を生成することが企図される。このようにすると、ラン間技法を故障検出概念に組み込むことによりシステムの感度が犠牲になることはない。したがって、この例では、故障条件の範囲は51で51から55にリセットされる。
多次元を統合した場合も同様である。この場合、無故障条件領域は、多次元設定値の座標点からある間隔だけ離れていることとして認識することができる。レシピ中の設定値を定義する座標点の内1つまたは複数の座標点がラン間制御装置120によって修正されると、故障条件境界の範囲は、操作されているレシピパラメータの関数として再定義される。
さらに、多入力多出力の場合の少なくともいくつかの実施形態では、予測出力値と出力実測値との間隔を故障検出の計量値として使用してよい。したがって、予測値と実際値との差を使用して故障条件境界を決定してもよい。
図6は、図2のシステム100の構成要素の可能ないずれかに関する内部ハードウェア640の一例の構成図を示しており、この例としては、Intel Corporation(米国California州、Santa Clara)が製造するPentium(登録商標)ベースのプロセッサを有するコンピュータ等の、多数の様々なコンピュータのいずれかが含まれる。バス656は、システム100の他の構成要素を相互接続する主要情報リンクとして作用する。CPU658は、システムの中央演算装置であり、本発明の処理手順ならびに他のプログラムを実行するのに必要な計算や論理演算を行う。読取専用メモリ(ROM)660およびランダムアクセスメモリ(RAM)662は、システムの主要メモリ部を構成している。ディスク制御装置664により、1つまたは複数のディスク駆動装置がシステムバス656にインターフェース接続される。これらのディスク駆動装置は、たとえば、フロッピー(登録商標)ディスク駆動装置670、CD ROMまたはDVD(デジタルビデオディスク)駆動装置666、または内部または外部ハードディスク駆動装置668である。CPU658は、任意の個数の様々なプロセッサであってよく、それにはIntel CorporationやMotorola(米国Illinois州、Schaumberg)が製造するプロセッサが含まれる。メモリ/記憶装置は、DRAMおよびSRAM、ならびに磁気および光媒体を含む種々の記憶装置など、任意の個数の様々なメモリ装置であってよい。さらに、メモリ/記憶装置は伝送形式をとることも可能である。
ディスプレイインターフェース672は表示装置648とインターフェース接続しており、バス656からの情報を表示装置648に表示できるようにしている。表示装置648は、場合による付属品である。上述のシステムの他の構成要素など外部装置との通信は、たとえば通信ポート674を用いて行われる。たとえば、ポート674を、計測ツール190にリンクしたバス/ネットワークにインターフェース接続してよい。光ファイバおよび/または電気ケーブルおよび/または導体および/または光通信(たとえば赤外線等)および/または無線通信(たとえば無線周波数(RF)等)を、外部装置と通信ポート674との間の移送媒体として用いることが可能である。周辺インターフェース654は、キーボード650およびマウス652とインターフェース接続しており、入力データをバス656に送信できるようにしている。これらの構成要素に加えて、場合によって制御システムは赤外線送出器678および/または赤外線受光器676も含む。赤外線送出器は、当コンピュータシステムが、赤外線信号送信を介してデータを送受信する処理構成部品/ステーションの1つまたは複数と共に用いられる場合に、任意選択として用いられる。制御システムは場合によって、赤外線送出器または赤外線受光器を用いる代わりに、低パワーの無線送信器680および低パワーの無線受信器682を用いてもよい。低パワー無線送信器は、生産処理加工用の構成要素が受信するための信号を送信し、それらの構成要素から低パワー無線受信器を介して信号を受信する。
図7は、モデル、レシピ等を含むコンピュータ可読コードまたは命令を記憶するのに使用できる例示的なコンピュータ可読メモリ媒体784を示している。一例として、媒体784は、図6に示したディスク駆動装置と共に用いてよい。通常、フロッピー(登録商標)ディスクまたはCD ROMまたはデジタルビデオディスク等のメモリ媒体は、たとえば、シングルバイト言語用のマルチバイトのロケール(locale)、ならびに上記システムを制御してコンピュータが本明細書に記載した機能を実行できるようにするプログラム情報を含んでいる。あるいは、ROM660および/またはRAM662を、現行の処理加工に関連する演算を行う中央演算装置658に命令するために用いるプログラム情報を記憶するために用いることも可能である。情報を記憶するための適切なコンピュータ可読媒体の他の例は、磁気的、電子的、または光学的(ホログラフィ的なものを含む)な記憶装置、あるいはそれらの組合せ、等を含んでいる。さらに、本発明の少なくともいくつかの実施形態では、コンピュータ可読媒体が伝送されるものであることが企図されている。
本発明の実施形態では、上述した本発明の種々の形態を実施するためのソフトウェアの様々な部分がメモリ/記憶装置に常駐できることが企図される。
概して、本発明の実施形態の様々な構成要素が、ハードウェア、ソフトウェア、またはそれらの組合せで実装できることに留意されたい。このような実施形態では、様々な構成要素およびステップは、ハードウェアおよび/またはソフトウェアで実装されて本発明の機能を実行する。現在使用可能であるか、将来開発される任意のコンピュータソフトウェア言語および/またはハードウェア構成要素が、本発明のそのような実施形態で使用できる。たとえば、上述した機能の少なくとも一部は、BASIC、C、C++、または他のプログラムまたはスクリプト言語(たとえば、TCL、Pearl、Java(登録商標)またはSQL)を用いて実装できよう。
これまで説明した本発明の特定の実施形態は本発明の全般的な原理を単に例示したものであることも理解されたい。様々な変更が、先に示した原理に矛盾せずに当業者により行うことが可能である。
本発明の諸概念の少なくともいくつかを実施するために用いる半導体製造システムの一部の少なくとも1つの例を示すハードウェア構成図である。 半導体ウェハを生産するための図1の半導体製造システムにより実装可能な制御システムの少なくとも1つの例を示す図である。 本発明の1つまたは複数の実施形態の製造処理加工を制御するために実施可能な処理手順の少なくとも1つの例を示す図である。 本発明の1つまたは複数の実施形態のラン間制御手順を実施するために使用可能な処理手順の少なくとも1つの例を示す図である。 本発明の1つまたは複数の実施形態の故障検出制御手順を実施するために使用可能な処理手順の少なくとも1つの例を示す図である。 本発明の1つまたは複数の実施形態の一部として企図され、かつそれと共に用いる計算装置の形態を示す高レベル構成図である。 本発明の1つまたは複数の実施形態のコンピュータ実施可能な処理手順を記憶するために使用できるメモリ媒体の一例を示す図である。

Claims (66)

  1. 工程毎フィードバック制御装置を故障検出システムと共に用いて製造実行システムでウェハを処理加工する方法であって、
    1)ツールを制御するレシピを前記工程毎フィードバック制御装置内に受信するステップであって、前記レシピは1つまたは複数の目標ウェハ特性を得るための少なくとも1つの設定値を含む、ステップと、
    2)前記故障検出システムで識別したウェハ特性および故障条件を含む処理加工属性を測定することにより前記ウェハの処理加工を監視するステップと、
    3)前記処理加工属性を前記工程毎フィードバック制御装置に転送するステップと、
    4)前記レシピの前記少なくとも1つの設定値が前記測定された処理加工属性によって修正されないという故障条件が前記故障検出システムによって検出された場合を除き、前記目標ウェハ特性を維持するように、前記測定された処理加工属性に従って前記工程毎フィードバック制御装置で前記レシピの少なくとも1つの設定値を修正するステップと
    5)前記レシピの前記修正された設定値を、前記故障条件の条件範囲を調整するために前記故障検出システムに組み込むステップと、
    を有することを特徴とする方法。
  2. 処理加工を実行する前にウェハ特性を測定するステップをさらに有することを特徴とする請求項1に記載の方法。
  3. 前記測定された処理加工属性から故障検出指標を生成するステップと、前記少なくとも1つの設定値を修正する目的で前記指標を前記工程毎フィードバック制御装置に転送するステップとをさらに有することを特徴とする請求項1に記載の方法。
  4. 前記修正するステップは、予測アウトプットを許容ツール仕様限界値と比較するステップを有することを特徴とする請求項1に記載の方法。
  5. 前記修正するステップは、予測アウトプットを許容ツール取扱範囲と比較するステップを有することを特徴とする請求項1に記載の方法。
  6. 故障条件を検出すると前記処理加工を停止するステップをさらに有することを特徴とする請求項1に記載の方法。
  7. 前記少なくとも1つの設定値は2つ以上の設定値であることを特徴とする請求項1に記載の方法。
  8. 前記少なくとも1つの設定値は、温度、圧力、パワー、処理加工時間、リフト位置、および材料流量の内少なくとも1つを含んでいることを特徴とする請求項1に記載の方法。
  9. 前記故障条件はツール故障を含むことを特徴とする請求項1に記載の方法。
  10. 前記故障条件はウェハ特性故障を含むことを特徴とする請求項1に記載の方法。
  11. ウェハ故障が検出された場合、前記測定されたウェハ特性を用いて前記レシピを修正しないことを特徴とする請求項1に記載の方法。
  12. ウェハを処理加工する方法であって、
    1)レシピに従って前記ウェハを処理加工するステップであって、前記レシピは1つまたは複数の目標ウェハ特性を得るための少なくとも1つの設定値を含むステップと、
    2)ウェハ特性を測定するステップと、
    3)故障検出システムを用いて故障条件を示す条件を検出するステップと、
    4)故障条件がない場合に、前記目標ウェハ特性を維持するように、前記測定されたウェハ特性に基づいて前記レシピの少なくとも1つの設定値を修正し、故障条件がある場合に、前記測定されたウェハ特性に基づいて前記レシピの少なくとも1つの設定値を修正しないステップと
    5)前記レシピの前記修正された設定値を、前記故障条件の条件範囲を調整するために前記故障検出システムに組み込むステップと、
    を有することを特徴とする方法。
  13. 故障条件が検出された場合、処理加工を停止することを特徴とする請求項12に記載の方法。
  14. 前記測定するステップは処理加工中に行われることを特徴とする請求項12に記載の方法。
  15. 前記測定するステップは処理加工後に行われることを特徴とする請求項12に記載の方法。
  16. 製造実行システムでウェハを処理加工するシステムであって、
    前記製造実行システムから受信したレシピに従ってツールを制御する工程毎フィードバック制御装置であって、前記レシピは1つまたは複数の目標ウェハ特性を得るための少なくとも1つの設定値を含む、工程毎フィードバック制御装置と、
    ウェハ特性を含む処理加工属性を測定するセンサと、
    前記ウェハ特性を監視して故障条件を示す条件を検出し、前記条件を前記工程毎フィードバック制御装置に転送する故障検出器とを備え、
    前記少なくとも1つの設定値が前記処理加工属性によって修正されないという故障条件が前記故障検出器によって検出された場合を除き、前記目標ウェハ特性を維持するように、前記処理加工属性に従って前記レシピの少なくとも1つの設定値修正され、
    前記レシピの前記修正された設定値は、前記故障条件の条件範囲を調整するために前記故障検出器に組み込まれる、
    ことを特徴とするシステム。
  17. 処理加工を実行する前にウェハ特性を測定するセンサをさらに備えることを特徴とする請求項16に記載のシステム。
  18. 前記故障検出器は前記測定された処理加工属性から故障検出指標を生成し、前記少なくとも1つの設定値を修正する目的で前記指標を前記工程毎フィードバック制御装置に転送することを特徴とする請求項16に記載のシステム。
  19. 前記工程毎フィードバック制御装置は、予測アウトプットを許容ツール仕様限界値と比較することにより前記少なくとも1つの設定値を修正することを特徴とする請求項16に記載のシステム。
  20. 前記工程毎フィードバック制御装置は、予測アウトプットを許容ツール取扱範囲と比較することにより前記少なくとも1つの設定値を修正することを特徴とする請求項16に記載のシステム。
  21. 故障条件を検出すると、前記工程毎フィードバック制御装置は処理加工を停止することを特徴とする請求項16に記載のシステム。
  22. 前記少なくとも1つの設定値は2つ以上の設定値であることを特徴とする請求項16に記載のシステム。
  23. 前記少なくとも1つの設定値は、温度、圧力、パワー、処理加工時間、リフト位置、および材料流量の内少なくとも1つを含んでいることを特徴とする請求項16に記載のシステム。
  24. 前記故障条件はツール故障を含むことを特徴とする請求項16に記載のシステム。
  25. 前記故障条件はウェハ特性故障を含むことを特徴とする請求項16に記載のシステム。
  26. 工程毎フィードバック制御装置を故障検出システムと共に用いて製造実行システムでウェハを処理加工するシステムであって、
    ツールを制御するレシピを前記工程毎フィードバック制御装置内に受信する手段であって、前記レシピは1つまたは複数の目標ウェハ特性を得るための少なくとも1つの設定値を含む、手段と、
    前記故障検出システムで識別したウェハ特性および故障条件を含む処理加工属性を測定することにより前記ウェハの処理加工を監視する手段と、
    前記処理加工属性を前記工程毎フィードバック制御装置に転送する手段と、
    前記レシピの前記少なくとも1つの設定値が前記処理加工属性によって修正されないという故障条件が前記故障検出システムによって検出された場合を除き、前記目標ウェハ特性を維持するように、前記処理加工属性に従って前記工程毎フィードバック制御装置で前記レシピの少なくとも1つの設定値を修正する手段であって、前記レシピの前記修正された設定値は前記故障条件の条件範囲を調整するために前記故障検出システムに組み込まれる手段と
    を備えることを特徴とするシステム。
  27. 処理加工を実行する前にウェハ特性を測定する手段をさらに備えることを特徴とする請求項26に記載のシステム。
  28. 前記測定された処理加工属性から故障検出指標を生成する手段と、前記設定値を修正する目的で前記指標を前記工程毎フィードバック制御装置に転送する手段とをさらに備えることを特徴とする請求項26に記載のシステム。
  29. 前記修正する手段は、予測アウトプットを許容ツール仕様限界値と比較する手段を備えることを特徴とする請求項26に記載のシステム。
  30. 前記修正する手段は、予測アウトプットを許容ツール取扱範囲と比較する手段を備えることを特徴とする請求項26に記載のシステム。
  31. 故障条件を検出すると前記処理加工を停止する手段をさらに備えることを特徴とする請求項26に記載のシステム。
  32. 前記故障条件はツール故障を含むことを特徴とする請求項26に記載のシステム。
  33. 前記故障条件はウェハ特性故障を含むことを特徴とする請求項26に記載のシステム。
  34. ウェハを処理加工するシステムであって、
    レシピに従って前記ウェハを処理加工する手段であって、前記レシピは1つまたは複数の目標ウェハ特性を得るための少なくとも1つの設定値を含む手段と、
    ウェハ特性を測定する手段と、
    故障条件を示す条件を検出する手段と、
    故障条件がない場合に、前記目標ウェハ特性を維持するように、前記測定されたウェハ特性に基づいて前記レシピの少なくとも1つの設定値を修正し、故障条件がある場合に、前記測定されたウェハ特性に基づいて前記レシピの少なくとも1つの設定値を修正しない手段であって、前記レシピの前記修正された設定値は前記故障条件の条件範囲を調整するために前記故障条件を示す条件を検出する手段に組み込まれる手段と、
    を備えることを特徴とするシステム。
  35. 故障条件が検出された場合、処理加工を停止することを特徴とする請求項34に記載のシステム。
  36. 工程毎フィードバック制御装置を故障検出システムと共に用いて製造実行システムでウェハを処理加工するためのコンピュータプログラムであって
    ツールを制御するレシピを工程毎フィードバック制御装置内に受信するコンピュータ可読命令であって、前記レシピは1つまたは複数の目標ウェハ特性を得るための少なくとも1つの設定値を含む、コンピュータ可読命令と、
    前記故障検出システムで識別したウェハ特性および故障条件を含む処理加工属性を測定することにより前記ウェハの処理加工を監視するコンピュータ可読命令と、
    前記処理加工属性を前記工程毎フィードバック制御装置に転送するコンピュータ可読命令と、
    前記レシピの前記少なくとも1つの設定値が前記測定された処理加工属性によって修正されないという故障条件が前記故障検出システムによって検出された場合を除き、前記目標ウェハ特性を維持するように、前記測定された処理加工属性に従って前記工程毎フィードバック制御装置で前記レシピの少なくとも1つの設定値を修正し、前記修正された設定値を、前記故障条件の条件範囲を調整するために前記故障検出システムに組み込むコンピュータ可読命令と
    を有することを特徴とするコンピュータプログラム
  37. 処理加工を実行する前にウェハ特性を測定するコンピュータ可読命令をさらに有することを特徴とする請求項36に記載のコンピュータプログラム
  38. 前記測定された処理加工属性から故障検出指標を生成するコンピュータ可読命令と、前記設定値を修正する目的で前記指標を前記工程毎フィードバック制御装置に転送するコンピュータ可読命令とをさらに有することを特徴とする請求項36に記載のコンピュータプログラム
  39. 前記修正するコンピュータ可読命令は、予測アウトプットを許容ツール仕様限界値と比較するコンピュータ可読命令を有することを特徴とする請求項36に記載のコンピュータプログラム
  40. 前記修正するコンピュータ可読命令は、予測アウトプットを許容ツール取扱範囲と比較するコンピュータ可読命令を含むことを特徴とする請求項36に記載のコンピュータプログラム
  41. 故障条件を検出すると前記処理加工を停止するコンピュータ可読命令をさらに有することを特徴とする請求項36に記載のコンピュータプログラム
  42. 前記故障条件はツール故障を含むことを特徴とする請求項36に記載のコンピュータプログラム
  43. 前記故障条件はウェハ特性故障を含むことを特徴とする請求項36に記載のコンピュータプログラム
  44. ウェハを処理加工するためのコンピュータプログラムであって
    レシピに従って前記ウェハを処理加工するコンピュータ可読命令であって、前記レシピは1つまたは複数の目標ウェハ特性を得るための少なくとも1つの設定値を含むコンピュータ可読命令と、
    ウェハ特性を測定するコンピュータ可読命令と、
    故障検出システムを用いて故障条件を示す条件を検出するコンピュータ可読命令と、
    故障条件がない場合に、前記目標ウェハ特性を維持するように、前記測定されたウェハ特性に基づいて前記レシピの少なくとも1つの設定値を修正し、故障条件がある場合に、前記測定されたウェハ特性に基づいて前記レシピの少なくとも1つの設定値を修正しないコンピュータ可読命令であって、前記修正された設定値を前記故障条件の条件範囲を調整するために前記故障検出システムに組み込むコンピュータ可読命令と、
    を有することを特徴とするコンピュータプログラム
  45. 故障条件が検出された場合、処理加工を停止することを特徴とする請求項44に記載のコンピュータプログラム。
  46. 工程毎フィードバック制御装置を故障検出システムと共に用いて製造実行システムでウェハを処理加工する方法であって、
    1)ツールを制御するレシピを前記工程毎フィードバック制御装置内に受信するステップであって、前記レシピは1つまたは複数の目標ウェハ特性を得るための少なくとも1つの設定値を含む、ステップと、
    2)前記故障検出システムで識別したウェハ特性および故障条件を含む処理加工属性を測定することにより前記ウェハの処理加工を監視するステップと、
    3)前記処理加工属性を前記工程毎フィードバック制御装置に転送するステップと、
    4)前記故障検出システムが故障条件を検出しなかった場合、前記目標ウェハ特性を維持するように、前記測定された処理加工属性に従って前記工程毎フィードバック制御装置で前記レシピの少なくとも1つの設定値を修正するステップと、
    5)前記故障検出システムが前記処理加工の停止を要しない故障条件を検出した場合、前記測定された処理加工属性に従って前記工程毎フィードバック制御装置で前記レシピの少なくとも1つの設定値の修正を行わないステップと、
    6)前記修正するステップにおいて修正された設定値を、前記故障条件の条件範囲を調整するために前記故障検出システムに組み込むステップと、
    を有することを特徴とする方法。
  47. 処理加工を実行する前にウェハ特性を測定するステップをさらに有することを特徴とする請求項46に記載の方法。
  48. 前記測定された処理加工属性から故障検出指標を生成するステップと、前記少なくとも1つの設定値を修正する目的で前記指標を前記工程毎フィードバック制御装置に転送するステップとをさらに有することを特徴とする請求項46に記載の方法。
  49. 前記修正するステップは、予測アウトプットを許容ツール仕様限界値と比較するステップを有することを特徴とする請求項46に記載の方法。
  50. 前記修正するステップは、予測アウトプットを許容ツール取扱範囲と比較するステップを有することを特徴とする請求項46に記載の方法。
  51. 故障条件を検出すると前記処理加工を停止するステップをさらに有することを特徴とする請求項46に記載の方法。
  52. 前記少なくとも1つの設定値は2つ以上の設定値であることを特徴とする請求項46に記載の方法。
  53. 前記少なくとも1つの設定値は、温度、圧力、パワー、処理加工時間、リフト位置、および材料流量の内少なくとも1つを含んでいることを特徴とする請求項46に記載の方法。
  54. 前記故障条件はツール故障を含むことを特徴とする請求項46に記載の方法。
  55. 前記故障条件はウェハ特性故障を含むことを特徴とする請求項46に記載の方法。
  56. ウェハ故障が検出された場合、前記測定されたウェハ特性を用いて前記レシピを修正しないことを特徴とする請求項46に記載の方法。
  57. 製造実行システムでウェハを処理加工するシステムであって、
    前記製造実行システムから受信したレシピに従ってツールを制御する工程毎フィードバック制御装置であって、前記レシピは1つまたは複数の目標ウェハ特性を得るための少なくとも1つの設定値を含む、工程毎フィードバック制御装置と、
    ウェハ特性を含む処理加工属性を測定するセンサと、
    前記ウェハ特性を監視して故障条件を示す条件を検出し、前記条件を前記工程毎フィードバック制御装置に転送する故障検出器とを備え、
    前記故障検出器が故障条件を検出しなかった場合、前記目標ウェハ特性を維持するように、前記処理加工属性に従って前記レシピの少なくとも1つの設定値を修正し、
    前記故障検出器が前記処理加工の停止を要しない故障条件を検出した場合、前記測定された処理加工属性に従って前記レシピの少なくとも1つの設定値の修正を行わず、
    前記修正された設定値は、前記故障条件の条件範囲を調整するために前記故障検出器に組み込まれる、
    ことを特徴とするシステム。
  58. 処理加工を実行する前にウェハ特性を測定するセンサをさらに備えることを特徴とする請求項57に記載のシステム。
  59. 前記故障検出器は前記測定された処理加工属性から故障検出指標を生成し、前記少なくとも1つの設定値を修正する目的で前記指標を前記工程毎フィードバック制御装置に転送することを特徴とする請求項57に記載のシステム。
  60. 前記工程毎フィードバック制御装置は、予測アウトプットを許容ツール仕様限界値と比較することにより前記少なくとも1つの設定値を修正することを特徴とする請求項57に記載のシステム。
  61. 前記工程毎フィードバック制御装置は、予測アウトプットを許容ツール取扱範囲と比較することにより前記少なくとも1つの設定値を修正することを特徴とする請求項57に記載のシステム。
  62. 故障条件を検出すると、前記工程毎フィードバック制御装置は処理加工を停止することを特徴とする請求項57に記載のシステム。
  63. 前記少なくとも1つの設定値は2つ以上の設定値であることを特徴とする請求項57に記載のシステム。
  64. 前記少なくとも1つの設定値は、温度、圧力、パワー、処理加工時間、リフト位置、および材料流量の内少なくとも1つを含んでいることを特徴とする請求項57に記載のシステム。
  65. 前記故障条件はツール故障を含むことを特徴とする請求項57に記載のシステム。
  66. 前記故障条件はウェハ特性故障を含むことを特徴とする請求項57に記載のシステム。
JP2003514592A 2001-07-16 2002-07-12 ウェハを処理加工する方法、システム及びそのためのコンピュータプログラム Expired - Fee Related JP4377224B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US30514001P 2001-07-16 2001-07-16
US10/135,405 US7337019B2 (en) 2001-07-16 2002-05-01 Integration of fault detection with run-to-run control
PCT/US2002/021942 WO2003009345A2 (en) 2001-07-16 2002-07-12 Integration of fault detection with run-to-run control

Publications (3)

Publication Number Publication Date
JP2005522018A JP2005522018A (ja) 2005-07-21
JP2005522018A5 JP2005522018A5 (ja) 2006-01-05
JP4377224B2 true JP4377224B2 (ja) 2009-12-02

Family

ID=26833291

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003514592A Expired - Fee Related JP4377224B2 (ja) 2001-07-16 2002-07-12 ウェハを処理加工する方法、システム及びそのためのコンピュータプログラム

Country Status (9)

Country Link
US (1) US7337019B2 (ja)
EP (1) EP1412827B1 (ja)
JP (1) JP4377224B2 (ja)
KR (1) KR100916190B1 (ja)
CN (1) CN100432879C (ja)
AT (1) ATE362127T1 (ja)
AU (1) AU2002316650A1 (ja)
DE (1) DE60220063T2 (ja)
WO (1) WO2003009345A2 (ja)

Families Citing this family (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7201936B2 (en) * 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US6718224B2 (en) * 2001-09-17 2004-04-06 Yield Dynamics, Inc. System and method for estimating error in a manufacturing process
US6697696B1 (en) * 2002-02-28 2004-02-24 Advanced Micro Devices, Inc. Fault detection control system using dual bus architecture, and methods of using same
US20030199112A1 (en) * 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US6763278B1 (en) * 2002-04-26 2004-07-13 Advanced Micro Devices, Inc. Operating a processing tool in a degraded mode upon detecting a fault
US8321048B1 (en) * 2002-06-28 2012-11-27 Advanced Micro Devices, Inc. Associating data with workpieces and correlating the data with yield data
US20080275587A1 (en) * 2002-09-25 2008-11-06 Advanced Micro Devices, Inc. Fault detection on a multivariate sub-model
US7877161B2 (en) * 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US7158851B2 (en) * 2003-06-30 2007-01-02 Tokyo Electron Limited Feedforward, feedback wafer to wafer control method for an etch process
DE10343627B4 (de) * 2003-09-20 2014-03-06 Eads Deutschland Gmbh Verschlusselement für einen Bereich der Außenhaut eines Luftfahrzeugs
US8073667B2 (en) 2003-09-30 2011-12-06 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process
US8296687B2 (en) 2003-09-30 2012-10-23 Tokyo Electron Limited System and method for using first-principles simulation to analyze a process performed by a semiconductor processing tool
US8032348B2 (en) 2003-09-30 2011-10-04 Tokyo Electron Limited System and method for using first-principles simulation to facilitate a semiconductor manufacturing process
US8036869B2 (en) 2003-09-30 2011-10-11 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process via a simulation result or a derived empirical model
US8050900B2 (en) 2003-09-30 2011-11-01 Tokyo Electron Limited System and method for using first-principles simulation to provide virtual sensors that facilitate a semiconductor manufacturing process
US6960774B2 (en) * 2003-11-03 2005-11-01 Advanced Micro Devices, Inc. Fault detection and control methodologies for ion implantation processes, and system for performing same
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US8639365B2 (en) * 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US20070282480A1 (en) * 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US8639489B2 (en) * 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
JP4512395B2 (ja) * 2004-03-30 2010-07-28 株式会社日立ハイテクノロジーズ 露光プロセスモニタ方法及びその装置
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
KR100839071B1 (ko) * 2004-05-13 2008-06-19 삼성전자주식회사 공정장비의 상태를 모니터링하기 위한 시스템 및 방법
TWI336823B (en) * 2004-07-10 2011-02-01 Onwafer Technologies Inc Methods of and apparatuses for maintenance, diagnosis, and optimization of processes
US7292906B2 (en) 2004-07-14 2007-11-06 Tokyo Electron Limited Formula-based run-to-run control
US7212878B2 (en) * 2004-08-27 2007-05-01 Tokyo Electron Limited Wafer-to-wafer control using virtual modules
US20060079983A1 (en) * 2004-10-13 2006-04-13 Tokyo Electron Limited R2R controller to automate the data collection during a DOE
CN101273312B (zh) * 2005-01-28 2012-07-04 应用材料公司 增强衬底载具搬运器操作的方法和装置
US7477960B2 (en) * 2005-02-16 2009-01-13 Tokyo Electron Limited Fault detection and classification (FDC) using a run-to-run controller
US7783455B1 (en) * 2005-03-04 2010-08-24 Globalfoundries Inc. Methods and systems for analyzing process equipment processing variations using sensor data
US7117059B1 (en) * 2005-04-18 2006-10-03 Promos Technologies Inc. Run-to-run control system and operating method of the same
US7477958B2 (en) * 2005-05-11 2009-01-13 International Business Machines Corporation Method of release and product flow management for a manufacturing facility
CN100422888C (zh) * 2005-08-16 2008-10-01 力晶半导体股份有限公司 反应室层级的批次间控制系统及其方法
CN100424674C (zh) * 2005-08-22 2008-10-08 力晶半导体股份有限公司 改善物料搬运效率的方法以及使用该方法的制造系统
US7206721B1 (en) * 2005-12-12 2007-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Methods and systems of offline measurement for process tool monitoring
EP1798998B1 (en) * 2005-12-14 2011-06-15 Research In Motion Limited Method and apparatus for user equipment directed radio resource control in a UMTS network
US7672749B1 (en) * 2005-12-16 2010-03-02 GlobalFoundries, Inc. Method and apparatus for hierarchical process control
CN101030080B (zh) * 2006-03-01 2010-08-25 茂德科技股份有限公司 错误检测系统及其管理方法
JP4839101B2 (ja) * 2006-03-08 2011-12-21 東京エレクトロン株式会社 基板処理装置、基板処理条件検討方法及び記憶媒体
US8265034B2 (en) * 2006-05-17 2012-09-11 Research In Motion Limited Method and system for a signaling connection release indication
ES2353609T3 (es) 2006-05-17 2011-03-03 Research In Motion Limited Método y sistema para una indicación de liberación de conexión de señalización en una red umts.
US20080049662A1 (en) * 2006-08-25 2008-02-28 Research In Motion Limited Apparatus, and associated method, for releasing a data-service radio resource allocated to a data-service-capable mobile node
JP5224744B2 (ja) * 2006-10-04 2013-07-03 株式会社日立国際電気 基板処理装置
JP4942174B2 (ja) * 2006-10-05 2012-05-30 東京エレクトロン株式会社 基板処理システムの処理レシピ最適化方法,基板処理システム,基板処理装置
US7509186B2 (en) * 2006-11-07 2009-03-24 International Business Machines Corporation Method and system for reducing the variation in film thickness on a plurality of semiconductor wafers having multiple deposition paths in a semiconductor manufacturing process
US7534725B2 (en) * 2007-03-21 2009-05-19 Taiwan Semiconductor Manufacturing Company Advanced process control for semiconductor processing
EP2424323B1 (en) 2007-11-13 2018-12-05 BlackBerry Limited Method and apparatus for state/mode transitioning
US20090137068A1 (en) * 2007-11-28 2009-05-28 Michal Rosen-Zvi Method and Computer Program Product for Wafer Manufacturing Process Abnormalities Detection
TW200929412A (en) * 2007-12-18 2009-07-01 Airoha Tech Corp Model modification method for a semiconductor device
JP4831061B2 (ja) * 2007-12-26 2011-12-07 パナソニック株式会社 電子部品実装用装置および電子部品実装用装置の非常停止方法
US8271122B2 (en) 2008-03-07 2012-09-18 Mks Instruments, Inc. Process control using process data and yield data
US7622308B2 (en) * 2008-03-07 2009-11-24 Mks Instruments, Inc. Process control using process data and yield data
TWI380144B (en) * 2008-04-09 2012-12-21 Inotera Memories Inc Method of fuzzy control for semiconductor machine
MX2011004888A (es) * 2008-11-10 2011-05-30 Research In Motion Ltd Metodo y aparato de transicion a un estado eficiente de bateria o configuracion al indicar el final de la transmision de datos en evolucion a largo plazo.
US8983631B2 (en) * 2009-06-30 2015-03-17 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
JP5334787B2 (ja) * 2009-10-09 2013-11-06 株式会社日立ハイテクノロジーズ プラズマ処理装置
MX2012005871A (es) 2009-11-23 2012-11-30 Research In Motion Ltd Metodo y aparato para transicion de estado/modo.
CN107018579B (zh) 2009-11-23 2021-02-19 黑莓有限公司 基于sri消息传输的状态或模式转换触发
EP2505033A1 (en) 2009-11-23 2012-10-03 Research In Motion Limited Method and apparatus for state/mode transitioning
EP2505035A1 (en) * 2009-11-24 2012-10-03 Research In Motion Limited Method and apparatus for state/mode transitioning
US8983532B2 (en) * 2009-12-30 2015-03-17 Blackberry Limited Method and system for a wireless communication device to adopt varied functionalities based on different communication systems by specific protocol messages
US8670857B2 (en) * 2010-02-02 2014-03-11 Applied Materials, Inc. Flexible process condition monitoring
KR20140063902A (ko) * 2010-02-10 2014-05-27 블랙베리 리미티드 상태/모드 전이 방법 및 장치
DE102010009795B4 (de) 2010-03-01 2014-05-15 Von Ardenne Anlagentechnik Gmbh Verfahren und Vorrichtung zur Herstellung von metallischen Rückkontakten für waferbasierte Solarzellen
CN102222600B (zh) * 2010-04-13 2013-07-31 中芯国际集成电路制造(上海)有限公司 机台恢复处理的方法和装置
US8391999B2 (en) 2010-06-09 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Auto device skew manufacturing
US8406911B2 (en) * 2010-07-16 2013-03-26 HGST Netherlands B.V. Implementing sequential segmented interleaving algorithm for enhanced process control
WO2012100821A1 (en) * 2011-01-26 2012-08-02 Vega Grieshaber Kg Diagnosis of physical-layer bus parameters in a filling level measuring device
US8420531B2 (en) 2011-06-21 2013-04-16 International Business Machines Corporation Enhanced diffusion barrier for interconnect structures
CA2823789C (en) 2011-11-11 2016-08-16 Research In Motion Limited Method and apparatus for user equipment state transition
EP2677380A1 (en) * 2012-06-21 2013-12-25 Siemens Aktiengesellschaft Method for controlling a manufacturing execution system (MES)
US9429922B2 (en) * 2013-01-24 2016-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of process stability
US20140278165A1 (en) * 2013-03-14 2014-09-18 Johnson Controls Technology Company Systems and methods for analyzing energy consumption model data
US9715180B2 (en) * 2013-06-11 2017-07-25 Cymer, Llc Wafer-based light source parameter control
US10133263B1 (en) 2014-08-18 2018-11-20 Kla-Tencor Corporation Process condition based dynamic defect inspection
JP6392581B2 (ja) * 2014-08-20 2018-09-19 ファナック株式会社 工作機械とともに使用されるロボットのロボット制御装置、及び加工システム
US10430719B2 (en) 2014-11-25 2019-10-01 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes
KR102521159B1 (ko) * 2014-11-25 2023-04-13 피디에프 솔루션즈, 인코포레이티드 반도체 제조 공정을 위한 개선된 공정 제어 기술
CN105700490B (zh) * 2014-11-28 2018-09-07 中芯国际集成电路制造(天津)有限公司 一种提高产品良率的方法及系统
US9934351B2 (en) * 2015-11-09 2018-04-03 Applied Materials, Inc. Wafer point by point analysis and data presentation
US10429808B2 (en) 2016-01-19 2019-10-01 Honeywell International Inc. System that automatically infers equipment details from controller configuration details
US10177091B2 (en) 2016-02-19 2019-01-08 Globalfoundries Inc. Interconnect structure and method of forming
US9761484B1 (en) 2016-07-25 2017-09-12 International Business Machines Corporation Interconnect structure and fabrication thereof
US9773735B1 (en) 2016-08-16 2017-09-26 International Business Machines Corporation Geometry control in advanced interconnect structures
US9953864B2 (en) 2016-08-30 2018-04-24 International Business Machines Corporation Interconnect structure
US9786603B1 (en) 2016-09-22 2017-10-10 International Business Machines Corporation Surface nitridation in metal interconnects
US9721895B1 (en) 2016-10-06 2017-08-01 International Business Machines Corporation Self-formed liner for interconnect structures
US10185312B2 (en) 2017-01-31 2019-01-22 Globalfoundries Inc. Insitu tool health and recipe quality monitoring on a CDSEM
US11029673B2 (en) 2017-06-13 2021-06-08 Pdf Solutions, Inc. Generating robust machine learning predictions for semiconductor manufacturing processes
US11022642B2 (en) 2017-08-25 2021-06-01 Pdf Solutions, Inc. Semiconductor yield prediction
US11775714B2 (en) 2018-03-09 2023-10-03 Pdf Solutions, Inc. Rational decision-making tool for semiconductor processes
US11029359B2 (en) 2018-03-09 2021-06-08 Pdf Solutions, Inc. Failure detection and classsification using sensor data and/or measurement data
US10777470B2 (en) 2018-03-27 2020-09-15 Pdf Solutions, Inc. Selective inclusion/exclusion of semiconductor chips in accelerated failure tests
US11133216B2 (en) 2018-06-01 2021-09-28 International Business Machines Corporation Interconnect structure
CN110889260B (zh) * 2018-09-05 2023-01-17 长鑫存储技术有限公司 用于侦测工艺参数的方法及装置、电子设备和计算机可读介质
US10916503B2 (en) 2018-09-11 2021-02-09 International Business Machines Corporation Back end of line metallization structure
US10714382B2 (en) 2018-10-11 2020-07-14 International Business Machines Corporation Controlling performance and reliability of conductive regions in a metallization network
US11486927B2 (en) 2020-04-02 2022-11-01 Applied Materials, Inc. Bode fingerprinting for characterizations and failure detections in processing chamber
KR20220050047A (ko) * 2020-10-15 2022-04-22 에이에스엠 아이피 홀딩 비.브이. 예측 유지보수 방법 및 예측 유지보수 장치
US11853042B2 (en) * 2021-02-17 2023-12-26 Applied Materials, Inc. Part, sensor, and metrology data integration
US20230257900A1 (en) * 2022-02-11 2023-08-17 Applied Materials, Inc. Parameter adjustment model for semiconductor processing chambers

Family Cites Families (165)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3205485A (en) 1960-10-21 1965-09-07 Ti Group Services Ltd Screening vane electro-mechanical transducer
US3229198A (en) 1962-09-28 1966-01-11 Hugo L Libby Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample
US3767900A (en) 1971-06-23 1973-10-23 Cons Paper Inc Adaptive controller having optimal filtering
CH569321A5 (ja) 1973-10-03 1975-11-14 Siemens Ag
US4000458A (en) 1975-08-21 1976-12-28 Bell Telephone Laboratories, Incorporated Method for the noncontacting measurement of the electrical conductivity of a lamella
US4209744A (en) 1976-04-29 1980-06-24 Fedosenko Jury K Eddy current device for automatically testing the quality of elongated electrically conductive objects by non-destructive techniques
US4207520A (en) 1978-04-06 1980-06-10 The United States Of America As Represented By The Secretary Of The Air Force Multiple frequency digital eddy current inspection system
US4302721A (en) 1978-05-08 1981-11-24 Tencor Instruments Non-contacting resistivity instrument with structurally related conductance and distance measuring transducers
US4207250A (en) * 1978-12-18 1980-06-10 Mobil Oil Corporation Conversion of synthesis gas with iron-containing fluid catalyst
US4368510A (en) 1980-10-20 1983-01-11 Leeds & Northrup Company Automatic identification system for self tuning process controller
US4609870A (en) 1981-03-27 1986-09-02 Hocking Electronics Limited Lift off compensation of eddy current crack detection system by controlling damping resistance of oscillator
US4616308A (en) 1983-11-15 1986-10-07 Shell Oil Company Dynamic process control
DE3581000D1 (de) 1984-05-19 1991-02-07 British Aerospace Industrielle verarbeitungs- und herstellungsverfahren.
US4967381A (en) 1985-04-30 1990-10-30 Prometrix Corporation Process control interface system for managing measurement data
US4663703A (en) 1985-10-02 1987-05-05 Westinghouse Electric Corp. Predictive model reference adaptive controller
FR2589566A1 (fr) 1985-11-06 1987-05-07 Cegedur Procede de mesure au defile et sans contact de l'epaisseur et de la temperature de feuilles metalliques minces au moyen de courants de foucault
US4750141A (en) 1985-11-26 1988-06-07 Ade Corporation Method and apparatus for separating fixture-induced error from measured object characteristics and for compensating the measured object characteristic with the error, and a bow/warp station implementing same
US4755753A (en) 1986-07-23 1988-07-05 General Electric Company Eddy current surface mapping system for flaw detection
US5260868A (en) 1986-08-11 1993-11-09 Texas Instruments Incorporate Method for calendaring future events in real-time
US4796194A (en) 1986-08-20 1989-01-03 Atherton Robert W Real world modeling and control process
US4901218A (en) 1987-08-12 1990-02-13 Renishaw Controls Limited Communications adaptor for automated factory system
US4938600A (en) 1989-02-09 1990-07-03 Interactive Video Systems, Inc. Method and apparatus for measuring registration between layers of a semiconductor wafer
US4957605A (en) 1989-04-17 1990-09-18 Materials Research Corporation Method and apparatus for sputter coating stepped wafers
JP2780814B2 (ja) 1989-06-22 1998-07-30 株式会社日立製作所 生産管理システム
US6185324B1 (en) * 1989-07-12 2001-02-06 Hitachi, Ltd. Semiconductor failure analysis system
US6345288B1 (en) * 1989-08-31 2002-02-05 Onename Corporation Computer-based communication system and method using metadata defining a control-structure
US5089970A (en) 1989-10-05 1992-02-18 Combustion Engineering, Inc. Integrated manufacturing system
US5108570A (en) 1990-03-30 1992-04-28 Applied Materials, Inc. Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer
US5485082A (en) 1990-04-11 1996-01-16 Micro-Epsilon Messtechnik Gmbh & Co. Kg Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like
US5236868A (en) 1990-04-20 1993-08-17 Applied Materials, Inc. Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system
US5208765A (en) 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
US5495417A (en) 1990-08-14 1996-02-27 Kabushiki Kaisha Toshiba System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line
US5220517A (en) 1990-08-31 1993-06-15 Sci Systems, Inc. Process gas distribution system and method with supervisory control
EP0553285B1 (en) 1990-10-16 2000-03-01 Consilium, Inc. Object-oriented architecture for factory floor management
US5295242A (en) 1990-11-02 1994-03-15 Consilium, Inc. Apparatus and method for viewing relationships in a factory management system
US5270222A (en) 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
US5226118A (en) 1991-01-29 1993-07-06 Prometrix Corporation Data analysis system and method for industrial process control systems
EP0524317A4 (en) 1991-02-08 1995-02-15 Tokyo Shibaura Electric Co Model forecasting controller
US5430836A (en) * 1991-03-01 1995-07-04 Ast Research, Inc. Application control module for common user access interface
GB2257507B (en) 1991-06-26 1995-03-01 Digital Equipment Corp Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection
US5469361A (en) 1991-08-08 1995-11-21 The Board Of Regents Acting For And On Behalf Of The University Of Michigan Generic cell controlling method and apparatus for computer integrated manufacturing system
US5240552A (en) 1991-12-11 1993-08-31 Micron Technology, Inc. Chemical mechanical planarization (CMP) of a semiconductor wafer using acoustical waves for in-situ end point detection
US5309221A (en) 1991-12-31 1994-05-03 Corning Incorporated Measurement of fiber diameters with high precision
JP3154425B2 (ja) 1992-01-07 2001-04-09 フクダ電子株式会社 心電図情報記録方法及び装置
US5525808A (en) 1992-01-23 1996-06-11 Nikon Corporaton Alignment method and alignment apparatus with a statistic calculation using a plurality of weighted coordinate positions
US5283141A (en) 1992-03-05 1994-02-01 National Semiconductor Photolithography control system and method using latent image measurements
US5602492A (en) 1992-03-13 1997-02-11 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
FR2700403B1 (fr) 1993-01-12 1995-04-07 Sextant Avionique Procédé de structuration d'informations utilisées dans un processus industriel et son application à l'assistance au pilotage d'un aérodyne.
US5490097A (en) 1993-03-22 1996-02-06 Fujitsu Limited System and method for modeling, analyzing and executing work process plans
US5586039A (en) 1993-03-29 1996-12-17 Texas Instruments Incorporated Computer-aided manufacturing support method and system for specifying relationships and dependencies between process type components
US5369544A (en) 1993-04-05 1994-11-29 Ford Motor Company Silicon-on-insulator capacitive surface micromachined absolute pressure sensor
US5367624A (en) 1993-06-11 1994-11-22 Consilium, Inc. Interface for controlling transactions in a manufacturing execution system
US5402367A (en) 1993-07-19 1995-03-28 Texas Instruments, Incorporated Apparatus and method for model based process control
US5642296A (en) 1993-07-29 1997-06-24 Texas Instruments Incorporated Method of diagnosing malfunctions in semiconductor manufacturing equipment
JP3039210B2 (ja) 1993-08-03 2000-05-08 日本電気株式会社 半導体装置の製造方法
US5408405A (en) 1993-09-20 1995-04-18 Texas Instruments Incorporated Multi-variable statistical process controller for discrete manufacturing
US5546312A (en) 1993-09-20 1996-08-13 Texas Instruments Incorporated Use of spatial models for simultaneous control of various non-uniformity metrics
US5503707A (en) 1993-09-22 1996-04-02 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
DE69425100T2 (de) 1993-09-30 2001-03-15 Koninkl Philips Electronics Nv Dynamisches neuronales Netzwerk
US5497381A (en) 1993-10-15 1996-03-05 Analog Devices, Inc. Bitstream defect analysis method for integrated circuits
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
US5375064A (en) 1993-12-02 1994-12-20 Hughes Aircraft Company Method and apparatus for moving a material removal tool with low tool accelerations
US5577204A (en) * 1993-12-15 1996-11-19 Convex Computer Corporation Parallel processing computer system interconnections utilizing unidirectional communication links with separate request and response lines for direct communication or using a crossbar switching device
US5526293A (en) 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
US5420796A (en) 1993-12-23 1995-05-30 Vlsi Technology, Inc. Method of inspecting planarity of wafer surface after etchback step in integrated circuit fabrication
KR950034499A (ko) 1994-01-28 1995-12-28 제임스 조셉 드롱 물리적인 증기증착 과정동안 필름들의 증착속도를 모니터하기 위한 방법 및 장치
US5664987A (en) 1994-01-31 1997-09-09 National Semiconductor Corporation Methods and apparatus for control of polishing pad conditioning for wafer planarization
US5511005A (en) 1994-02-16 1996-04-23 Ade Corporation Wafer handling and processing system
US5666297A (en) 1994-05-13 1997-09-09 Aspen Technology, Inc. Plant simulation and optimization software apparatus and method using dual execution models
US5629216A (en) 1994-06-30 1997-05-13 Seh America, Inc. Method for producing semiconductor wafers with low light scattering anomalies
JP3402412B2 (ja) 1994-09-20 2003-05-06 株式会社リコー プロセスシミュレーション入力データ設定装置
EP0706209A3 (en) 1994-10-06 1996-12-27 Applied Materials Inc Thin film resistance measurement
US5519605A (en) 1994-10-24 1996-05-21 Olin Corporation Model predictive control apparatus and method
DE4446966A1 (de) 1994-12-28 1996-07-04 Itt Ind Gmbh Deutsche Informationssystem zur Produktionskontrolle
KR100213603B1 (ko) * 1994-12-28 1999-08-02 가나이 쯔또무 전자회로기판의 배선수정방법 및 그 장치와 전자회로기판
US5534289A (en) 1995-01-03 1996-07-09 Competitive Technologies Inc. Structural crack monitoring technique
US5617023A (en) 1995-02-02 1997-04-01 Otis Elevator Company Industrial contactless position sensor
US5646870A (en) 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
US5761065A (en) 1995-03-30 1998-06-02 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing
US5541510A (en) 1995-04-06 1996-07-30 Kaman Instrumentation Corporation Multi-Parameter eddy current measuring system with parameter compensation technical field
US5665214A (en) 1995-05-03 1997-09-09 Sony Corporation Automatic film deposition control method and system
US5764543A (en) 1995-06-16 1998-06-09 I2 Technologies, Inc. Extensible model network representation system for process planning
US5649169A (en) 1995-06-20 1997-07-15 Advanced Micro Devices, Inc. Method and system for declustering semiconductor defect data
US5665199A (en) 1995-06-23 1997-09-09 Advanced Micro Devices, Inc. Methodology for developing product-specific interlayer dielectric polish processes
US5599423A (en) 1995-06-30 1997-02-04 Applied Materials, Inc. Apparatus and method for simulating and optimizing a chemical mechanical polishing system
US5740429A (en) 1995-07-07 1998-04-14 Advanced Micro Devices, Inc. E10 reporting tool
KR0153617B1 (ko) * 1995-09-20 1998-12-01 김광호 반도체 집적회로 제조공정방법
US5751582A (en) 1995-09-25 1998-05-12 Texas Instruments Incorporated Controlling process modules using site models and monitor wafer control
US5655951A (en) 1995-09-29 1997-08-12 Micron Technology, Inc. Method for selectively reconditioning a polishing pad used in chemical-mechanical planarization of semiconductor wafers
US5777901A (en) 1995-09-29 1998-07-07 Advanced Micro Devices, Inc. Method and system for automated die yield prediction in semiconductor manufacturing
US5761064A (en) 1995-10-06 1998-06-02 Advanced Micro Devices, Inc. Defect management system for productivity and yield improvement
US5654903A (en) 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
US5603707A (en) 1995-11-28 1997-02-18 The Procter & Gamble Company Absorbent article having a rewet barrier
JP3892493B2 (ja) * 1995-11-29 2007-03-14 大日本スクリーン製造株式会社 基板処理システム
US5719796A (en) 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US6094600A (en) * 1996-02-06 2000-07-25 Fisher-Rosemount Systems, Inc. System and method for managing a transaction database of records of changes to field device configurations
US5871805A (en) * 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US5735055A (en) 1996-04-23 1998-04-07 Aluminum Company Of America Method and apparatus for measuring the thickness of an article at a plurality of points
US5859777A (en) * 1996-05-14 1999-01-12 Toshiba Kikai Kabushiki Kaisha Casting control support system for die casting machines
US5663797A (en) 1996-05-16 1997-09-02 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
JPH1086040A (ja) * 1996-06-13 1998-04-07 Mitsubishi Electric Corp 多系統の自動プログラミング方法及びその装置
US5667424A (en) 1996-09-25 1997-09-16 Chartered Semiconductor Manufacturing Pte Ltd. New chemical mechanical planarization (CMP) end point detection apparatus
US6041263A (en) * 1996-10-01 2000-03-21 Aspen Technology, Inc. Method and apparatus for simulating and optimizing a plant model
US5859964A (en) * 1996-10-25 1999-01-12 Advanced Micro Devices, Inc. System and method for performing real time data acquisition, process modeling and fault detection of wafer fabrication processes
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US5895596A (en) * 1997-01-27 1999-04-20 Semitool Thermal Model based temperature controller for semiconductor thermal processors
US5862054A (en) * 1997-02-20 1999-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Process monitoring system for real time statistical process control
KR100272252B1 (ko) * 1997-04-17 2000-11-15 윤종용 웨이퍼카세트반송방법
JP3186643B2 (ja) * 1997-05-08 2001-07-11 日本電気株式会社 充電器および充電器と携帯無線機とからなる無線装置
US6219711B1 (en) * 1997-05-13 2001-04-17 Micron Electronics, Inc. Synchronous communication interface
US6240330B1 (en) * 1997-05-28 2001-05-29 International Business Machines Corporation Method for feedforward corrections for off-specification conditions
US6012048A (en) * 1997-05-30 2000-01-04 Capital Security Systems, Inc. Automated banking system for dispensing money orders, wire transfer and bill payment
EP0890416A3 (en) * 1997-07-11 2002-09-11 Tokyo Seimitsu Co.,Ltd. Wafer polishing apparatus
US6345315B1 (en) * 1997-08-13 2002-02-05 Sudhindra N. Mishra Method for platform and protocol independent communication between client-server pairs
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
KR19990027324A (ko) * 1997-09-29 1999-04-15 윤종용 웨이퍼 인식 시스템을 구비하는 멀티 챔버 시스템과 이를 이용한 웨이퍼 가공방법
DE19747574A1 (de) * 1997-10-28 1999-05-06 Siemens Ag Verfahren zur Ermittlung realisierbarer Konfigurationen von Bearbeitungsanlagen
US6041270A (en) * 1997-12-05 2000-03-21 Advanced Micro Devices, Inc. Automatic recipe adjust and download based on process control window
KR100258841B1 (ko) * 1997-12-26 2000-06-15 윤종용 반도체 제조설비 관리시스템의 설비 유닛상태 관리방법
KR100251279B1 (ko) * 1997-12-26 2000-04-15 윤종용 반도체 제조용 증착설비의 막두께 조절방법
EP0932194A1 (en) * 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
KR19990065486A (ko) * 1998-01-14 1999-08-05 윤종용 반도체 제조설비 관리시스템의 공정조건 관리방법
KR100297371B1 (ko) * 1998-02-03 2001-10-25 윤종용 반도체 공정 데이터 통합 관리 방법
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6228280B1 (en) * 1998-05-06 2001-05-08 International Business Machines Corporation Endpoint detection by chemical reaction and reagent
WO1999059196A1 (en) * 1998-05-11 1999-11-18 Semitool, Inc. Temperature control system for a thermal reactor
US6230069B1 (en) * 1998-06-26 2001-05-08 Advanced Micro Devices, Inc. System and method for controlling the manufacture of discrete parts in semiconductor fabrication using model predictive control
KR100292030B1 (ko) * 1998-09-15 2001-08-07 윤종용 반도체 박막 공정에서의 박막 두께 제어 방법
US6197604B1 (en) * 1998-10-01 2001-03-06 Advanced Micro Devices, Inc. Method for providing cooperative run-to-run control for multi-product and multi-process semiconductor fabrication
US6366934B1 (en) * 1998-10-08 2002-04-02 International Business Machines Corporation Method and apparatus for querying structured documents using a database extender
US6226792B1 (en) * 1998-10-14 2001-05-01 Unisys Corporation Object management system supporting the use of application domain knowledge mapped to technology domain knowledge
US6339727B1 (en) * 1998-12-21 2002-01-15 Recot, Inc. Apparatus and method for controlling distribution of product in manufacturing process
US6212961B1 (en) * 1999-02-11 2001-04-10 Nova Measuring Instruments Ltd. Buffer system for a wafer handling system
US6389491B1 (en) * 1999-03-23 2002-05-14 Agilent Technologies, Inc. Test instrumentation I/O communication interface and method
US6268270B1 (en) * 1999-04-30 2001-07-31 Advanced Micro Devices, Inc. Lot-to-lot rapid thermal processing (RTP) chamber preheat optimization
NL1015480C2 (nl) * 1999-06-22 2002-08-22 Hyundai Electronics Ind Halfgeleider fabriekautomatiseringssysteem en werkwijze voor de verwerking van ten minste een halfgeleiderwafelcassette.
CN1239969C (zh) * 1999-06-22 2006-02-01 布鲁克斯自动化公司 用于微电子学器件生产的逐次运行控制器
US6046108A (en) * 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US6391780B1 (en) * 1999-08-23 2002-05-21 Taiwan Semiconductor Manufacturing Company Method to prevent copper CMP dishing
US6556881B1 (en) * 1999-09-09 2003-04-29 Advanced Micro Devices, Inc. Method and apparatus for integrating near real-time fault detection in an APC framework
US6368879B1 (en) * 1999-09-22 2002-04-09 Advanced Micro Devices, Inc. Process control with control signal derived from metrology of a repetitive critical dimension feature of a test structure on the work piece
US6560504B1 (en) * 1999-09-29 2003-05-06 Advanced Micro Devices, Inc. Use of contamination-free manufacturing data in fault detection and classification as well as in run-to-run control
US6546508B1 (en) * 1999-10-29 2003-04-08 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool in an advanced process control (APC) framework
US6532555B1 (en) * 1999-10-29 2003-03-11 Advanced Micro Devices, Inc. Method and apparatus for integration of real-time tool data and in-line metrology for fault detection in an advanced process control (APC) framework
US6355559B1 (en) * 1999-11-18 2002-03-12 Texas Instruments Incorporated Passivation of inlaid metallization
US6340602B1 (en) * 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US6449524B1 (en) * 2000-01-04 2002-09-10 Advanced Micro Devices, Inc. Method and apparatus for using equipment state data for run-to-run control of manufacturing tools
US6465263B1 (en) * 2000-01-04 2002-10-15 Advanced Micro Devices, Inc. Method and apparatus for implementing corrected species by monitoring specific state parameters
US6567717B2 (en) * 2000-01-19 2003-05-20 Advanced Micro Devices, Inc. Feed-forward control of TCI doping for improving mass-production-wise, statistical distribution of critical performance parameters in semiconductor devices
US6517414B1 (en) * 2000-03-10 2003-02-11 Appied Materials, Inc. Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus
JP4874465B2 (ja) * 2000-03-28 2012-02-15 株式会社東芝 渦電流損失測定センサ
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6725402B1 (en) * 2000-07-31 2004-04-20 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool and control thereof using an advanced process control (APC) framework
US6708074B1 (en) * 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US6537912B1 (en) * 2000-08-25 2003-03-25 Micron Technology Inc. Method of forming an encapsulated conductive pillar
US6346426B1 (en) * 2000-11-17 2002-02-12 Advanced Micro Devices, Inc. Method and apparatus for characterizing semiconductor device performance variations based on independent critical dimension measurements
US6728587B2 (en) * 2000-12-27 2004-04-27 Insyst Ltd. Method for global automated process control
US6535783B1 (en) * 2001-03-05 2003-03-18 Advanced Micro Devices, Inc. Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework
US6540591B1 (en) * 2001-04-18 2003-04-01 Alexander J. Pasadyn Method and apparatus for post-polish thickness and uniformity control
JP2002373843A (ja) * 2001-06-14 2002-12-26 Nec Corp 塗布装置及び塗布膜厚制御方法
US6913938B2 (en) * 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
US6515368B1 (en) * 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration

Also Published As

Publication number Publication date
WO2003009345A9 (en) 2004-04-08
AU2002316650A1 (en) 2003-03-03
EP1412827A2 (en) 2004-04-28
JP2005522018A (ja) 2005-07-21
KR100916190B1 (ko) 2009-09-08
US7337019B2 (en) 2008-02-26
WO2003009345A3 (en) 2004-01-08
ATE362127T1 (de) 2007-06-15
KR20040015813A (ko) 2004-02-19
EP1412827B1 (en) 2007-05-09
CN100432879C (zh) 2008-11-12
WO2003009345A2 (en) 2003-01-30
DE60220063T2 (de) 2008-01-10
US20030014145A1 (en) 2003-01-16
DE60220063D1 (de) 2007-06-21
CN1564970A (zh) 2005-01-12

Similar Documents

Publication Publication Date Title
JP4377224B2 (ja) ウェハを処理加工する方法、システム及びそのためのコンピュータプログラム
US6556949B1 (en) Semiconductor processing techniques
US6678570B1 (en) Method and apparatus for determining output characteristics using tool state data
KR100708009B1 (ko) 통계적 공정 제어를 이용하여 제어기의 성능을 모니터하는 방법 및 장치
US7067333B1 (en) Method and apparatus for implementing competing control models
US8849615B2 (en) Method and system for semiconductor process control and monitoring by using a data quality metric
US7620470B1 (en) Method and apparatus for impasse detection and resolution
JP4828831B2 (ja) 半導体装置の製造方法
KR20050058369A (ko) 제조하는 동안의 디바이스 전자 파라미터들을 예측하기 위한 방법 및 장치
US20050021272A1 (en) Method and apparatus for performing metrology dispatching based upon fault detection
US6563300B1 (en) Method and apparatus for fault detection using multiple tool error signals
US6687561B1 (en) Method and apparatus for determining a sampling plan based on defectivity
US6790686B1 (en) Method and apparatus for integrating dispatch and process control actions
US6785586B1 (en) Method and apparatus for adaptively scheduling tool maintenance
US6665623B1 (en) Method and apparatus for optimizing downstream uniformity
US6701206B1 (en) Method and system for controlling a process tool
US6821792B1 (en) Method and apparatus for determining a sampling plan based on process and equipment state information
US6947803B1 (en) Dispatch and/or disposition of material based upon an expected parameter result
EP1567920B1 (en) Secondary process controller for supplementing a primary process controller
US6804619B1 (en) Process control based on tool health data
US6732007B1 (en) Method and apparatus for implementing dynamic qualification recipes
US7130769B1 (en) Method of dynamically designing a preventative maintenance schedule based upon sensor data, and system for accomplishing same
US7103439B1 (en) Method and apparatus for initializing tool controllers based on tool event data
US7020535B1 (en) Method and apparatus for providing excitation for a process controller
US6697696B1 (en) Fault detection control system using dual bus architecture, and methods of using same

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050712

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050712

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090421

RD13 Notification of appointment of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7433

Effective date: 20090519

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20090519

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090721

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090701

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090814

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090910

R150 Certificate of patent or registration of utility model

Ref document number: 4377224

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120918

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120918

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130918

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees