KR20040015813A - 런투런 제어와 고장 검출의 통합 - Google Patents
런투런 제어와 고장 검출의 통합 Download PDFInfo
- Publication number
- KR20040015813A KR20040015813A KR10-2004-7000645A KR20047000645A KR20040015813A KR 20040015813 A KR20040015813 A KR 20040015813A KR 20047000645 A KR20047000645 A KR 20047000645A KR 20040015813 A KR20040015813 A KR 20040015813A
- Authority
- KR
- South Korea
- Prior art keywords
- run
- processing
- wafer
- failure
- recipe
- Prior art date
Links
Classifications
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B23/00—Testing or monitoring of control systems or parts thereof
- G05B23/02—Electric testing or monitoring
- G05B23/0205—Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults
- G05B23/0259—Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults characterized by the response to fault detection
- G05B23/0286—Modifications to the monitored process, e.g. stopping operation or adapting control
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B19/00—Programme-control systems
- G05B19/02—Programme-control systems electric
- G05B19/418—Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B19/00—Programme-control systems
- G05B19/02—Programme-control systems electric
- G05B19/418—Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
- G05B19/41865—Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B2219/00—Program-control systems
- G05B2219/30—Nc systems
- G05B2219/31—From computer integrated manufacturing till monitoring
- G05B2219/31357—Observer based fault detection, use model
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B2219/00—Program-control systems
- G05B2219/30—Nc systems
- G05B2219/31—From computer integrated manufacturing till monitoring
- G05B2219/31443—Keep track of nc program, recipe program
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B2219/00—Program-control systems
- G05B2219/30—Nc systems
- G05B2219/45—Nc applications
- G05B2219/45031—Manufacturing semiconductor wafers
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y02—TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
- Y02P—CLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
- Y02P90/00—Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
- Y02P90/02—Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
Abstract
Description
Claims (70)
- 고장 검출 시스템과 런투런(run-to-run) 컨트롤러를 사용하여 제조 실행 시스템에서 웨이퍼를 처리하는 방법으로서,1) 하나 이상의 타겟 웨이퍼 특성을 얻기 위한 적어도 하나의 세트포인트(stepoint)를 포함하는, 툴(tool)을 제어하기 위한 레시피(recipe)를 상기 런투런 컨트롤러로 수신하는 단계;2) 상기 고장 검출 시스템에 의해 식별된 웨이퍼 특성 및 고장 상태(fault condition)를 포함하는 처리 속성(processing attribute)을 측정하여 상기 웨이퍼의 처리를 감시하는 단계;3) 상기 처리 속성을 상기 런투런 컨트롤러로 전송하는 단계; 및4) 상기 고장 검출 시스템에 의해 고장 상태가 검출된 때를 제외하고는, 상기 측정된 처리 속성에 따라 상기 런투런 컨트롤러에서 상기 레피시의 적어도 하나의 세트포인트를 수정하여, 상기 타겟 웨이퍼 특성을 유지하는 단계를 포함하는 웨이퍼 처리 방법.
- 제1항에 있어서,처리의 실행 전에 웨이퍼 특성을 측정하는 단계를 더 포함하는 웨이퍼 처리 방법.
- 제1항에 있어서,상기 측정된 처리 속성으로부터 고장 검출 인덱스(index)를 생성하는 단계, 및 상기 적어도 하나의 세트포인트를 수정하기 위해서 상기 인덱스를 상기 런투런 컨트롤러로 전송하는 단계를 더 포함하는 웨이퍼 처리 방법.
- 제1항에 있어서,상기 수정 단계는 예측 출력을 수용가능한 툴 규격 한계(specification limit)에 대하여 비교하는 단계를 포함하는 웨이퍼 처리 방법.
- 제1항에 있어서,상기 수정 단계는 예측 출력을 수용가능한 툴 범위에 대하여 비교하는 단계를 포함하는 웨이퍼 처리 방법.
- 제1항에 있어서,고장 상태의 검출 시에 상기 처리를 종료하는 단계를 더 포함하는 웨이퍼 처리 방법.
- 제1항에 있어서,상기 적어도 하나의 세트포인트는 2개 이상의 세트포인트를 포함하는 웨이퍼 처리 방법.
- 제1항에 있어서,상기 적어도 하나의 세트포인트는 온도, 압력, 전력, 처리 시간, 재료의 리프트 위치 및 유량 중 적어도 하나를 포함하는 웨이퍼 처리 방법.
- 제1항에 있어서,상기 고장 상태는 툴 고장을 포함하는 웨이퍼 처리 방법.
- 제1항에 있어서,상기 고장 상태는 웨이퍼 특성 고장을 포함하는 웨이퍼 처리 방법.
- 제1항에 있어서,고장 상태를 표시하는 상태의 범위를 정의하는데 사용되는 고장 검출 모델은 상기 런투런 컨트롤러에서 상기 레시피의 상기 적어도 하나의 세트포인트를 파라미터로서 통합하도록 수정되는 웨이퍼 처리 방법.
- 제1항에 있어서,상기 측정된 웨이퍼 특성은 웨이퍼 고장이 검출된 때에 상기 레시피를 수정하기 위해서 사용되지 않는 웨이퍼 처리 방법.
- 웨이퍼를 처리하는 방법으로서,1) 하나 이상의 타겟 웨이퍼 특성을 얻기 위한 적어도 하나의 세트포인트를 포함하는 레시피에 따라서 상기 웨이퍼를 처리하는 단계;2) 웨이퍼 특성을 측정하는 단계;3) 고장 상태를 표시하는 상태를 검출하는 단계; 및4) 상기 측정된 웨이퍼 특성에 따라 상기 레시피의 상기 적어도 하나의 세트포인트를 수정하여 고장 상태의 부재 시에 상기 타겟 웨이퍼 특성을 유지하는 단계를 포함하는 웨이퍼 처리 방법.
- 제13항에 있어서,고장 상태가 검출된 경우에 처리가 종료되는 웨이퍼 처리 방법.
- 제13항에 있어서,상기 측정 단계는 처리 중에 수행되는 웨이퍼 처리 방법.
- 제13항에 있어서,상기 측정 단계는 처리 후에 수행되는 웨이퍼 처리 방법.
- 제13항에 있어서,고장 상태를 표시하는 상태의 범위를 정의하는데 사용되는 고장 검출 모델은상기 레시피의 상기 적어도 하나의 세트포인트를 파라미터로서 통합하도록 수정되는 웨이퍼 처리 방법.
- 제조 실행 시스템에서 웨이퍼를 처리하는 시스템으로서,상기 제조 실행 시스템으로부터 수신되며, 하나 이상의 타겟 웨이퍼 특성을 얻기 위한 적어도 하나의 세트포인트를 포함하는 레시피에 따라 툴을 제어하는 런투런 컨트롤러;웨이퍼 특성을 포함하는 처리 속성을 측정하는 센서; 및상기 웨이퍼 특성을 감시하여 고장 상태를 표시하는 상태를 검출하고 상기 상태를 상기 런투런 컨트롤러로 전송하는 고장 검출기를 포함하고,상기 고장 검출 시스템에 의해 고장 상태가 검출된 때를 제외하고는, 상기 레시피의 상기 적어도 하나의 세트포인트는 상기 처리 속성에 따라 수정되어 상기 타겟 웨이퍼 특성을 유지하는 웨이퍼 처리 시스템.
- 제18항에 있어서,처리의 실행 전에 웨이퍼 특성을 측정하는 센서를 더 포함하는 웨이퍼 처리 시스템.
- 제18항에 있어서,상기 고장 검출기는 상기 측정된 처리 속성으로부터 고장 검출 인덱스를 생성하고, 상기 적어도 하나의 세트포인트를 수정하기 위해서 상기 인덱스를 상기 런투런 컨트롤러로 전송하는 웨이퍼 처리 시스템.
- 제18항에 있어서,상기 런투런 컨트롤러는 예측 출력을 수용가능한 툴 규격 한계에 대하여 비교하여 상기 적어도 하나의 세트포인트를 수정하는 웨이퍼 처리 시스템.
- 제18항에 있어서,상기 런투런 컨트롤러는 예측 출력을 수용가능한 툴 범위에 대하여 비교하여 상기 적어도 하나의 세트포인트를 수정하는 웨이퍼 처리 시스템.
- 제18항에 있어서,상기 런투런 컨트롤러는 고장 상태의 검출 시에 처리를 종료하는 웨이퍼 처리 시스템.
- 제18항에 있어서,상기 적어도 하나의 세트포인트는 2개 이상의 세트포인트를 포함하는 웨이퍼 처리 시스템.
- 제18항에 있어서,상기 적어도 하나의 세트포인트는 온도, 압력, 전력, 처리 시간, 재료의 리프트 위치 및 유량 중 적어도 하나를 포함하는 웨이퍼 처리 시스템.
- 제18항에 있어서,상기 고장 상태는 툴 고장을 포함하는 웨이퍼 처리 시스템.
- 제18항에 있어서,상기 고장 상태는 웨이퍼 특성 고장을 포함하는 웨이퍼 처리 시스템.
- 제18항에 있어서,고장 상태를 표시하는 상태의 범위를 정의하는데 사용되는 고장 검출 모델은 상기 런투런 컨트롤러에서 상기 레시피의 상기 적어도 하나의 세트포인트를 파라미터로서 통합하도록 수정되는 웨이퍼 처리 시스템.
- 웨이퍼를 처리하는 시스템으로서,하나 이상의 타겟 웨이퍼 특성을 얻기 위한 적어도 하나의 세트포인트를 포함하는 레시피에 따라서 상기 웨이퍼를 처리하는 런투런 컨트롤러;웨이퍼 특성을 측정하는 센서; 및고장 상태를 표시하는 상태를 검출하는 고장 검출기를 포함하고,상기 런투런 컨트롤러는 상기 웨이퍼 특성에 따라 상기 레시피의 상기 적어도 하나의 세트포인트를 수정하여 상기 고장 검출기에 의해 검출된 고장 상태의 부재 시에 상기 타겟 웨이퍼 특성을 유지하는 웨이퍼 처리 시스템.
- 제29항에 있어서,상기 런투런 컨트롤러는 고장 상태가 검출된 경우에 상기 레시피의 상기 적어도 하나의 세트포인트를 수정하지 않는 웨이퍼 처리 시스템.
- 제29항에 있어서,상기 센서는 처리 중에 웨이퍼 특성을 측정하는 웨이퍼 처리 시스템.
- 제29항에 있어서,상기 센서는 처리 후에 웨이퍼 특성을 측정하는 웨이퍼 처리 시스템.
- 제29항에 있어서,고장 상태를 표시하는 상태의 범위를 정의하는데 사용되는 고장 검출 모델은 상기 레시피의 상기 적어도 하나의 세트포인트를 파라미터로서 통합하도록 수정되는 웨이퍼 처리 시스템.
- 고장 검출 시스템과 런투런 컨트롤러를 사용하여 제조 실행 시스템에서 웨이퍼를 처리하는 시스템으로서,하나 이상의 타겟 웨이퍼 특성을 얻기 위한 적어도 하나의 세트포인트를 포함하는, 툴을 제어하기 위한 레시피를 상기 런투런 컨트롤러로 수신하는 수단;상기 고장 검출 시스템에 의해 식별된 웨이퍼 특성 및 고장 상태를 포함하는 처리 속성을 측정하여 상기 웨이퍼의 처리를 감시하는 수단;상기 처리 속성을 상기 런투런 컨트롤러로 전송하는 수단; 및상기 고장 검출 시스템에 의해 고장 상태가 검출된 때를 제외하고는, 상기 처리 속성에 따라 상기 런투런 컨트롤러에서 상기 레시피의 상기 적어도 하나의 세트포인트를 수정하여 상기 타겟 웨이퍼 특성을 유지하는 수단을 포함하는 웨이퍼 처리 시스템.
- 제34항에 있어서,처리의 실행 전에 웨이퍼 특성을 측정하는 수단을 더 포함하는 웨이퍼 처리 시스템.
- 제34항에 있어서,상기 측정된 처리 속성으로부터 고장 검출 인덱스를 생성하는 수단, 및 상기 세트포인트를 수정하기 위해서 상기 인덱스를 상기 런투런 컨트롤러로 전송하는 수단을 더 포함하는 웨이퍼 처리 시스템.
- 제34항에 있어서,상기 수정 수단은 예측 출력을 수용가능한 툴 규격 한계에 대하여 비교하는 수단을 포함하는 웨이퍼 처리 시스템.
- 제34항에 있어서,상기 수정 수단은 예측 출력을 수용가능한 툴 범위에 대하여 비교하는 수단을 포함하는 웨이퍼 처리 시스템.
- 제34항에 있어서,고장 상태의 검출 시에 처리를 종료하는 수단을 더 포함하는 웨이퍼 처리 시스템.
- 제34항에 있어서,상기 고장 상태는 툴 고장을 포함하는 웨이퍼 처리 시스템.
- 제34항에 있어서,상기 고장 상태는 웨이퍼 특성 고장을 포함하는 웨이퍼 처리 시스템.
- 제34항에 있어서,고장 상태를 표시하는 상태의 범위를 정의하는데 사용되는 고장 검출 모델은 상기 런투런 컨트롤러에서 상기 레시피의 상기 적어도 하나의 세트포인트를 파라미터로서 통합하도록 수정되는 웨이퍼 처리 시스템.
- 웨이퍼를 처리하는 시스템으로서,하나 이상의 타겟 웨이퍼 특성을 얻기 위한 적어도 하나의 세트포인트를 포함하는 레시피에 따라서 상기 웨이퍼를 처리하는 수단;웨이퍼 특성을 측정하는 수단;고장 상태를 표시하는 상태를 검출하는 수단; 및상기 측정된 웨이퍼 특성에 따라 상기 레시피의 상기 적어도 하나의 세트포인트를 수정하여 고장 상태의 부재 시에 상기 타겟 웨이퍼 특성을 유지하는 수단을 포함하는 웨이퍼 처리 시스템.
- 제43항에 있어서,고장 상태가 검출된 경우에 처리가 종료되는 웨이퍼 처리 시스템.
- 제43항에 있어서,고장 상태를 표시하는 상태의 범위를 정의하는데 사용되는 고장 검출 모델은 상기 런투런 컨트롤러에서 상기 레시피의 상기 적어도 하나의 세트포인트를 파라미터로서 통합하도록 수정되는 웨이퍼 처리 시스템.
- 고장 검출 시스템과 런투런 컨트롤러를 사용하여 제조 실행 시스템에서 웨이퍼를 처리하기 위한 컴퓨터 프로그램이 내장된 컴퓨터 판독가능 매체로서,하나 이상의 타겟 웨이퍼 특성을 얻기 위한 적어도 하나의 세트포인트를 포함하는, 툴을 제어하기 위한 레시피를 상기 런투런 컨트롤러로 수신하기 위한 컴퓨터 판독가능 명령(instruction);상기 고장 검출 시스템에 의해 식별된 웨이퍼 특성 및 고장 상태를 포함하는 처리 속성을 측정하여 상기 웨이퍼의 처리를 감시하기 위한 컴퓨터 판독가능 명령;상기 처리 속성을 상기 런투런 컨트롤러로 전송하기 위한 컴퓨터 판독가능 명령; 및상기 고장 검출 시스템에 의해 고장 상태가 검출된 때를 제외하고는, 상기 측정된 처리 속성에 따라 상기 런투런 컨트롤러에서 상기 레시피의 상기 적어도 하나의 세트포인트를 수정하여 상기 타겟 웨이퍼 특성을 유지하기 위한 컴퓨터 판독가능 명령을 포함하는 컴퓨터 판독가능 매체.
- 제46항에 있어서,처리의 실행 전에 웨이퍼 특성을 측정하기 위한 컴퓨터 판독가능 명령을 더 포함하는 컴퓨터 판독가능 매체.
- 제46항에 있어서,상기 측정된 처리 속성으로부터 고장 검출 인덱스를 생성하기 위한 컴퓨터 판독가능 명령, 및 상기 세트포인트를 수정하기 위해서 상기 인덱스를 상기 런투런컨트롤러로 전송하기 위한 컴퓨터 판독가능 명령을 더 포함하는 컴퓨터 판독가능 매체.
- 제46항에 있어서,상기 수정하기 위한 컴퓨터 판독가능 명령은 예측 출력을 수용가능한 툴 규격 한계에 대하여 비교하기 위한 컴퓨터 판독가능 명령을 포함하는 컴퓨터 판독가능 매체.
- 제46항에 있어서,상기 수정하기 위한 컴퓨터 판독가능 명령은 예측 출력을 수용가능한 툴 범위에 대하여 비교하기 위한 컴퓨터 판독가능 명령을 포함하는 컴퓨터 판독가능 매체.
- 제46항에 있어서,고장 상태의 검출 시에 상기 처리를 종료하기 위한 컴퓨터 판독가능 명령을 더 포함하는 컴퓨터 판독가능 매체.
- 제46항에 있어서,상기 고장 상태는 툴 고장을 포함하는 컴퓨터 판독가능 매체.
- 제46항에 있어서,상기 고장 상태는 웨이퍼 특성 고장을 포함하는 컴퓨터 판독가능 매체.
- 제46항에 있어서,고장 상태를 표시하는 상태의 범위를 정의하는데 사용되는 고장 검출 모델은 상기 런투런 컨트롤러에서 상기 레시피의 상기 적어도 하나의 세트포인트를 파라미터로서 통합하도록 수정되는 컴퓨터 판독가능 매체.
- 웨이퍼를 처리하기 위한 컴퓨터 프로그램이 내장된 컴퓨터 판독 가능 매체로서,하나 이상의 타겟 웨이퍼 특성을 얻기 위한 적어도 하나의 세트포인트를 포함하는 레시피에 따라서 상기 웨이퍼를 처리하기 위한 컴퓨터 판독가능 명령;웨이퍼 특성을 측정하기 위한 컴퓨터 판독가능 명령;고장 상태를 표시하는 상태를 검출하기 위한 컴퓨터 판독가능 명령; 및상기 측정된 웨이퍼 특성에 따라 상기 레시피의 상기 적어도 하나의 세트포인트를 수정하여 고장 상태의 부재 시에 상기 타겟 웨이퍼 특성을 유지하기 위한 컴퓨터 판독가능 명령을 포함하는 컴퓨터 판독가능 매체.
- 제55항에 있어서,고장 상태가 검출된 경우에 처리가 종료되는 컴퓨터 판독가능 매체.
- 제55항에 있어서,고장 상태를 표시하는 상태의 범위를 정의하는데 사용되는 고장 검출 모델은 상기 레시피의 상기 적어도 하나의 세트포인트를 파라미터로서 통합하도록 수정되는 컴퓨터 판독가능 매체.
- 고장 검출 시스템과 런투런 컨트롤러를 사용하여 제조 실행 시스템에서 아이템(item)을 처리하는 방법으로서,1) 하나 이상의 타겟 아이템 특성을 얻기 위한 적어도 하나의 세트포인트를 포함하는, 툴을 제어하기 위한 레시피를 상기 런투런 컨트롤러로 수신하는 단계;2) 상기 고장 검출 시스템에 의해 식별된 아이템 특성 및 고장 상태를 포함하는 처리 속성을 측정하여 상기 아이템의 처리를 감시하는 단계;3) 상기 처리 속성을 상기 런투런 컨트롤러로 전송하는 단계; 및4) 상기 고장 검출 시스템에 의해 고장 상태가 검출된 때를 제외하고는, 상기 측정된 처리 속성에 따라 상기 런투런 컨트롤러에서 상기 레피시의 적어도 하나의 세트포인트를 수정하여, 상기 타겟 아이템 특성을 유지하는 단계를 포함하는 아이템 처리 방법.
- 제58항에 있어서,처리의 실행 전에 아이템 특성을 측정하는 단계를 더 포함하는 아이템 처리 방법.
- 제58항에 있어서,상기 측정된 처리 속성으로부터 고장 검출 인덱스를 생성하는 단계, 및 상기 적어도 하나의 세트포인트를 수정하기 위해서 상기 인덱스를 상기 런투런 컨트롤러로 전송하는 단계를 더 포함하는 아이템 처리 방법.
- 제58항에 있어서,고장 상태의 검출 시에 상기 처리를 종료하는 단계를 더 포함하는 아이템 처리 방법.
- 제58항에 있어서,상기 적어도 하나의 세트포인트는 2개 이상의 세트포인트를 포함하는 아이템 처리 방법.
- 아이템을 처리하는 방법으로서,1) 하나 이상의 타겟 아이템 특성을 얻기 위한 적어도 하나의 세트포인트를 포함하는 레시피에 따라서 상기 아이템을 처리하는 단계;2) 아이템 특성을 측정하는 단계;3) 고장 상태를 표시하는 상태를 검출하는 단계; 및4) 상기 측정된 아이템 특성에 따라 상기 레시피의 상기 적어도 하나의 세트포인트를 수정하여 고장 상태의 부재 시에 상기 타겟 아이템 특성을 유지하는 단계를 포함하는 아이템 처리 방법.
- 제63항에 있어서,고장 상태가 검출된 경우에 처리가 종료되는 아이템 처리 방법.
- 제조 실행 시스템에서 아이템을 처리하는 시스템으로서,상기 제조 실행 시스템으로부터 수신되며, 하나 이상의 타겟 아이템 특성을 얻기 위한 적어도 하나의 세트포인트를 포함하는 레시피에 따라 툴을 제어하는 런투런 컨트롤러;아이템 특성을 포함하는 처리 속성을 측정하는 센서; 및상기 아이템 특성을 감시하여 고장 상태를 표시하는 상태를 검출하고 상기 상태를 상기 런투런 컨트롤러로 전송하는 고장 검출기를 포함하고,상기 고장 검출 시스템에 의해 고장 상태가 검출된 때를 제외하고는, 상기 레시피의 상기 적어도 하나의 세트포인트는 상기 처리 속성에 따라 수정되어 상기 타겟 아이템 특성을 유지하는 아이템 처리 시스템.
- 제65항에 있어서,처리의 실행 전에 아이템 특성을 측정하는 센서를 더 포함하는 아이템 처리 시스템.
- 제65항에 있어서,상기 고장 검출기는 상기 측정된 처리 속성으로부터 고장 검출 인덱스를 생성하고, 상기 적어도 하나의 세트포인트를 수정하기 위해서 상기 인덱스를 상기 런투런 컨트롤러로 전송하는 아이템 처리 시스템.
- 제65항에 있어서,상기 런투런 컨트롤러는 고장 상태의 검출 시에 처리를 종료하는 아이템 처리 시스템.
- 제65항에 있어서, 상기 적어도 하나의 세트포인트는 2개 이상의 세트포인트를 포함하는 아이템 처리 시스템.
- 아이템을 처리하는 시스템으로서,하나 이상의 타켓 아이템 특성을 얻기 위한 적어도 하나의 세트포인트를 포함하는 레시피에 따라서 상기 아이템을 처리하는 런투런 컨트롤러;아이템 특성을 측정하는 센서; 및고장 상태를 표시하는 상태를 검출하는 고장 검출기를 포함하고,상기 런투런 컨트롤러는 상기 아이템 특성에 따라 상기 레시피의 상기 적어도 하나의 세트포인트를 수정하여 상기 고장 검출기에 의해 검출된 고장 상태의 부재 시에 상기 타겟 아이템 특성을 유지하는 아이템 처리 시스템.
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US30514001P | 2001-07-16 | 2001-07-16 | |
US60/305,140 | 2001-07-16 | ||
US10/135,405 | 2002-05-01 | ||
US10/135,405 US7337019B2 (en) | 2001-07-16 | 2002-05-01 | Integration of fault detection with run-to-run control |
PCT/US2002/021942 WO2003009345A2 (en) | 2001-07-16 | 2002-07-12 | Integration of fault detection with run-to-run control |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20040015813A true KR20040015813A (ko) | 2004-02-19 |
KR100916190B1 KR100916190B1 (ko) | 2009-09-08 |
Family
ID=26833291
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020047000645A KR100916190B1 (ko) | 2001-07-16 | 2002-07-12 | 런투런 제어와 고장 검출의 통합 |
Country Status (9)
Country | Link |
---|---|
US (1) | US7337019B2 (ko) |
EP (1) | EP1412827B1 (ko) |
JP (1) | JP4377224B2 (ko) |
KR (1) | KR100916190B1 (ko) |
CN (1) | CN100432879C (ko) |
AT (1) | ATE362127T1 (ko) |
AU (1) | AU2002316650A1 (ko) |
DE (1) | DE60220063T2 (ko) |
WO (1) | WO2003009345A2 (ko) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101134258B1 (ko) * | 2006-10-05 | 2012-04-12 | 도쿄엘렉트론가부시키가이샤 | 기판 처리 시스템의 처리 레시피 최적화 방법 |
Families Citing this family (100)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7201936B2 (en) * | 2001-06-19 | 2007-04-10 | Applied Materials, Inc. | Method of feedback control of sub-atmospheric chemical vapor deposition processes |
US6718224B2 (en) * | 2001-09-17 | 2004-04-06 | Yield Dynamics, Inc. | System and method for estimating error in a manufacturing process |
US6697696B1 (en) * | 2002-02-28 | 2004-02-24 | Advanced Micro Devices, Inc. | Fault detection control system using dual bus architecture, and methods of using same |
US20030199112A1 (en) * | 2002-03-22 | 2003-10-23 | Applied Materials, Inc. | Copper wiring module control |
US6763278B1 (en) * | 2002-04-26 | 2004-07-13 | Advanced Micro Devices, Inc. | Operating a processing tool in a degraded mode upon detecting a fault |
US8321048B1 (en) * | 2002-06-28 | 2012-11-27 | Advanced Micro Devices, Inc. | Associating data with workpieces and correlating the data with yield data |
US20080275587A1 (en) * | 2002-09-25 | 2008-11-06 | Advanced Micro Devices, Inc. | Fault detection on a multivariate sub-model |
US7877161B2 (en) | 2003-03-17 | 2011-01-25 | Tokyo Electron Limited | Method and system for performing a chemical oxide removal process |
US7158851B2 (en) * | 2003-06-30 | 2007-01-02 | Tokyo Electron Limited | Feedforward, feedback wafer to wafer control method for an etch process |
DE10343627B4 (de) * | 2003-09-20 | 2014-03-06 | Eads Deutschland Gmbh | Verschlusselement für einen Bereich der Außenhaut eines Luftfahrzeugs |
US8073667B2 (en) | 2003-09-30 | 2011-12-06 | Tokyo Electron Limited | System and method for using first-principles simulation to control a semiconductor manufacturing process |
US8036869B2 (en) | 2003-09-30 | 2011-10-11 | Tokyo Electron Limited | System and method for using first-principles simulation to control a semiconductor manufacturing process via a simulation result or a derived empirical model |
US8014991B2 (en) | 2003-09-30 | 2011-09-06 | Tokyo Electron Limited | System and method for using first-principles simulation to characterize a semiconductor manufacturing process |
US8032348B2 (en) | 2003-09-30 | 2011-10-04 | Tokyo Electron Limited | System and method for using first-principles simulation to facilitate a semiconductor manufacturing process |
US8296687B2 (en) | 2003-09-30 | 2012-10-23 | Tokyo Electron Limited | System and method for using first-principles simulation to analyze a process performed by a semiconductor processing tool |
US6960774B2 (en) * | 2003-11-03 | 2005-11-01 | Advanced Micro Devices, Inc. | Fault detection and control methodologies for ion implantation processes, and system for performing same |
US8639489B2 (en) * | 2003-11-10 | 2014-01-28 | Brooks Automation, Inc. | Methods and systems for controlling a semiconductor fabrication process |
US8639365B2 (en) * | 2003-11-10 | 2014-01-28 | Brooks Automation, Inc. | Methods and systems for controlling a semiconductor fabrication process |
US20070282480A1 (en) * | 2003-11-10 | 2007-12-06 | Pannese Patrick D | Methods and systems for controlling a semiconductor fabrication process |
US10086511B2 (en) | 2003-11-10 | 2018-10-02 | Brooks Automation, Inc. | Semiconductor manufacturing systems |
JP4512395B2 (ja) * | 2004-03-30 | 2010-07-28 | 株式会社日立ハイテクノロジーズ | 露光プロセスモニタ方法及びその装置 |
US20050221020A1 (en) * | 2004-03-30 | 2005-10-06 | Tokyo Electron Limited | Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film |
KR100839071B1 (ko) * | 2004-05-13 | 2008-06-19 | 삼성전자주식회사 | 공정장비의 상태를 모니터링하기 위한 시스템 및 방법 |
TWI336823B (en) * | 2004-07-10 | 2011-02-01 | Onwafer Technologies Inc | Methods of and apparatuses for maintenance, diagnosis, and optimization of processes |
US7292906B2 (en) * | 2004-07-14 | 2007-11-06 | Tokyo Electron Limited | Formula-based run-to-run control |
US7212878B2 (en) * | 2004-08-27 | 2007-05-01 | Tokyo Electron Limited | Wafer-to-wafer control using virtual modules |
US20060079983A1 (en) * | 2004-10-13 | 2006-04-13 | Tokyo Electron Limited | R2R controller to automate the data collection during a DOE |
WO2006081519A2 (en) * | 2005-01-28 | 2006-08-03 | Applied Materials, Inc. | Methods and apparatus for operation of substrate carrier handlers |
US7477960B2 (en) * | 2005-02-16 | 2009-01-13 | Tokyo Electron Limited | Fault detection and classification (FDC) using a run-to-run controller |
US7783455B1 (en) * | 2005-03-04 | 2010-08-24 | Globalfoundries Inc. | Methods and systems for analyzing process equipment processing variations using sensor data |
US7117059B1 (en) * | 2005-04-18 | 2006-10-03 | Promos Technologies Inc. | Run-to-run control system and operating method of the same |
US7477958B2 (en) * | 2005-05-11 | 2009-01-13 | International Business Machines Corporation | Method of release and product flow management for a manufacturing facility |
CN100422888C (zh) * | 2005-08-16 | 2008-10-01 | 力晶半导体股份有限公司 | 反应室层级的批次间控制系统及其方法 |
CN100424674C (zh) * | 2005-08-22 | 2008-10-08 | 力晶半导体股份有限公司 | 改善物料搬运效率的方法以及使用该方法的制造系统 |
US7206721B1 (en) * | 2005-12-12 | 2007-04-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods and systems of offline measurement for process tool monitoring |
EP2262328B1 (en) | 2005-12-14 | 2012-09-26 | Research In Motion Limited | Method and apparatus for user equipment directed radio resource control |
US7672749B1 (en) * | 2005-12-16 | 2010-03-02 | GlobalFoundries, Inc. | Method and apparatus for hierarchical process control |
CN101030080B (zh) * | 2006-03-01 | 2010-08-25 | 茂德科技股份有限公司 | 错误检测系统及其管理方法 |
JP4839101B2 (ja) * | 2006-03-08 | 2011-12-21 | 東京エレクトロン株式会社 | 基板処理装置、基板処理条件検討方法及び記憶媒体 |
US8265034B2 (en) * | 2006-05-17 | 2012-09-11 | Research In Motion Limited | Method and system for a signaling connection release indication |
DE602006017517D1 (de) | 2006-05-17 | 2010-11-25 | Research In Motion Ltd | Verfahren und System zur Anzeige einer Ursache für einen Abbau einer Signalisierungsverbindung in einem UMTS Netz |
US20080049662A1 (en) * | 2006-08-25 | 2008-02-28 | Research In Motion Limited | Apparatus, and associated method, for releasing a data-service radio resource allocated to a data-service-capable mobile node |
JP5224744B2 (ja) * | 2006-10-04 | 2013-07-03 | 株式会社日立国際電気 | 基板処理装置 |
US7509186B2 (en) * | 2006-11-07 | 2009-03-24 | International Business Machines Corporation | Method and system for reducing the variation in film thickness on a plurality of semiconductor wafers having multiple deposition paths in a semiconductor manufacturing process |
US7534725B2 (en) * | 2007-03-21 | 2009-05-19 | Taiwan Semiconductor Manufacturing Company | Advanced process control for semiconductor processing |
EP2061192B1 (en) | 2007-11-13 | 2012-04-11 | Research In Motion Limited | Method and apparatus for state/mode transitioning |
US20090137068A1 (en) * | 2007-11-28 | 2009-05-28 | Michal Rosen-Zvi | Method and Computer Program Product for Wafer Manufacturing Process Abnormalities Detection |
TW200929412A (en) * | 2007-12-18 | 2009-07-01 | Airoha Tech Corp | Model modification method for a semiconductor device |
JP4831061B2 (ja) * | 2007-12-26 | 2011-12-07 | パナソニック株式会社 | 電子部品実装用装置および電子部品実装用装置の非常停止方法 |
US8271122B2 (en) | 2008-03-07 | 2012-09-18 | Mks Instruments, Inc. | Process control using process data and yield data |
US7622308B2 (en) * | 2008-03-07 | 2009-11-24 | Mks Instruments, Inc. | Process control using process data and yield data |
TWI380144B (en) * | 2008-04-09 | 2012-12-21 | Inotera Memories Inc | Method of fuzzy control for semiconductor machine |
ES2896335T3 (es) * | 2008-11-10 | 2022-02-24 | Blackberry Ltd | Método y aparato de transición a un estado de batería eficiente o configuración indicando fin de transmisión de datos |
US8983631B2 (en) * | 2009-06-30 | 2015-03-17 | Lam Research Corporation | Arrangement for identifying uncontrolled events at the process module level and methods thereof |
JP5334787B2 (ja) * | 2009-10-09 | 2013-11-06 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
HUE049498T2 (hu) | 2009-11-23 | 2020-09-28 | Blackberry Ltd | Jelzéskapcsolat-bontás jelzõ, SCRI, üzenet átvitelen alapuló állapot vagy üzemmód átváltás kiváltása |
KR101468854B1 (ko) | 2009-11-23 | 2014-12-03 | 블랙베리 리미티드 | 상태/모드 전이 방법 및 장치 |
AU2010321204B2 (en) | 2009-11-23 | 2014-11-20 | Blackberry Limited | Method and apparatus for state/mode transitioning |
US20110124294A1 (en) * | 2009-11-24 | 2011-05-26 | Research In Motion Limited | Method and apparatus for state/mode transitioning |
US8983532B2 (en) * | 2009-12-30 | 2015-03-17 | Blackberry Limited | Method and system for a wireless communication device to adopt varied functionalities based on different communication systems by specific protocol messages |
US8670857B2 (en) * | 2010-02-02 | 2014-03-11 | Applied Materials, Inc. | Flexible process condition monitoring |
KR20120096549A (ko) * | 2010-02-10 | 2012-08-30 | 리서치 인 모션 리미티드 | 상태/모드 전이 방법 및 장치 |
DE102010009795B4 (de) | 2010-03-01 | 2014-05-15 | Von Ardenne Anlagentechnik Gmbh | Verfahren und Vorrichtung zur Herstellung von metallischen Rückkontakten für waferbasierte Solarzellen |
CN102222600B (zh) * | 2010-04-13 | 2013-07-31 | 中芯国际集成电路制造(上海)有限公司 | 机台恢复处理的方法和装置 |
US8391999B2 (en) | 2010-06-09 | 2013-03-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Auto device skew manufacturing |
US8406911B2 (en) * | 2010-07-16 | 2013-03-26 | HGST Netherlands B.V. | Implementing sequential segmented interleaving algorithm for enhanced process control |
EP2668471B1 (en) * | 2011-01-26 | 2016-03-30 | VEGA Grieshaber KG | Diagnosis of physical-layer bus parameters in a filling level measuring device |
US8420531B2 (en) | 2011-06-21 | 2013-04-16 | International Business Machines Corporation | Enhanced diffusion barrier for interconnect structures |
CN103314634A (zh) | 2011-11-11 | 2013-09-18 | 捷讯研究有限公司 | 用于用户设备状态转移的方法和装置 |
EP2677380A1 (en) * | 2012-06-21 | 2013-12-25 | Siemens Aktiengesellschaft | Method for controlling a manufacturing execution system (MES) |
US9429922B2 (en) * | 2013-01-24 | 2016-08-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of process stability |
US20140278165A1 (en) * | 2013-03-14 | 2014-09-18 | Johnson Controls Technology Company | Systems and methods for analyzing energy consumption model data |
US9715180B2 (en) * | 2013-06-11 | 2017-07-25 | Cymer, Llc | Wafer-based light source parameter control |
US10133263B1 (en) | 2014-08-18 | 2018-11-20 | Kla-Tencor Corporation | Process condition based dynamic defect inspection |
JP6392581B2 (ja) * | 2014-08-20 | 2018-09-19 | ファナック株式会社 | 工作機械とともに使用されるロボットのロボット制御装置、及び加工システム |
US10430719B2 (en) | 2014-11-25 | 2019-10-01 | Stream Mosaic, Inc. | Process control techniques for semiconductor manufacturing processes |
KR102521159B1 (ko) * | 2014-11-25 | 2023-04-13 | 피디에프 솔루션즈, 인코포레이티드 | 반도체 제조 공정을 위한 개선된 공정 제어 기술 |
CN105700490B (zh) * | 2014-11-28 | 2018-09-07 | 中芯国际集成电路制造(天津)有限公司 | 一种提高产品良率的方法及系统 |
US9934351B2 (en) * | 2015-11-09 | 2018-04-03 | Applied Materials, Inc. | Wafer point by point analysis and data presentation |
US10429808B2 (en) | 2016-01-19 | 2019-10-01 | Honeywell International Inc. | System that automatically infers equipment details from controller configuration details |
US10177091B2 (en) | 2016-02-19 | 2019-01-08 | Globalfoundries Inc. | Interconnect structure and method of forming |
US9761484B1 (en) | 2016-07-25 | 2017-09-12 | International Business Machines Corporation | Interconnect structure and fabrication thereof |
US9773735B1 (en) | 2016-08-16 | 2017-09-26 | International Business Machines Corporation | Geometry control in advanced interconnect structures |
US9953864B2 (en) | 2016-08-30 | 2018-04-24 | International Business Machines Corporation | Interconnect structure |
US9786603B1 (en) | 2016-09-22 | 2017-10-10 | International Business Machines Corporation | Surface nitridation in metal interconnects |
US9721895B1 (en) | 2016-10-06 | 2017-08-01 | International Business Machines Corporation | Self-formed liner for interconnect structures |
US10185312B2 (en) | 2017-01-31 | 2019-01-22 | Globalfoundries Inc. | Insitu tool health and recipe quality monitoring on a CDSEM |
US11029673B2 (en) | 2017-06-13 | 2021-06-08 | Pdf Solutions, Inc. | Generating robust machine learning predictions for semiconductor manufacturing processes |
US11022642B2 (en) | 2017-08-25 | 2021-06-01 | Pdf Solutions, Inc. | Semiconductor yield prediction |
US11029359B2 (en) | 2018-03-09 | 2021-06-08 | Pdf Solutions, Inc. | Failure detection and classsification using sensor data and/or measurement data |
US11775714B2 (en) | 2018-03-09 | 2023-10-03 | Pdf Solutions, Inc. | Rational decision-making tool for semiconductor processes |
US10777470B2 (en) | 2018-03-27 | 2020-09-15 | Pdf Solutions, Inc. | Selective inclusion/exclusion of semiconductor chips in accelerated failure tests |
US11133216B2 (en) | 2018-06-01 | 2021-09-28 | International Business Machines Corporation | Interconnect structure |
CN110889260B (zh) * | 2018-09-05 | 2023-01-17 | 长鑫存储技术有限公司 | 用于侦测工艺参数的方法及装置、电子设备和计算机可读介质 |
US10916503B2 (en) | 2018-09-11 | 2021-02-09 | International Business Machines Corporation | Back end of line metallization structure |
US10714382B2 (en) | 2018-10-11 | 2020-07-14 | International Business Machines Corporation | Controlling performance and reliability of conductive regions in a metallization network |
US11486927B2 (en) | 2020-04-02 | 2022-11-01 | Applied Materials, Inc. | Bode fingerprinting for characterizations and failure detections in processing chamber |
KR20220050047A (ko) * | 2020-10-15 | 2022-04-22 | 에이에스엠 아이피 홀딩 비.브이. | 예측 유지보수 방법 및 예측 유지보수 장치 |
US11853042B2 (en) * | 2021-02-17 | 2023-12-26 | Applied Materials, Inc. | Part, sensor, and metrology data integration |
US20230257900A1 (en) * | 2022-02-11 | 2023-08-17 | Applied Materials, Inc. | Parameter adjustment model for semiconductor processing chambers |
Family Cites Families (165)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3205485A (en) | 1960-10-21 | 1965-09-07 | Ti Group Services Ltd | Screening vane electro-mechanical transducer |
US3229198A (en) | 1962-09-28 | 1966-01-11 | Hugo L Libby | Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample |
US3767900A (en) | 1971-06-23 | 1973-10-23 | Cons Paper Inc | Adaptive controller having optimal filtering |
CH569321A5 (ko) | 1973-10-03 | 1975-11-14 | Siemens Ag | |
US4000458A (en) | 1975-08-21 | 1976-12-28 | Bell Telephone Laboratories, Incorporated | Method for the noncontacting measurement of the electrical conductivity of a lamella |
US4209744A (en) * | 1976-04-29 | 1980-06-24 | Fedosenko Jury K | Eddy current device for automatically testing the quality of elongated electrically conductive objects by non-destructive techniques |
US4207520A (en) | 1978-04-06 | 1980-06-10 | The United States Of America As Represented By The Secretary Of The Air Force | Multiple frequency digital eddy current inspection system |
US4302721A (en) | 1978-05-08 | 1981-11-24 | Tencor Instruments | Non-contacting resistivity instrument with structurally related conductance and distance measuring transducers |
US4207250A (en) * | 1978-12-18 | 1980-06-10 | Mobil Oil Corporation | Conversion of synthesis gas with iron-containing fluid catalyst |
US4368510A (en) * | 1980-10-20 | 1983-01-11 | Leeds & Northrup Company | Automatic identification system for self tuning process controller |
US4609870A (en) | 1981-03-27 | 1986-09-02 | Hocking Electronics Limited | Lift off compensation of eddy current crack detection system by controlling damping resistance of oscillator |
US4616308A (en) | 1983-11-15 | 1986-10-07 | Shell Oil Company | Dynamic process control |
EP0162670B1 (en) | 1984-05-19 | 1991-01-02 | British Aerospace Public Limited Company | Industrial processing and manufacturing systems |
US4967381A (en) | 1985-04-30 | 1990-10-30 | Prometrix Corporation | Process control interface system for managing measurement data |
US4663703A (en) * | 1985-10-02 | 1987-05-05 | Westinghouse Electric Corp. | Predictive model reference adaptive controller |
FR2589566A1 (fr) | 1985-11-06 | 1987-05-07 | Cegedur | Procede de mesure au defile et sans contact de l'epaisseur et de la temperature de feuilles metalliques minces au moyen de courants de foucault |
US4750141A (en) | 1985-11-26 | 1988-06-07 | Ade Corporation | Method and apparatus for separating fixture-induced error from measured object characteristics and for compensating the measured object characteristic with the error, and a bow/warp station implementing same |
US4755753A (en) | 1986-07-23 | 1988-07-05 | General Electric Company | Eddy current surface mapping system for flaw detection |
US5260868A (en) | 1986-08-11 | 1993-11-09 | Texas Instruments Incorporate | Method for calendaring future events in real-time |
US4796194A (en) * | 1986-08-20 | 1989-01-03 | Atherton Robert W | Real world modeling and control process |
US4901218A (en) * | 1987-08-12 | 1990-02-13 | Renishaw Controls Limited | Communications adaptor for automated factory system |
US4938600A (en) | 1989-02-09 | 1990-07-03 | Interactive Video Systems, Inc. | Method and apparatus for measuring registration between layers of a semiconductor wafer |
US4957605A (en) | 1989-04-17 | 1990-09-18 | Materials Research Corporation | Method and apparatus for sputter coating stepped wafers |
JP2780814B2 (ja) | 1989-06-22 | 1998-07-30 | 株式会社日立製作所 | 生産管理システム |
US6185324B1 (en) * | 1989-07-12 | 2001-02-06 | Hitachi, Ltd. | Semiconductor failure analysis system |
US6345288B1 (en) * | 1989-08-31 | 2002-02-05 | Onename Corporation | Computer-based communication system and method using metadata defining a control-structure |
US5089970A (en) * | 1989-10-05 | 1992-02-18 | Combustion Engineering, Inc. | Integrated manufacturing system |
US5108570A (en) * | 1990-03-30 | 1992-04-28 | Applied Materials, Inc. | Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer |
US5485082A (en) | 1990-04-11 | 1996-01-16 | Micro-Epsilon Messtechnik Gmbh & Co. Kg | Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like |
US5236868A (en) | 1990-04-20 | 1993-08-17 | Applied Materials, Inc. | Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system |
US5208765A (en) * | 1990-07-20 | 1993-05-04 | Advanced Micro Devices, Inc. | Computer-based method and system for product development |
US5495417A (en) * | 1990-08-14 | 1996-02-27 | Kabushiki Kaisha Toshiba | System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line |
US5220517A (en) * | 1990-08-31 | 1993-06-15 | Sci Systems, Inc. | Process gas distribution system and method with supervisory control |
AU9030391A (en) * | 1990-10-16 | 1992-05-20 | Consilium, Inc. | Object-oriented architecture for factory floor management |
US5295242A (en) * | 1990-11-02 | 1994-03-15 | Consilium, Inc. | Apparatus and method for viewing relationships in a factory management system |
US5270222A (en) | 1990-12-31 | 1993-12-14 | Texas Instruments Incorporated | Method and apparatus for semiconductor device fabrication diagnosis and prognosis |
US5226118A (en) | 1991-01-29 | 1993-07-06 | Prometrix Corporation | Data analysis system and method for industrial process control systems |
WO1992014197A1 (en) | 1991-02-08 | 1992-08-20 | Kabushiki Kaisha Toshiba | Model forecasting controller |
US5430836A (en) * | 1991-03-01 | 1995-07-04 | Ast Research, Inc. | Application control module for common user access interface |
GB2257507B (en) * | 1991-06-26 | 1995-03-01 | Digital Equipment Corp | Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection |
US5469361A (en) | 1991-08-08 | 1995-11-21 | The Board Of Regents Acting For And On Behalf Of The University Of Michigan | Generic cell controlling method and apparatus for computer integrated manufacturing system |
US5240552A (en) | 1991-12-11 | 1993-08-31 | Micron Technology, Inc. | Chemical mechanical planarization (CMP) of a semiconductor wafer using acoustical waves for in-situ end point detection |
US5309221A (en) * | 1991-12-31 | 1994-05-03 | Corning Incorporated | Measurement of fiber diameters with high precision |
JP3154425B2 (ja) * | 1992-01-07 | 2001-04-09 | フクダ電子株式会社 | 心電図情報記録方法及び装置 |
US5525808A (en) * | 1992-01-23 | 1996-06-11 | Nikon Corporaton | Alignment method and alignment apparatus with a statistic calculation using a plurality of weighted coordinate positions |
US5283141A (en) | 1992-03-05 | 1994-02-01 | National Semiconductor | Photolithography control system and method using latent image measurements |
US5602492A (en) | 1992-03-13 | 1997-02-11 | The United States Of America As Represented By The Secretary Of Commerce | Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate |
FR2700403B1 (fr) | 1993-01-12 | 1995-04-07 | Sextant Avionique | Procédé de structuration d'informations utilisées dans un processus industriel et son application à l'assistance au pilotage d'un aérodyne. |
US5490097A (en) * | 1993-03-22 | 1996-02-06 | Fujitsu Limited | System and method for modeling, analyzing and executing work process plans |
US5586039A (en) | 1993-03-29 | 1996-12-17 | Texas Instruments Incorporated | Computer-aided manufacturing support method and system for specifying relationships and dependencies between process type components |
US5369544A (en) | 1993-04-05 | 1994-11-29 | Ford Motor Company | Silicon-on-insulator capacitive surface micromachined absolute pressure sensor |
US5367624A (en) | 1993-06-11 | 1994-11-22 | Consilium, Inc. | Interface for controlling transactions in a manufacturing execution system |
US5402367A (en) * | 1993-07-19 | 1995-03-28 | Texas Instruments, Incorporated | Apparatus and method for model based process control |
US5642296A (en) | 1993-07-29 | 1997-06-24 | Texas Instruments Incorporated | Method of diagnosing malfunctions in semiconductor manufacturing equipment |
JP3039210B2 (ja) | 1993-08-03 | 2000-05-08 | 日本電気株式会社 | 半導体装置の製造方法 |
US5546312A (en) | 1993-09-20 | 1996-08-13 | Texas Instruments Incorporated | Use of spatial models for simultaneous control of various non-uniformity metrics |
US5408405A (en) * | 1993-09-20 | 1995-04-18 | Texas Instruments Incorporated | Multi-variable statistical process controller for discrete manufacturing |
US5503707A (en) * | 1993-09-22 | 1996-04-02 | Texas Instruments Incorporated | Method and apparatus for process endpoint prediction based on actual thickness measurements |
DE69425100T2 (de) | 1993-09-30 | 2001-03-15 | Koninkl Philips Electronics Nv | Dynamisches neuronales Netzwerk |
US5497381A (en) | 1993-10-15 | 1996-03-05 | Analog Devices, Inc. | Bitstream defect analysis method for integrated circuits |
KR100276736B1 (ko) * | 1993-10-20 | 2001-03-02 | 히가시 데쓰로 | 플라즈마 처리장치 |
US5375064A (en) | 1993-12-02 | 1994-12-20 | Hughes Aircraft Company | Method and apparatus for moving a material removal tool with low tool accelerations |
US5577204A (en) * | 1993-12-15 | 1996-11-19 | Convex Computer Corporation | Parallel processing computer system interconnections utilizing unidirectional communication links with separate request and response lines for direct communication or using a crossbar switching device |
US5526293A (en) | 1993-12-17 | 1996-06-11 | Texas Instruments Inc. | System and method for controlling semiconductor wafer processing |
US5420796A (en) * | 1993-12-23 | 1995-05-30 | Vlsi Technology, Inc. | Method of inspecting planarity of wafer surface after etchback step in integrated circuit fabrication |
EP0665577A1 (en) * | 1994-01-28 | 1995-08-02 | Applied Materials, Inc. | Method and apparatus for monitoring the deposition rate of films during physical vapour deposition |
US5664987A (en) | 1994-01-31 | 1997-09-09 | National Semiconductor Corporation | Methods and apparatus for control of polishing pad conditioning for wafer planarization |
US5511005A (en) | 1994-02-16 | 1996-04-23 | Ade Corporation | Wafer handling and processing system |
US5666297A (en) | 1994-05-13 | 1997-09-09 | Aspen Technology, Inc. | Plant simulation and optimization software apparatus and method using dual execution models |
US5629216A (en) * | 1994-06-30 | 1997-05-13 | Seh America, Inc. | Method for producing semiconductor wafers with low light scattering anomalies |
JP3402412B2 (ja) | 1994-09-20 | 2003-05-06 | 株式会社リコー | プロセスシミュレーション入力データ設定装置 |
EP0706209A3 (en) | 1994-10-06 | 1996-12-27 | Applied Materials Inc | Thin film resistance measurement |
US5519605A (en) * | 1994-10-24 | 1996-05-21 | Olin Corporation | Model predictive control apparatus and method |
KR100213603B1 (ko) * | 1994-12-28 | 1999-08-02 | 가나이 쯔또무 | 전자회로기판의 배선수정방법 및 그 장치와 전자회로기판 |
DE4446966A1 (de) | 1994-12-28 | 1996-07-04 | Itt Ind Gmbh Deutsche | Informationssystem zur Produktionskontrolle |
US5534289A (en) | 1995-01-03 | 1996-07-09 | Competitive Technologies Inc. | Structural crack monitoring technique |
US5617023A (en) | 1995-02-02 | 1997-04-01 | Otis Elevator Company | Industrial contactless position sensor |
US5646870A (en) | 1995-02-13 | 1997-07-08 | Advanced Micro Devices, Inc. | Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers |
US5761065A (en) | 1995-03-30 | 1998-06-02 | Advanced Micro Devices, Inc. | Arrangement and method for detecting sequential processing effects in manufacturing |
US5541510A (en) | 1995-04-06 | 1996-07-30 | Kaman Instrumentation Corporation | Multi-Parameter eddy current measuring system with parameter compensation technical field |
US5665214A (en) | 1995-05-03 | 1997-09-09 | Sony Corporation | Automatic film deposition control method and system |
US5764543A (en) | 1995-06-16 | 1998-06-09 | I2 Technologies, Inc. | Extensible model network representation system for process planning |
US5649169A (en) | 1995-06-20 | 1997-07-15 | Advanced Micro Devices, Inc. | Method and system for declustering semiconductor defect data |
US5665199A (en) | 1995-06-23 | 1997-09-09 | Advanced Micro Devices, Inc. | Methodology for developing product-specific interlayer dielectric polish processes |
US5599423A (en) * | 1995-06-30 | 1997-02-04 | Applied Materials, Inc. | Apparatus and method for simulating and optimizing a chemical mechanical polishing system |
US5740429A (en) * | 1995-07-07 | 1998-04-14 | Advanced Micro Devices, Inc. | E10 reporting tool |
KR0153617B1 (ko) * | 1995-09-20 | 1998-12-01 | 김광호 | 반도체 집적회로 제조공정방법 |
JPH09129530A (ja) * | 1995-09-25 | 1997-05-16 | Texas Instr Inc <Ti> | サイトモデルを用いたプロセスモジュールの制御およびモニタウエハ制御 |
US5777901A (en) | 1995-09-29 | 1998-07-07 | Advanced Micro Devices, Inc. | Method and system for automated die yield prediction in semiconductor manufacturing |
US5655951A (en) | 1995-09-29 | 1997-08-12 | Micron Technology, Inc. | Method for selectively reconditioning a polishing pad used in chemical-mechanical planarization of semiconductor wafers |
US5761064A (en) | 1995-10-06 | 1998-06-02 | Advanced Micro Devices, Inc. | Defect management system for productivity and yield improvement |
US5654903A (en) | 1995-11-07 | 1997-08-05 | Lucent Technologies Inc. | Method and apparatus for real time monitoring of wafer attributes in a plasma etch process |
US5603707A (en) * | 1995-11-28 | 1997-02-18 | The Procter & Gamble Company | Absorbent article having a rewet barrier |
JP3892493B2 (ja) * | 1995-11-29 | 2007-03-14 | 大日本スクリーン製造株式会社 | 基板処理システム |
US5719796A (en) | 1995-12-04 | 1998-02-17 | Advanced Micro Devices, Inc. | System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback |
US5674787A (en) | 1996-01-16 | 1997-10-07 | Sematech, Inc. | Selective electroless copper deposited interconnect plugs for ULSI applications |
US6094600A (en) * | 1996-02-06 | 2000-07-25 | Fisher-Rosemount Systems, Inc. | System and method for managing a transaction database of records of changes to field device configurations |
US5871805A (en) * | 1996-04-08 | 1999-02-16 | Lemelson; Jerome | Computer controlled vapor deposition processes |
US5735055A (en) | 1996-04-23 | 1998-04-07 | Aluminum Company Of America | Method and apparatus for measuring the thickness of an article at a plurality of points |
US5859777A (en) * | 1996-05-14 | 1999-01-12 | Toshiba Kikai Kabushiki Kaisha | Casting control support system for die casting machines |
US5663797A (en) | 1996-05-16 | 1997-09-02 | Micron Technology, Inc. | Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers |
JPH1086040A (ja) * | 1996-06-13 | 1998-04-07 | Mitsubishi Electric Corp | 多系統の自動プログラミング方法及びその装置 |
US5667424A (en) | 1996-09-25 | 1997-09-16 | Chartered Semiconductor Manufacturing Pte Ltd. | New chemical mechanical planarization (CMP) end point detection apparatus |
US6041263A (en) * | 1996-10-01 | 2000-03-21 | Aspen Technology, Inc. | Method and apparatus for simulating and optimizing a plant model |
US5859964A (en) * | 1996-10-25 | 1999-01-12 | Advanced Micro Devices, Inc. | System and method for performing real time data acquisition, process modeling and fault detection of wafer fabrication processes |
US5695810A (en) | 1996-11-20 | 1997-12-09 | Cornell Research Foundation, Inc. | Use of cobalt tungsten phosphide as a barrier material for copper metallization |
US5895596A (en) * | 1997-01-27 | 1999-04-20 | Semitool Thermal | Model based temperature controller for semiconductor thermal processors |
US5862054A (en) * | 1997-02-20 | 1999-01-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Process monitoring system for real time statistical process control |
KR100272252B1 (ko) * | 1997-04-17 | 2000-11-15 | 윤종용 | 웨이퍼카세트반송방법 |
JP3186643B2 (ja) * | 1997-05-08 | 2001-07-11 | 日本電気株式会社 | 充電器および充電器と携帯無線機とからなる無線装置 |
US6219711B1 (en) * | 1997-05-13 | 2001-04-17 | Micron Electronics, Inc. | Synchronous communication interface |
US6240330B1 (en) * | 1997-05-28 | 2001-05-29 | International Business Machines Corporation | Method for feedforward corrections for off-specification conditions |
US6012048A (en) * | 1997-05-30 | 2000-01-04 | Capital Security Systems, Inc. | Automated banking system for dispensing money orders, wire transfer and bill payment |
TW436369B (en) * | 1997-07-11 | 2001-05-28 | Tokyo Seimitsu Co Ltd | Wafer polishing device |
US6345315B1 (en) * | 1997-08-13 | 2002-02-05 | Sudhindra N. Mishra | Method for platform and protocol independent communication between client-server pairs |
US6100184A (en) * | 1997-08-20 | 2000-08-08 | Sematech, Inc. | Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer |
KR19990027324A (ko) * | 1997-09-29 | 1999-04-15 | 윤종용 | 웨이퍼 인식 시스템을 구비하는 멀티 챔버 시스템과 이를 이용한 웨이퍼 가공방법 |
DE19747574A1 (de) * | 1997-10-28 | 1999-05-06 | Siemens Ag | Verfahren zur Ermittlung realisierbarer Konfigurationen von Bearbeitungsanlagen |
US6041270A (en) * | 1997-12-05 | 2000-03-21 | Advanced Micro Devices, Inc. | Automatic recipe adjust and download based on process control window |
KR100251279B1 (ko) * | 1997-12-26 | 2000-04-15 | 윤종용 | 반도체 제조용 증착설비의 막두께 조절방법 |
KR100258841B1 (ko) * | 1997-12-26 | 2000-06-15 | 윤종용 | 반도체 제조설비 관리시스템의 설비 유닛상태 관리방법 |
EP0932194A1 (en) * | 1997-12-30 | 1999-07-28 | International Business Machines Corporation | Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision |
KR19990065486A (ko) * | 1998-01-14 | 1999-08-05 | 윤종용 | 반도체 제조설비 관리시스템의 공정조건 관리방법 |
KR100297371B1 (ko) * | 1998-02-03 | 2001-10-25 | 윤종용 | 반도체 공정 데이터 통합 관리 방법 |
US6054379A (en) * | 1998-02-11 | 2000-04-25 | Applied Materials, Inc. | Method of depositing a low k dielectric with organo silane |
US6228280B1 (en) * | 1998-05-06 | 2001-05-08 | International Business Machines Corporation | Endpoint detection by chemical reaction and reagent |
KR20010071235A (ko) * | 1998-05-11 | 2001-07-28 | 세미툴 인코포레이티드 | 열반응기용 온도 제어 시스템 |
US6230069B1 (en) * | 1998-06-26 | 2001-05-08 | Advanced Micro Devices, Inc. | System and method for controlling the manufacture of discrete parts in semiconductor fabrication using model predictive control |
KR100292030B1 (ko) * | 1998-09-15 | 2001-08-07 | 윤종용 | 반도체 박막 공정에서의 박막 두께 제어 방법 |
US6197604B1 (en) * | 1998-10-01 | 2001-03-06 | Advanced Micro Devices, Inc. | Method for providing cooperative run-to-run control for multi-product and multi-process semiconductor fabrication |
US6366934B1 (en) * | 1998-10-08 | 2002-04-02 | International Business Machines Corporation | Method and apparatus for querying structured documents using a database extender |
US6226792B1 (en) * | 1998-10-14 | 2001-05-01 | Unisys Corporation | Object management system supporting the use of application domain knowledge mapped to technology domain knowledge |
US6339727B1 (en) * | 1998-12-21 | 2002-01-15 | Recot, Inc. | Apparatus and method for controlling distribution of product in manufacturing process |
US6212961B1 (en) * | 1999-02-11 | 2001-04-10 | Nova Measuring Instruments Ltd. | Buffer system for a wafer handling system |
US6389491B1 (en) * | 1999-03-23 | 2002-05-14 | Agilent Technologies, Inc. | Test instrumentation I/O communication interface and method |
US6268270B1 (en) * | 1999-04-30 | 2001-07-31 | Advanced Micro Devices, Inc. | Lot-to-lot rapid thermal processing (RTP) chamber preheat optimization |
JP2003502771A (ja) * | 1999-06-22 | 2003-01-21 | ブルックス オートメーション インコーポレイテッド | マイクロエレクトロニクス製作に使用するラントゥーラン制御器 |
NL1015480C2 (nl) * | 1999-06-22 | 2002-08-22 | Hyundai Electronics Ind | Halfgeleider fabriekautomatiseringssysteem en werkwijze voor de verwerking van ten minste een halfgeleiderwafelcassette. |
US6046108A (en) * | 1999-06-25 | 2000-04-04 | Taiwan Semiconductor Manufacturing Company | Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby |
US6391780B1 (en) * | 1999-08-23 | 2002-05-21 | Taiwan Semiconductor Manufacturing Company | Method to prevent copper CMP dishing |
US6556881B1 (en) * | 1999-09-09 | 2003-04-29 | Advanced Micro Devices, Inc. | Method and apparatus for integrating near real-time fault detection in an APC framework |
US6368879B1 (en) * | 1999-09-22 | 2002-04-09 | Advanced Micro Devices, Inc. | Process control with control signal derived from metrology of a repetitive critical dimension feature of a test structure on the work piece |
US6560504B1 (en) * | 1999-09-29 | 2003-05-06 | Advanced Micro Devices, Inc. | Use of contamination-free manufacturing data in fault detection and classification as well as in run-to-run control |
US6532555B1 (en) * | 1999-10-29 | 2003-03-11 | Advanced Micro Devices, Inc. | Method and apparatus for integration of real-time tool data and in-line metrology for fault detection in an advanced process control (APC) framework |
US6546508B1 (en) * | 1999-10-29 | 2003-04-08 | Advanced Micro Devices, Inc. | Method and apparatus for fault detection of a processing tool in an advanced process control (APC) framework |
US6355559B1 (en) * | 1999-11-18 | 2002-03-12 | Texas Instruments Incorporated | Passivation of inlaid metallization |
US6340602B1 (en) * | 1999-12-10 | 2002-01-22 | Sensys Instruments | Method of measuring meso-scale structures on wafers |
US6465263B1 (en) * | 2000-01-04 | 2002-10-15 | Advanced Micro Devices, Inc. | Method and apparatus for implementing corrected species by monitoring specific state parameters |
US6449524B1 (en) * | 2000-01-04 | 2002-09-10 | Advanced Micro Devices, Inc. | Method and apparatus for using equipment state data for run-to-run control of manufacturing tools |
US6567717B2 (en) * | 2000-01-19 | 2003-05-20 | Advanced Micro Devices, Inc. | Feed-forward control of TCI doping for improving mass-production-wise, statistical distribution of critical performance parameters in semiconductor devices |
US6517414B1 (en) * | 2000-03-10 | 2003-02-11 | Appied Materials, Inc. | Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus |
JP4874465B2 (ja) * | 2000-03-28 | 2012-02-15 | 株式会社東芝 | 渦電流損失測定センサ |
US6245581B1 (en) * | 2000-04-19 | 2001-06-12 | Advanced Micro Devices, Inc. | Method and apparatus for control of critical dimension using feedback etch control |
US6725402B1 (en) * | 2000-07-31 | 2004-04-20 | Advanced Micro Devices, Inc. | Method and apparatus for fault detection of a processing tool and control thereof using an advanced process control (APC) framework |
US6708074B1 (en) * | 2000-08-11 | 2004-03-16 | Applied Materials, Inc. | Generic interface builder |
US6537912B1 (en) * | 2000-08-25 | 2003-03-25 | Micron Technology Inc. | Method of forming an encapsulated conductive pillar |
US6346426B1 (en) * | 2000-11-17 | 2002-02-12 | Advanced Micro Devices, Inc. | Method and apparatus for characterizing semiconductor device performance variations based on independent critical dimension measurements |
US6728587B2 (en) * | 2000-12-27 | 2004-04-27 | Insyst Ltd. | Method for global automated process control |
US6535783B1 (en) * | 2001-03-05 | 2003-03-18 | Advanced Micro Devices, Inc. | Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework |
US6540591B1 (en) * | 2001-04-18 | 2003-04-01 | Alexander J. Pasadyn | Method and apparatus for post-polish thickness and uniformity control |
JP2002373843A (ja) * | 2001-06-14 | 2002-12-26 | Nec Corp | 塗布装置及び塗布膜厚制御方法 |
US6913938B2 (en) * | 2001-06-19 | 2005-07-05 | Applied Materials, Inc. | Feedback control of plasma-enhanced chemical vapor deposition processes |
US6708075B2 (en) * | 2001-11-16 | 2004-03-16 | Advanced Micro Devices | Method and apparatus for utilizing integrated metrology data as feed-forward data |
US6515368B1 (en) * | 2001-12-07 | 2003-02-04 | Advanced Micro Devices, Inc. | Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper |
US6528409B1 (en) * | 2002-04-29 | 2003-03-04 | Advanced Micro Devices, Inc. | Interconnect structure formed in porous dielectric material with minimized degradation and electromigration |
-
2002
- 2002-05-01 US US10/135,405 patent/US7337019B2/en not_active Expired - Lifetime
- 2002-07-12 JP JP2003514592A patent/JP4377224B2/ja not_active Expired - Fee Related
- 2002-07-12 WO PCT/US2002/021942 patent/WO2003009345A2/en active IP Right Grant
- 2002-07-12 DE DE60220063T patent/DE60220063T2/de not_active Expired - Fee Related
- 2002-07-12 CN CNB028142578A patent/CN100432879C/zh not_active Expired - Fee Related
- 2002-07-12 KR KR1020047000645A patent/KR100916190B1/ko active IP Right Grant
- 2002-07-12 EP EP02746974A patent/EP1412827B1/en not_active Expired - Lifetime
- 2002-07-12 AT AT02746974T patent/ATE362127T1/de not_active IP Right Cessation
- 2002-07-12 AU AU2002316650A patent/AU2002316650A1/en not_active Abandoned
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101134258B1 (ko) * | 2006-10-05 | 2012-04-12 | 도쿄엘렉트론가부시키가이샤 | 기판 처리 시스템의 처리 레시피 최적화 방법 |
Also Published As
Publication number | Publication date |
---|---|
DE60220063T2 (de) | 2008-01-10 |
CN100432879C (zh) | 2008-11-12 |
JP2005522018A (ja) | 2005-07-21 |
US20030014145A1 (en) | 2003-01-16 |
WO2003009345A2 (en) | 2003-01-30 |
ATE362127T1 (de) | 2007-06-15 |
WO2003009345A3 (en) | 2004-01-08 |
AU2002316650A1 (en) | 2003-03-03 |
CN1564970A (zh) | 2005-01-12 |
EP1412827B1 (en) | 2007-05-09 |
US7337019B2 (en) | 2008-02-26 |
DE60220063D1 (de) | 2007-06-21 |
KR100916190B1 (ko) | 2009-09-08 |
WO2003009345A9 (en) | 2004-04-08 |
JP4377224B2 (ja) | 2009-12-02 |
EP1412827A2 (en) | 2004-04-28 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100916190B1 (ko) | 런투런 제어와 고장 검출의 통합 | |
US8849615B2 (en) | Method and system for semiconductor process control and monitoring by using a data quality metric | |
US6556949B1 (en) | Semiconductor processing techniques | |
US6678570B1 (en) | Method and apparatus for determining output characteristics using tool state data | |
KR100706584B1 (ko) | 반도체 프로세싱 방법 및 그 장치 | |
US7587296B2 (en) | Adaptive multivariate fault detection | |
US8010321B2 (en) | Metrics independent and recipe independent fault classes | |
US6650955B1 (en) | Method and apparatus for determining a sampling plan based on process and equipment fingerprinting | |
US11126172B2 (en) | Methods and systems for applying run-to-run control and virtual metrology to reduce equipment recovery time | |
KR20050058369A (ko) | 제조하는 동안의 디바이스 전자 파라미터들을 예측하기 위한 방법 및 장치 | |
US6563300B1 (en) | Method and apparatus for fault detection using multiple tool error signals | |
US6687561B1 (en) | Method and apparatus for determining a sampling plan based on defectivity | |
US6790686B1 (en) | Method and apparatus for integrating dispatch and process control actions | |
US6785586B1 (en) | Method and apparatus for adaptively scheduling tool maintenance | |
US6665623B1 (en) | Method and apparatus for optimizing downstream uniformity | |
US6701206B1 (en) | Method and system for controlling a process tool | |
US6821792B1 (en) | Method and apparatus for determining a sampling plan based on process and equipment state information | |
US7100081B1 (en) | Method and apparatus for fault classification based on residual vectors | |
US6732007B1 (en) | Method and apparatus for implementing dynamic qualification recipes | |
US7020535B1 (en) | Method and apparatus for providing excitation for a process controller | |
US7103439B1 (en) | Method and apparatus for initializing tool controllers based on tool event data | |
US6697696B1 (en) | Fault detection control system using dual bus architecture, and methods of using same | |
US7337091B1 (en) | Method and apparatus for coordinating fault detection settings and process control changes | |
Barna | APC in the semiconductor industry, history and near term prognosis | |
KR20010029772A (ko) | 반도체 프로세싱 방법 및 그 장치 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20120830 Year of fee payment: 4 |
|
FPAY | Annual fee payment |
Payment date: 20130830 Year of fee payment: 5 |
|
FPAY | Annual fee payment |
Payment date: 20140828 Year of fee payment: 6 |
|
FPAY | Annual fee payment |
Payment date: 20160629 Year of fee payment: 8 |
|
FPAY | Annual fee payment |
Payment date: 20170629 Year of fee payment: 9 |
|
FPAY | Annual fee payment |
Payment date: 20180903 Year of fee payment: 10 |