KR20040015813A - 런투런 제어와 고장 검출의 통합 - Google Patents

런투런 제어와 고장 검출의 통합 Download PDF

Info

Publication number
KR20040015813A
KR20040015813A KR10-2004-7000645A KR20047000645A KR20040015813A KR 20040015813 A KR20040015813 A KR 20040015813A KR 20047000645 A KR20047000645 A KR 20047000645A KR 20040015813 A KR20040015813 A KR 20040015813A
Authority
KR
South Korea
Prior art keywords
run
processing
wafer
failure
recipe
Prior art date
Application number
KR10-2004-7000645A
Other languages
English (en)
Other versions
KR100916190B1 (ko
Inventor
테어리 피. 라이스
아룰쿠마 피. 샨무가슨드람
알렉산더 티. 슈밤
Original Assignee
어플라이드 머티어리얼즈 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼즈 인코포레이티드 filed Critical 어플라이드 머티어리얼즈 인코포레이티드
Publication of KR20040015813A publication Critical patent/KR20040015813A/ko
Application granted granted Critical
Publication of KR100916190B1 publication Critical patent/KR100916190B1/ko

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B23/00Testing or monitoring of control systems or parts thereof
    • G05B23/02Electric testing or monitoring
    • G05B23/0205Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults
    • G05B23/0259Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults characterized by the response to fault detection
    • G05B23/0286Modifications to the monitored process, e.g. stopping operation or adapting control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31357Observer based fault detection, use model
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31443Keep track of nc program, recipe program
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Abstract

반도체 웨이퍼는 런투런 컨트롤러 및 고장 검출 시스템을 사용하는 제조 실행 시스템과 연계하여 처리된다. 레시피는 툴을 제어하기 위한 런투런 컨트롤러에 의해 제조 실행 시스템으로부터 수신된다. 레시피는 하나 이상의 타겟 웨이퍼 특성을 얻기 위한 세트포인트를 포함한다. 웨이퍼의 처리는 고장 검출 시스템 및 하나 이상의 센서를 사용하여 고장 상태 및 웨이퍼 특성을 포함하는 처리 속성을 측정함으로써 감시된다. 고장 검출 시스템에 의해 고장 상태가 검출된 경우를 제외하고는, 레시피의 세트포인트는 처리 속성에 따라 런투런 컨트롤러에서 수정되어 타겟 웨이퍼 특성을 유지할 수 있다. 따라서, 툴 또는 웨이퍼 고장 상태의 존재 시에 획득된 데이터는 피드백용으로 사용되지 않는다. 또한, 고장 검출 모델은 고장 상태를 표시하는 상태의 범위를 정의하는데 사용될 수 있다. 이들 경우, 고장 검출 모델은 런투런 컨트롤러에 의해 수정된 레시피의 세트포인트를 파라미터로서 통합하도록 수정될 수 있다.

Description

런투런 제어와 고장 검출의 통합{INTEGRATION OF FAULT DETECTION WITH RUN-TO-RUN CONTROL}
통상의 반도체 제조 공정에서, 반도체 웨이퍼, 또는 단순히 웨이퍼는 팹(fab)이라 불리는 범위 내에서 다수의 스테이션을 통하여 발전되고 있다. 이러한 어셈블리 라인형(assembly line-like) 공정에서의 각 위치에서, 프로세싱 장비 또는 툴(tool)은 프로세싱 동작을 행하여 웨이퍼를 수정한다. 예를 들면, 하나의 툴이 웨이퍼 상에 각종의 층(layer)들을 추가하는(예를 들면, 증착 툴) 한편, 다른 툴이 그 층들을 수정하여(예를 들면, 에칭 툴) 완성된 반도체 제품을 형성할 수 있다.
웨이퍼들을 어셈블리 라인을 통하여 이동시킴으로써, 웨이퍼에 대하여 주기적인 품질 검사가 행해진다. 품질 검사는 통상적으로 이상(aberration)을 찾기 위해 미세 라인의 폭 및 웨이퍼 상의 막 두께를 측정하는 것을 포함한다. 대다수의 품질 검사에서는, 웨이퍼에 이상이 생길 수 있는 것(툴)들에 수반하는 공정 동작이 웨이퍼에 행해진 후에만 측정이 이루어질 수 있다. 또한, 시간 주기 및 다수의 공정 단계는 통상적으로 이상의 발생과 검출 사이를 통과한다. 따라서, 다수의 공정들은 이상이 생긴 후에도 웨이퍼 상에서 수행될 수 있다. 마찬가지로, 이상이 생기기 시작한 후에도 툴은 웨이퍼를 연속하여 처리할 수 있다. 어느 경우에도, 다수의 웨이퍼가 스크랩(scrap)되어야 한다.
종래 기술에서는 몇가지의 문제점이 있는 것이 알려져 있다. 2개의 예로서, 런투런 제어 및 고장 검출을 들 수 있다.
통상, 런투런 제어는 모델링 기법에 의해 반출 및 반입 웨이퍼로부터의 데이터를 사용하여 공정 출력 드리프트(process output drifts)(즉, 공정 타겟으로부터의 드리프트)를 다루어 공정 파라미터들을 조정한다. 이들 드리프트는 툴이 정상적인 툴 사용에 따른 출력을 생성하는 방식에서의 약간의 변화에 관한 것이다. 예를 들면, 화학적 기계적 연마(CMP) 공정에서, 막 두께를 감소시키는데 사용되는 연마 패드는 시간에 따라 마모된다. 그 결과, 마모된 연마 패드는 불가피하게 새로운 패드보다도 원하는 두께를 생성하는데 더 많은 시간을 필요로 한다. 런투런 제어는 연마 패드가 마모되는 점을 고려하여 연마 시간 등의 공정 파라미터를 조정함으로써 이러한 유형의 문제점을 다루는데 사용될 수 있다.
런투런 제어는 하나 이상의 공정 단계에서 취해진 도량형(metrology) 데이터를 사용하여 런투런 체제로 공정 레시피(recipe)(즉, 처리 결과를 실현하는데 필요한 미리 정해진 공정 파라미터의 세트)를 조정한다. 작업(run)은 웨이퍼의 제조 공정의 하나 이상의 단계로 이루어질 수 있다. 작업은 특정한 요구 및 공정 단계와 팹의 능력에 따라서, 한묶음의 웨이퍼 로트(lot), 단일 로트 또는 단일 웨이퍼를 포함할 수 있다. 일반적으로, 런투런 제어는 각 공정 또는 툴에서 측정된 데이터를 사용하여, 각 툴의 레시피의 세트포인트를 약간 변경 또는 조정함으로써 그들의 공칭값(nominal value)에 근접한 웨이퍼 특성(예를 들면, 막 두께, 균일 등)을 유지한다. 통상의 경우, 특정 툴의 공정 단계 중에 또는 그 직후에 취해진 데이터를 피드백하여 다음 작업의 레시피를 조정한다. 마찬가지로, 데이터를 다음의 툴로 보내어 다운스트림 레시피를 조정할 수 있다. 이와 같이, 런투런 제어는 공정 출력 드리프트를 다루는데 사용될 수 있다.
런투런 제어가 공정 드리프트를 다루는데 사용될 수 있지만, 레시피 세트포인트로 행해지는 조정에 상관없이, 툴이 단순히 수용가능한 제품을 더 이상 생산할 수 없는 상황에 대해서는 부적당하다. 마찬가지로, 런투런 제어는 웨이퍼가 결함이 있는 상황을 다루지 못한다. 이러한 상황을 툴 또는 웨이퍼 특성 고장이라 부른다. 고장 또는 파손 상태를 겪은 툴에 의해 이상 또는 결함이 웨이퍼 내에 생긴다. 마찬가지로, 웨이퍼 특성 고장은 수리할 수 없는 웨이퍼의 상태를 나타낸다. 다수의 방법이 이러한 상태를 검출하는데 사용될 수 있다. 예를 들면, 주어진 공정 동작을 수행하는데 필요한 온도로부터 현저한 온도 하강이 고장의 원인이 될 수 있다. 고장 상태의 다른 예로서는 공정 재료의 유량의 스파이크(spike)를 들 수있다. 이러한 예에서, 런투런 컨트롤러는 고장을 드리프트로서 취급하고, 단순히 조정을 행하여 문제를 다룰 수 없는 경우에도 툴의 레시피를 조정함으로써 상황을 개선하도록 시도한다. 따라서, 툴을 수용 가능한 동작 상태로 복원시키는 대신에, 툴은 다음의 웨이퍼 내에 계속해서 이상이 생기게 하거나, 또는 결함이 있는 웨이퍼를 계속해서 처리하게 되어, 추가적인 낭비를 초래한다.
런투런에 반해, 고장 검출은 공정 장비 파라미터 및 웨이퍼 속성을 감시하여 툴 및 웨이퍼 특성 결함 또는 고장 상태를 검출한다. 고장 결함 시스템은 공정 장비의 동작 중에 공정 데이터를 수집하여 이상 또는 고장을 검출하기 위해서 데이터를 분석한다. 고장이 검출되면, 고장 검출 시스템은 각종의 작용 방법을 가질 수 있다. 예를 들면, 이 시스템은 장비 조작자에게 통지하거나 공정 장비의 실행을 종료할 수도 있다.
고장 검출은 툴 또는 웨이퍼 특성 결함 상황을 다루는데 적절하지만, 프로세스 드리프트를 다루지는 못한다. 따라서, 툴 또는 공정이 실패할 때까지, 고장 검출 시스템은 그대로 작동하지 않고 툴을 최적의 동작 상태로 드리프트시킨다.
웨이퍼를 처리하기 위한 유효한 기술들이 점차적으로 요구되고 있다. 특히, 공정 드리프트와 고장 상태 양자를 다룰 수 있는 시스템이 요구되고 있다.
본 출원은 미국출원 제10/135,405호(2002년 5월 1일) 및 미국예비출원 제60/305,140호(2001년 7월 16일)로부터 우선권 주장되어 있고, 본 명세서에서는 상기한 우선권을 참조하여 구체화하고 있다.
본 발명은 일반적으로 반도체 제조에 관한 것으로, 특히 고장 검출(fault detection) 개념을 런투런(run-to-run) 제어와 통합하여 반도체를 제조하는 기술에 관한 것이다.
본 발명의 다양한 목적, 특징 및 이점들은 첨부된 도면과 함께 고려될 때 다음과 같은 본 발명의 상세한 설명을 참조하여 보다 더 이해될 수 있음이 병백하다.
도 1은 본 발명의 적어도 일부 개념을 구현할 수 있는 반도체 제조 시스템의 일부분의 적어도 일례를 나타내는 하드웨어 블럭도.
도 2는 반도체 웨이퍼를 제조하기 위한 도 1의 반도체 제조 시스템에 의해 구현될 수 있는 제어 시스템의 적어도 일례를 나타내는 도면.
도 3은 본 발명의 하나 이상의 실시예의 제조 공정을 제어할 수 있는 공정의 적어도 일례를 나타내는 도면.
도 4는 본 발명의 하나 이상의 실시예의 런투런 제어 절차를 구현할 수 있는 공정의 적어도 일례를 나타내는 도면.
도 5는 본 발명의 하나 이상의 실시예의 고장 검출 제어 절차를 구현할 수 있는 공정의 적어도 일례를 나타내는 도면.
도 6은 본 발명의 하나 이상의 실시예의 일부로서 기대되고 사용하는 연산 장치의 구성을 나타내는 하이레벨 블럭도.
도 7은 본 발명의 하나 이상의 실시예의 컴퓨터 구현 공정을 저장하는데 사용될 수 있는 기억 매체의 일례를 나타내는 도면.
본 발명은 런투런 및 고장 검출 기술을 통합하여 상기한 문제점을 다루고 있다. 구체적으로, 반도체 웨이퍼 및 그 외의 항목은 런투런 컨트롤러 및 고장 검출 시스템을 사용하는 제조 실행 시스템과 관련하여 처리된다. 우선, 본 발명의 하나이상의 실시예에 따르면, 레시피는 툴을 제어하기 위한 런투런 컨트롤러에 의해 제조 실행 시스템으로부터 수신된다. 이 레시피는 하나 이상의 타겟 웨이퍼 특성을 얻기 위한 세트포인트를 포함한다. 그로부터, 고장 검출 시스템 및/또는 센서를 사용하여 고장 상태 및 웨이퍼 특성을 포함하는 처리 속성(processing attribute)을 측정함으로써 웨이퍼의 처리가 감시된다. 이들 처리 속성은 고장 검출 시스템으로부터 런투런 컨트롤러로 진행된다. 그 결과, 고장 검출 시스템에 의해 고장 상태가 검출된 경우를 제외하고는, 처리 속성에 따라서 런투런 컨트롤러에 의해 레시피의 세트포인트를 수정하여 타겟 웨이퍼 특성을 유지할 수 있다.
다른(또는 병행) 실시예에서는, 웨이퍼가 레시피에 따라서 또한 처리된다. 이 레시피는 하나 이상의 타겟 웨이퍼 특성을 얻기 위한 적어도 하나의 세트포인트를 포함한다. 이 기술은 웨이퍼 특성을 측정하고, 고장 상태(예를 들면, 웨이퍼나 장비 고장)를 표시하는 상태를 검출하는 것을 또한 포함한다. 고장 상태가 검출되지 않은 경우, 레시피의 세트포인트는 측정된 웨이퍼 특성에 따라 수정되어, 타겟 웨이퍼 특성을 유지한다. 일부 실시예에서, 고장 상태가 검출된 경우, 공정이 종료된다.
그 외(또는 병행)의 실시예에서는, 웨이퍼 특성이 처리 실행 전에 측정될 수 있다. 또 다른(또는 병행) 실시예에서는, 온도, 압력, 전력, 처리 시간, 재료의 리프트 위치 및 유량을 포함할 수 있는 레시피의 2개 이상의 세트포인트가 수정된다.
그 외(또는 병행)의 실시예에서는, 고장 검출 모델이 고장 상태를 표시하는상태의 범위를 정의하는데 사용될 수 있다. 이들 실시예에서는, 고장 검출 모델이 런투런 컨트롤러에 의해 수정된 레시피의 세트포인트를 파라미터로서 통합하도록 수정될 수 있다.
본 발명의 하나 이상의 실시예에 따르면, 런투런 컨트롤러 및 고장 검출 시스템을 사용하는 제조 실행 시스템과 연계하여 반도체 웨이퍼를 처리하기 위한 기술이 제공된다. 보다 구체적으로, 제조 실행 시스템은 툴을 제어하기 위해서 레시피를 런투런 컨트롤러로 전송한다. 이 레시피는 하나 이상의 타겟 웨이퍼 특성을 얻기 위한 세트포인트를 포함한다. 또한, 고장 검출 시스템은 고장 상태 및 웨이퍼 특성을 포함하는 처리 속성을 측정하여 웨이퍼의 처리를 감시한다. 런투런 컨트롤러는 처리 속성(고장 검출 시스템 및 그 외의 정보 수집원으로부터 수신된)에 따라 레피시의 세트포인트를 수정하여, 고장 검출 시스템에 의해 고장 상태가 검출된 경우를 제외하고는 타겟 웨이퍼 특성을 유지한다.
도 1은 본 발명의 적어도 하나 이상의 형태를 구현할 수 있는 반도체 제조 시스템(100)의 일부를 나타내는 하드웨어 블럭도의 적어도 일례를 나타낸다. 도 1에 나타낸 바와 같이, 반도체 제조 시스템(100)은 기타 구성요소 중, 네트워크(130)를 통하여 각각 상호접속된, 고장 검출 시스템(110), 런투런 컨트롤러(120), 및 하나 이상의 프로세스 장비 또는 툴(150)을 포함한다. 상기한 바와 같이, 고장 검출 시스템(110)은 고장 상태를 검출하기 위해서 하나 이상의 툴(150) 및 웨이퍼를 감시할 수 있다. 런투런 컨트롤러(120)는 제조 효율을 증가시키기 위해서 툴 레시피를 수정할 수 있다. 도 1이 고장 검출 시스템(110) 및 런투런 컨트롤러(120)를 분리 또는 구별되는 구성요소로서 나타내고 있지만, 본 발명의 하나 이상의 실시예는 단일 연산 노드로 고장 검출 시스템(110) 및 런투런컨트롤러(120)를 구현하는 것을 고려하고 있다.
런투런 컨트롤러(120) 및 고장 검출 시스템(110) 외에, 본 발명의 하나 이상의 실시예는 임의 수의 도량형 툴 또는 센서(190)가, 하나 이상의 툴(150)에 의해 처리하기 직전 또는 직후의 웨이퍼 특성을 측정하기 위해서 하나 이상의 툴(150) 각각으로부터 상류측 또는 하류측에 배치될 수 있는 것을 고려할 수 있다. 도량형 툴(109)을 사용하는 경우에는, 이 도량형 툴(190)이 네트워크(130)를 통하여 시스템(100)의 잔여 구성요소와 링크될 수 있다. 마찬가지로, 입력 웨이퍼 특성이 상류 또는 피드포워드 툴(예를 들면, 다른 툴로부터 상류측에 배치된 툴)로부터 수신될 수도 있다. 따라서, 특성들은 센서에 의해 이전의 제조 단계의 종료나 도중에 다른 툴에서 측정되어, 인스턴트 툴에서의 사용을 위해 보내질 수 있다. 이러한 도량형 툴(190)의 예로서는 미국 캘리포니아주 세너제이 소재의 KLA-Tencor에 의해 제공된 RS-75TM을 들 수 있다.
하나 이상의 툴(150)은 웨이퍼를 처리하기 위해 사용되는 상이한 종류의 툴의 수를 임의로 하여 원하는 출력을 생성할 수 있다. 그 예로는, CMP, 리소그래피, 증착, 또는 에칭 툴 등을 들 수 있다. 본 발명의 하나 이상의 실시예에서, 하나 이상의 툴은 컨트롤러(152), 임의 수의 공정 챔버(154), 및 웨이퍼 측정 서브시스템(156)을 포함할 수 있다. 이하 보다 상세히 설명하는 바와 같이, 컨트롤러(152)는 고장 검출 시스템(110) 및 런투런 컨트롤러(120)로부터의 정보를 사용하여 웨이퍼를 처리한다. 동작 중에, 인입 웨이퍼(160)는 최초에 공정챔버(154)로 이동된다. 그로부터, 웨이퍼가 처리되고 그 후에 툴로부터 이동된다. 일부 공정 챔버의 예로는 이중 플라즈마 에칭 챔버 및 CMP 연마 챔버를 들 수 있다.
웨이퍼 측정 서브시스템(156)은 웨이퍼 처리 전, 그 도중 및/또는 그 후에 웨이퍼 특성을 측정하는데 사용된다. 이들 특성은 툴의 종류에 따라 다르고, 막 두께, 균일성 등을 포함할 수 있다. 웨이퍼 측정 서브시스템(156)은 처리 중에 실시간으로 웨이퍼 파라미터를 측정할 수 있는 원위치(in situ) 센서를 포함할 수 있다. 마찬가지로, 웨이퍼 측정 서브시스템(156)은 거의 실시간 측정을 위해 공정 챔버(154) 내에 또는 그 근처에 배치된 일체형 또는 인라인 센서를 포함할 수 있다. 원위치 센서의 예로서는 미국 캘리포니아주 산타클라라 소재의 Applied Materials, Inc.에 의해 제공된 "In Situ Removal Monitor"를 들 수 있다. 일체형 또는 인라인 센서의 예로서는 도량형 기술과 일체화된 툴(예를 들면, 이스라엘 레호봇(Rehovot) 소재의 Nova Measuring Instruments, Ltd.에 의해 제공된 Nova 2020TM또는 미국 캘리포니아 산타클라라 소재의 Nanometric에 의해 제공된 Nano 9000TM)을 포함한다.
일반적으로, 하나 이상의 툴(150)은 공정 레시피, 즉 처리 결과를 달성하는데 필요한 미리정해진 공정 파라미터의 세트에 따라서 인입 웨이퍼(160) 상에서 동작을 행한다. 예를 들면, 통상의 레시피는 원하는 출력을 달성하는데 필요한 임의 수의 공정에 대하여 하나 이상의 세트포인트를 지시할 수 있다. 따라서, 레시피는특정 웨이퍼를 생성하는데 필요한 온도, 압력, 전력, 처리 시간, 재료의 요구되는 리프트 위치 및 유량을 식별할 수 있다.
또한, 다른 특성들도 또한 포함될 수 있다. 본 발명의 하나 이상의 실시예에 따르면, 컨트롤러(152)는 필요에 따라 예를 들어 상류측 도량형 툴로부터 수신된 정보 및 하나 이상의 툴(150)의 이전 동작 및 작업을 활용하여 이들 레시피를 수정한다. 따라서, 인입 웨이퍼의 측정된 막 두께는 처리하기 전의 이전 작업의 결과와 함께 컨트롤러(152)에 제공될 수 있다. 그 후, 이 정보가 컨트롤러(152)에 의해 사용되어 공정 레시피의 하나 이상의 세트포인트를 수정하여 생산 효율을 증대시킬 수 있다.
처리 중에, 웨이퍼 측정 서브시스템(156)은 임의 수의 웨이퍼 특성을 측정하는데 활용될 수 있다. 또한, 웨이퍼 특성은 처리 직전 또는 그 직후에 측정될 수도 있다. 본 발명의 하나 이상의 실시예에서, 서브시스템(156)은 처리의 완료를 검출(예를 들면, 엔드포인트 검출 등을 통해)하는데 사용될 수 있다. 처리를 완료하면, 웨이퍼를 공정 챔버(154)로부터, 예를 들면 하류측 툴로 이동시킨다. 웨이퍼 측정 서브시스템(156) 또는 다른 도량형 툴에 의해, 처리의 완료 시에 수집된 임의의 웨이퍼 특성들은 하류측 툴로 전송될 수 있다. 마찬가지로, 측정된 특성들은 장래의 작업을 수정할 때에 사용하기 위해서 컨트롤러(152), 고장 검출 시스템(110), 및/또는 런투런 컨트롤러(120)로 전송될 수 있다. 후술하는 바와 같이, 고장 검출 시스템(110) 및 런투런 컨트롤러(120)로 전송된 데이터는 임의의 고장 상태를 검출하기 위해서 그리고 이후의 공정 레시피를 수정할 때에 사용하기 위해서 분석될 수 있다.
도 2를 참조하면, 반도체 제조 시스템(100)에 의해 구현될 수 있는 제어 시스템(200)의 일례가 도시되어 있다. 도 2에 나타낸 바와 같이, 제어 시스템(200)은 제어 공정(210), 고장 검출 공정(220), 런투런 공정(230) 및 웨이퍼 측정 공정(240)을 포함할 수 있다. 제어 공정(210)은 예를 들면 제어 알고리즘 등을 사용하여 하나 이상의 툴(150)의 동작을 제어한다. 예를 들면, 제어 공정(210)은 웨이퍼를 처리하는데 사용되는 툴 또는 공정 레시피를 선택할 수 있다. 이 공정 레시피는 예를 들어 공정 엔지니어 등에 의해 시스템 내에 입력되거나 다운로드될 수 있다. 레시피는 임의 수의 타겟 특성에 의해 지정됨에 따라, 부분적으로 생성될 소망의 결과 또는 최종 제품을 식별할 수 있다. 이들 타겟 특성은 예를 들면 CMP툴에 의해 생성될 소망하는 최종의 막 두께를 포함할 수 있다. 또한, 제어 공정(210)은 예를 들어 상류측 도량형 툴로부터 임의 수의 예비 웨이퍼(pre wafer) 측정(214)을 수신한다. 이들 측정은 후술하는 바와 같이 인입 웨이퍼의 특징을 제어 공정(210)에 기술하고, 레시피 세트포인트를 결정하는데 사용된다.
이들 입력(즉, 레시피(212) 및 측정(214))을 사용하여, 제어 공정(210)은 소망의 결과를 달성하기 위한 특정 세트포인트를 생성한다. 당 분야의 숙련자에게 공지된 바와 같이, 제어 공정(210)은 예를 들면 소정의 입력에 기초한 예상 출력을 예측하기 위한 모델을 사용하여 타겟 특성 및 측정(214)을 분석한다. 이 경우, 타겟 특성(예를 들면, 막 두께) 및 예비 웨이퍼 측정(예를 들면, 실제 두께)이 입력된다. 그리고, 모델에 기초하여 소망의 타겟 특성을 얻는데 필요한 세트 포인트가 예측될 수 있다. 본 발명의 하나 이상의 실시예에서, 모델은 시스템 초기화 단계 중에 예를 들어 공정 엔지니어 등에 의해 입력되거나 구현될 수 있다. 일반적으로, 임의의 적절한 반도체 웨이처 제조 모델이 활용될 수 있다.
사용 중, 임의 수의 웨이퍼 특성의 측정이 웨이퍼 측정 시스템(240)에 의해 처리 전, 그 도중 및/또는 그 후에 수집된다. 그리고, 이들 특성은 런투런 공정(230)으로 전송된다. 런투런 공정(230)은 웨이퍼 측정 시스템(240)에 의해 측정된 웨이퍼 특성을 분석하고, 임의의 수정이 효율을 증가시키기 위해서 툴의 공정 레시피(예를 들면 제어 공정(210)을 통하여)에 행해질 수 있는지를 판정한다. 상술한 바와 같이, CMP 연마 동작에서 연마 패드는 사용에 의해 마모되는 경향이 있다. 그 결과, 마모된 연마 패드가 특정의 막 두께를 얻기 위해서는 새로운 패드보다도 더욱 긴 연마 시간을 필요로 한다. 런투런 공정(230)은 보다 많은 양의 시간이 요구되는지를 인지하여 필요에 따라(예를 들면, 패드가 마모된 때) 연마 시간을 증가시키도록 연마 툴에 지시하는데 사용될 수 있다. 따라서, 런투런 공정의 분석 결과는 차후의 동작에서 공정 드리프트를 다루는데 사용하기 위해서 제어 공정(210)으로 전송될 수 있다.
본 발명의 하나 이상의 실시예에 따르면, 고장 검출 공정(220)은 시스템(200)이 고장 상태를 검출하는데 사용된다. 이후에서 보다 상세히 설명하는 바와 같이, 고장 검출 공정(220)은 예를 들면, 처리 중에 원위치 또는 일체형 센서에 의해 수집된 데이터를 사용한다. 하나 이상의 실시예는 이들 목적을 위해 고장 검출 인덱스를 생성하는 것을 계획하고 있다. 구체적으로, 이 인덱스는 제어공정(210)이 공정 레시피에 대하여 조정을 행하는지를 결정하는데 사용될 수 있다. 예를 들면, 고장 검출 인덱스 및 런투런 제어 공정(230)에 의해 생성된 분석 결과는 본 발명에 의해 사용되는 처리 속성의 적어도 일부를 구성하는 것으로, 제어 공정(210)으로 전송되고 분석되어 공정 레시피를 변경해야만 하는지를 판정한다. 예를 들면, 런투런 제어 공정(230)은 레시피에 대한 수정을 생성하고, 고장 검출 공정(220)은 수정을 해야 하거나 수정을 하지 않아야 하는 경우들을 식별한다. 따라서, 제어 공정(210)은 적절한 때(즉, 다룰 수 있는 문제점이나 비효율을 개선하는 방식으로 레시피를 조정할 수 있을 때)에만 레시피를 수정할 수 있다. 따라서, 시스템(200)은 "고장" 상태에서 런투런 기술에 따라 생성된 수정을 행하는 것을 억제할 수 있다.
도 3은 본 발명의 제조 공정을 제어할 수 있는 공정의 적어도 일례를 나타낸다. 도 3(도 1과 연계하여) 을 참조하면, 적어도 본 실시예에서는 처리가 웨이퍼 특성을 측정하는 단계(단계 304)로 개시된다. 구체적으로, 전처리(pre process) 웨이퍼 특성이 툴(예를 들면, 하나 이상의 툴(150))에 도착하기 전에 측정될 수 있다. 상술한 바와 같이, 상류측 툴, 원위치 센서, 일체형 또는 인라인 센서, 또는 그 외의 아날로그 장치에 배치된 임의의 후측정 센서가 사용될 수 있다. 예를 들면 막 두께, 균일성, 임계 치수, 파티클 수 등을 포함하는 임의 수의 웨이퍼 특성들은 이 시점에서 측정될 수 있다. 이들 웨이퍼 특성을 측정한 후, 데이터가 런투런 컨트롤러(120)로 전송된다(단계 308).
측정된 데이터를 런투런 컨트롤러(120)로 전송하는 것과 연계하여, 대응하는웨이퍼가 임의의 연관된 공정 정보와 함께 하나 이상의 툴(150)로 전달된다(단계 312). 또한, 제조 실행 시스템(MES)은 웨이퍼를 처리하기 위해 하나 이상의 툴(150)에 의해 사용될 특정 레시피에 관한 그리고 그 레시피를 식별하는 정보를 송신한다. 통상적으로 당 분야에서 공지된 바와 같이, MES는 사용될 특정 챔버, 임의의 공정 시컨스, 툴의 루팅(routing) 정보, 세팅 등을 식별할 수 있다. 마찬가지로, MES는 통상 출력 제품을 제작하거나 생성하는데 필요한 각각의 공정 및 리소스의 자동화, 일체화 및 종합화를 행할 수 있다.
다음에, 하나 이상의 툴(150)이 그 제조 공정을 실행한다(단계 316). 보다 구체적으로, 하나 이상의 툴(150)은 런투런 컨트롤러(120) 및/또는 고장 검출 시스템(110)에 의해 제공된 임의의 정보와 연계하여 MES로부터 수신된 정보에 따라 웨이퍼를 처리한다. 후술하는 바와 같이, 런투런 컨트롤러(120)로부터 수신된 정보는 고장이 아닐 때 MES에 의해 제공된 레시피를 수정 또는 조정하는데 사용될 수 있다.
공정의 실행 중, 후술하는 바와 같이, 고장 검출 시스템(110)은 툴 고장 또는 툴 결함의 검출을 위해 툴을 감시하고 웨이퍼 특성 결함의 검출을 위해 웨이퍼를 감시한다(단계 320).
고장 검출 시스템에 의해 실시된 분석, 즉 고장이 검출되었는지의 여부가 런투런 컨트롤러(120)로 전송된다(단계 324). 예를 들면, 고장 검출 인덱스는 고장의 유무를 식별하기 위해서 (고장 검출 시스템(110)으로부터) 컨트롤러(120)로 통과될 수 있다. 본 발명의 하나 이상의 실시예에 따르면, 이 정보는 런투런 기술에따라 레시피가 수정되어야(수정되지 않아야) 하는 경우를 결정하는데 사용된다.
툴 공정의 실행이 완료된 후, 웨이퍼는 후처리 측정 단계에서 측정된다(단계 328). 본 발명의 하나 이상의 실시예에서, 측정은 툴 상의 일체성 센서를 사용하여 행해질 수 있다. 마찬가지로, 다른 종류의 센서가 사용될 수도 있다. 그 후, 이 정보는 여기서 설명한 바와 같이 차후의 레시피를 수정하는데 사용된다.
본 발명의 하나 이상의 실시예에 따르면, 상기한 바와 같이, 런투런 컨트롤러(120)가 고장 검출 정보와 연계하여 후처리 측정을 사용하여 툴 레시피를 수정한다. 구체적으로, 공정에서 툴 또는 웨이퍼 특성 고장이 있는지의 판정이 우선 행해진다(단계 332). 예를 들면, 이후에서 보다 상세히 설명하는 바와 같이, 고장 검출 시스템(110)에 의해 생성된 고장 검출 인덱스(예를 들면, 웨이퍼 및/또는 툴 상에 존재하는 하나 이상의 상태를 표시하는 하나 이상의 수)가 예를 들면 런투런 컨트롤러(120)에 의해 수용가능한 값의 범위와 비교된다. 인덱스가 수용 가능하지 않으면, 고장이 생긴 것이다. 고장이 생기면, 툴 고장이 생긴 동안의 작업으로부터 수집된 후처리 측정이 차후의 레시피를 수정하기 위해서 사용되지 않는다(단계 336). 또한, 처리가 함께 종료될 수 있다. 한편, 고장이 생기지 않는 것으로 판정되면, 본 발명의 런투런 기술 하에서 레시피가 수정된다(단계 340). 이와 같이, 레시피는 툴 고장이 생기지 않은 상황에서 수정된다.
도 4는 본 발명의 하나 이상의 개념에 따른 런투런 제어 절차를 구현하는데 사용될 수 있는 공정의 적어도 일례를 나타낸다. 우선, 이전의 공정 또는 툴로부터의 후처리 웨이퍼 특성을 측정하여 본 발명의 런투런 및 고장 검출 기술이 실행되는 툴로 전송한다(단계 404). 측정은 상류측 공정 후이지만 인스턴트 툴 전에 위치하는 도량형 툴 또는 상류측 툴로부터 이루어질 수 있다. 마찬가지로, 측정은 인스턴트 툴 그 자체, 또는 임의의 다른 아날로그 장치 또는 처리 전의 위치에서 행해질 수 있다.
소정의 예에서, 상류측 측정은 유효하지 않을 수 있다. 예를 들면, 일부의 툴 또는 공정에서 각 웨이퍼를 측정하는데 많은 시간이 소비될 수 있다. 이러한 상황에서는, 각 웨이퍼 또는 작업이 측정될 수 없다. 예를 들면, 2차 또는 3차 작업마다 측정이 수행되지 않을 수도 있다. 따라서, 이들 웨이퍼로부터의 후처리 측정은 유효하지 않거나 공정에서 고려되지 않는다. 따라서, 상류측 측정은 그들이 측정을 유효하게 하는지를 판정하도록 검사된다(단계 408). 그렇지 않으면, 런투런 컨트롤러(120)는 측정된 상류측 측정을 무시하고, 이전의 작업을 위한 세트포인트를 사용하여 처리를 계속한다(단계 432). 유효하면, 이 측정이 툴의 처리 레시피를 수정하는데 사용될 수 있다.
본 발명의 적어도 일부 실시예에 따르면, 이하에서 보다 상세히 설명하는 바와 같이, 레시피에 대한 수정은 변수로서 고장 검출 모델에 팩터링(factoring)될 수 있다. 이들 실시예에서, 런투런 컨트롤러(120)에 의해 구현되는 임의의 레시피 세트포인트 변경은 이들 레시피 수정을 차례로 활용하여 새로운 고장 상태 범위를 식별하는 고장 검출 시스템(110)으로 전송된다. 이와 같이, 고장 검출 시스템(110)은 런투런 컨트롤러(120)에 의해 레시피 변경이 행해진 경우에도 감각적으로(즉, 임의의 수정된 레시피 세트포인트와 일치하도록 고장 상태의 범위를 조정하여) 동작할 수 있다.
지금까지 설명하고 기술한 실시예의 특정 단계 및 시컨스는 예를 위한 것이고, 본 발명에 의해서 그 외의 추가, 생략 및 구성이 고려될 수도 있다. 예를 들면, 우선 런투런 컨트롤러에 의해 수신된 모든 처리 속성이 고장 검출 시스템을 통과(또는 고장 검출 시스템으로부터 개시)하는 것으로 기대된다. 이러한 실시예에서, 고장이 검출되면, 런투런 컨트롤러는 임의의 처리 속성을 수신하기보다는 예를 들어 고장 경고를 수신할 뿐이다.
본 발명의 하나 이상의 형태에 따르면, 상기한 바와 같이, 툴 고장이 있거나 웨이퍼 특성 결함이 있는 툴에 의해 처리되는 작업 또는 웨이퍼는 차후의 작업을 위해 런투런 공정에서 고려되지 않는다. 따라서, 처리 툴에 관한 고장 검출 정보는 계속하기 전에 고려된다(단계 416). 구체적으로, 이전 작업에서의 결함 또는 고장 상태가 검출되면, 툴의 레시피는 수정되지 않는다(단계 412). 또한, 에러 메시지를 표시할 수 있고, 처리가 함께 정지될 수 있다.
이전 작업에서 고장이 검출되지 않았으면, 데이터에 대한 필요한 변환이 수행된다(단계 420). 예를 들면, 센서에 의해 판독된 원 데이터(raw data)가 보다 의미있는 형태로 변환될 수 있다. 일례로서, 균일성 파라미터는 다수의 측정들 간의 비율 요구할 수 있다. 따라서, 이 예에서, 각각의 측정 비율이 계산된다. 마찬가지로, 막 두께의 평균은 측정된 모든 두께의 평균으로의 변환을 요구할 것이다. 또한, 소정의 측정으로 변환이 필요없을 수 있다.
임의의 변환(필요한 경우)을 행한 후, 제어 공정 알고리즘이 다음의 예측 출력을 추정하기 위해서 실행된다(단계 424). 일반적으로, 알고리즘은 각종의 모델링 기술, 툴 레시피, 및 인입 웨이퍼 및 툴에 의해 생성될 예측 출력을 수립하기 위한 이전의 공정 작업에 관한 정보를 사용한다. 예를 들면, 모델을 사용함으로써, 압력, 전력, 가스 유량 등의 파라미터에 대응하는 세트포인트에 기초하여 특정의 출력 막 두께가 예측될 수 있다.
다음의 출력이 제어 알고리즘에 의해 예측되면, 그 출력이 규격 한계(specification limit)에 대하여 비교된다(단계 428). 이 규격 한계는 웨이퍼 특성의 수용가능한 한계를 표시한다. 출력이 규격 한계 이내이면(즉, 예측 출력이 수용가능한 범위 이내이면), 수정은 필요없고 이전의 작업에서 사용된 동일한 세트포인트가 재차 사용된다(단계 432). 한편, 예측 출력이 규격 한계를 초과하면, 예측 출력은 툴의 수용가능한 범위에 대하여 비교된다(단계 436). 툴의 범위는 툴의 수용 능력을 기술한다. 툴 범위가 불충분하기 때문에 예측 출력이 규격 한계 이내에 도달할 수 없는 경우, 원하는 결과가 얻어질 수 없다. 이 경우, 공정은 그 결과를 무시하고, 에러 메시지를 표시하며, 예를 들면 처리를 종료한다(단계 440).
예측 출력이 규격 한계 밖이지만 툴 범위 이내이면, 툴 레시피에 수정이 행해질 수 있다(단계 444). 특히, 레시피의 하나 이상의 세트포인트는 표준 모델링 기술에 따라 수정된다. 다수의 경우, 당 분야에서 통상적으로 공지된 바와 같이, 이들 모델은 공정 엔지니어에 의해 설계되어 설비의 초기화 단계 중에 시스템(100) 상에 다운로드된다. 원하는 제품을 얻는데 필요한 조정이 추정되면, 공정이 실행된다(단계 448). 이와 같이, 본 발명의 하나 이상의 실시예에서, 제어 알고리즘은하나 이상의 타겟 웨이퍼 특성(즉, 원하는 출력), 측정된 인입 웨이퍼 특성, 및 런투런 및 고장 검출 기술에 의해 결정되는 툴 레시피에 대한 수정을 사용하여 반도체 웨이퍼를 효율적으로 생산한다.
도 5는 본 발명의 하나 이상의 실시예에 따른 고장 검출 제어 절차를 구현할 수 있는 공정의 적어도 일례를 나타낸다. 우선, 고장 검출 시스템(110)은 툴 또는 공정에서 구현되는 레시피를 식별한다(단계 504). 사용되는 레시피에 따라서, 고장 검출 모델이 수립되거나 선택된다(단계 508). 당 분야에서 통상적으로 공지된 바와 같이, 고장 검출 모델은 고장 상태를 표시하는 상태의 범위를 정의하는데 사용될 수 있다. 따라서, 레시피와 특히 연관된 모델이 사용된다.
고장 검출 모델을 선택한 후, 제조 공정이 개시되고, 제조 공정 동안센서를 사용하여 실시간으로 막 두께, 균일성 등의 웨이퍼 특성을 수집한다. 선택적으로, 웨이퍼 특성은 공정 전후에 수집될 수도 있다. 이들 특성은 고장 검출 모델에 대하여 비교되어 고장 검출 인덱스 또는 고장 이벤트(즉, 트리거)를 생성한다. 당 분야에서 통상적으로 공지된 바와 같이, 임의 수의 방법을 사용하여 고장 검출 인덱스를 생성할 수 있다. 예를 들면, 통계 공정 제어, 신경망, 또는 분석 기술에 기초한 모델 등 중 어느 하나가 사용될 수 있다. 인덱스는 툴에 의해 생성되는 웨이퍼의 최적 조건을 나타낸다. 따라서, 인덱스는 미리결정된 값에 대하여 비교되어 툴 고장 또는 툴 결함을 표시할 수 있다. 상기한 바와 같이, 이 인덱스는 웨이퍼 생산의 최적화 시 본 발명에 의해 사용되는 웨이퍼 처리 속성의 적어도 일부를 구성한다. 예를 들면, 상기한 바와 같이, 런투런 컨트롤러(120)는 고장 상태가 있는 툴에 의해 생성되는 작업으로부터의 측정된 웨이퍼 특성을 무시할 수 있다.
간략히 상술한 바와 같이, 본 발명의 적어도 일부의 실시예에서는 독립 파라미터로서 런투런 컨트롤러(120)에 의해서 만들어지는 레시피에 대한 수정을 상기 고장 검출 모델로 팩터링하는 것이 고려된다. 이 방식에서, 고장 검출 시스템(110)은 고장 상태의 범위를 재 규정하여 시스템 감도가 증가하도록 레시피를 조절할 수 있다.
보다 구체적으로, 고장 상태 경계(boundary)는 레시피 세트포인트에 대한 변경 또는 수정에 따라 재 규정될 수도 있다. 특히, 레시피 세트포인트 수정에 따라 고장 상태 범위를 조절함으로써 고장 검출 모델에서 고장 상태의 범위가 더 협소해질 수 있다. 본 발명의 적어도 일부 실시예에서, 고장 상태 범위는 레시피 세트포인트로부터 고정된 거리에 따라 설정될 수도 있다. 따라서, 이들 실시예에서, 세트포인트에 대한 수정으로 인해서 고장 상태 범위가 대응하여 수정된다.
예로서, 1차원의 경우에, 특정 타겟 특성을 얻기 위한 고정된 레시피 세트포인트는 초기값(예를 들면, 50 유닛)으로 설정되어 있다. 이 레시피와 연관된 고장 검출 모델에 따르면, 고장 상태 경계는 초기에는 주어진 범위(예를 들면 48 유닛 및 52 유닛)로 설정되어 있을 수도 있다. 따라서, 주어진 범위(예를 들면, 52 유닛 이상 48 유닛 미만) 밖에서의 실제 웨이퍼 특성 측정은 고장 상태가 된다. 상술한 바와 같이, 이들 고장 상태 하에서는, 예를 들면 처리가 종료된다.
처리하는 동안, 런투런 컨트롤러(120)에 의해서 레시피 세트포인트에 대한 수정이 이루어져 공정 출력 드리프트를 다룰 수도 있다. 따라서, 상기 예에서, 런투런 컨트롤러(120)는 레시피 세트포인트를 증가(예를 들면, 50 유닛에서 53 유닛으로 증가)시키면, 레시피 고장 상태의 의도치 않은 결과를 낳을 수도 있다. 정상 런투런 수정을 보장하기 위한, 하나의 해결책은 고장 상태의 범위를 넓히는 것이다(예를 들면, 43 유닛 및 57 유닛으로 넓힘). 그러나, 이러한 해결책은 고장 검출 능력을 낮춘다. 이러한 문제를 해결하기 위해서, 본 발명의 실시예에서는 자동 검출 모델에 수정된 세트포인트를 편입시켜 그 세트포인트로부터의 거리에 기초하여 고장 상태 경계를 생성한다. 이 방식에서, 시스템 감도는 고장 검출 개념과 런투런 기술을 통합하는 것으로는 해결되지 않는다. 따라서, 본 예에서, 고장 상태의 범위가 51 유닛 내지 55 유닛으로 재설정된다.
통합 다차원 시나리오도 마찬가지이다. 이들의 경우에, 비고장 상태 영역은 다차원 세트포인트의 좌표로부터의 거리로서 보여질 수 있다. 레시피에서 세트포인트를 정의하는 하나 이상의 좌표가 런투런 컨트럴러(120)에 의해서 수정되는 경우, 고장 상태 경계의 범위는 조정된 레시피 파라미터의 함수로 재 규정될 수 있다.
또한, 다중 입력 및 출력 시나리오의 적어도 일부 실시예에서, 예측 출력값과 실제 측정된 출력값 사이의 거리가 고장 검출용 측정 기준으로서 사용될 수도 있다. 따라서, 예측값과 실제값 사이의 차는 고장 상태 경계를 결정하는데 사용될 수도 있다.
도 6은 예를 들면 미국 캘리포니아주 산타클라라 소재의 Intel사에 의해서제조되는 PentiumTM계열 프로세서를 갖는 다수의 상이한 타입의 컴퓨터 중 임의의 컴퓨터를 포함하는 도 1의 시스템(100)의 임의의 구성요소의 내부 하드웨어의 일례의 블럭도이다. 버스(656)는 시스템(100)의 구성요소들 간을 상호 연결하는 주정보 링크로서 역할을 한다. CPU(658)는 다른 프로그램들 뿐 아니라 본 발명의 공정을 실행하는데 필요한 계산 및 논리 연산을 수행하는 시스템의 중앙 처리 장치이다. ROM(read only memory)(660) 및 RAM(random access memory)(662)은 시스템의 주메모리를 구성한다. 디스크 컨트롤러(664)는 하나 이상의 디스크 드라이브와 시스템 버스(656)를 인터페이스한다. 이들 디스크 드라이브는 예를 들면, 플라피 디스크 드라이브(670), 또는 CD ROM 또는 DVD(digital video disk) 드라이브(666), 또는 내장 또는 외장 하드 드라이브(668)이다. CPU(658)는 미국 일리노이주 샤움버그 소재의 Motorola 또는 Intel사에 의해서 제조되는 것을 포함하는 임의 수의 상이한 타입의 프로세서일 수 있다. 메모리/저장 장치는 DRAM 및 SRAM뿐만 아니라 자기 및 광학 매체를 포함하는 각종 타입의 저장 장치와 같은 임의 수의 상이한 타입의 메모리 장치가 될 수 있다. 또한, 메모리/저장 장치는 이송의 형태를 취할 수도 있다.
디스플레이 인터페이스(672)는 디스플레이(648)와 인터페이스하고 버스(656)로부터의 정보가 디스플레이(648) 상에 표시되게 한다. 디스플레이(648)는 또한 옵션 액세서리이다. 상술한 시스템의 나머지 구성요소와 같은 외부 장치와의 통신은 예를 들면 통신 포트(674)를 사용하여 행해진다. 예를 들면, 포트(674)는 도량형 툴(190)에 연결된 버스/네트워크와 인터페이스될 수 있다. 광섬유 및/또는 전기 케이블 및/또는 도전체 및/또는 광통신(예를 들면, 적외선 통신 등) 및/또는 무선 통신(예를 들면, 무선 주파수(RF : radio frequency) 등)이 외부 장치와 통신 포트(674) 사이의 이송 매체로서 사용될 수 있다. 주변 인터페이스(654)는 키보드(650)와 마우스(652) 사이를 인터페이스 하여 데이터가 버스(656)로 송신되게 한다. 이들 구성요소 외에, 제어 시스템은 또한 적외선 송신기(678) 및/또는 적외선 수신기(676)를 선택적으로 포함할 수 있다. 적외선 송신기는, 컴퓨터 시스템이 적외선 신호 전송을 통해 데이터를 송수신하는 하나 이상의 처리 구성요소/스테이션과 연계하여 사용되는 경우, 선택적으로 사용된다. 적외선 송신기 또는 수신기를 사용하는 대신에, 제어 시스템은 또한 저전력 무선 송신기(680) 및/또는 저전력 무선 수신기(682)를 선택적으로 사용할 수 있다. 저전력 무선 송신기는 제조 공정의 구성요소에 의한 수신을 위해 신호를 송신하고, 저전력 무선 수신기를 통해 상기 구성요소로부터 신호를 수신한다.
도 7은 모델, 레시피 등을 포함하는 컴퓨터 판독가능 명령 또는 코드를 저장할 수 있는 예시적인 컴퓨터 판독가능 기억 매체(784)를 나타낸 도면이다. 일예로서, 매체(784)는 도 6에 나타낸 디스크 드라이브와 사용될 수 있다. 통상적으로, 플로피 디스크, 또는 CD ROM, 또는 디지털 비디오 디스크 등의 기억 매체는 컴퓨터가 여기에 기술된 기능을 수행할 수 있도록 상기 시스템을 제어하기 위한 프로그램 정보 및 단일 바이트 언어(language)를 위한 멀티 바이트 로케일(locale)을 포함할 수 있다. 선택적으로, ROM(660) 및/또는 RAM(662)은 또한 인스턴트 공정과 연관된동작을 수행하도록 중앙 처리 장치(658)에 명령하는데 사용되는 프로그램 정보를 저장하는데 사용될 수 있다. 정보를 저장하기 위한 적절한 컴퓨터 판독가능 매체의 다른 예는 자기, 전자 또는 광학(홀로그램 포함) 저장부 및 이들 일부의 조합 등을 포함한다. 또한, 본 발명의 적어도 일부 실시예는 컴퓨터 판독가능 매체가 이송될 수 있는 것을 고려한 것이다.
본 발명의 실시예에서는 상술한 바와 같이 본 발명의 각종 예를 구현하기 위한 소프트웨어의 각 부분이 메모리/저장 장치에 존재할 수 있다.
일반적으로, 본 발명의 실시예의 각종 구성요소는 하드웨어, 소프트웨어 또는 이들의 조합으로 구현될 수 있는 것은 당연하다. 이러한 실시예에서, 각종 구성요소 및 단계는 하드웨어 및 또는 소프트웨어로 구현되어 본 발명의 기능들을 수행할 수 있다. 임의의 현재 입수 가능하거나 또는 미래에 개발될 컴퓨터 소프트웨어 언어 및/또는 하드웨어 구성요소가 본 발명의 이러한 실시예에 채용될 수 있다. 예를 들면, 상술한 기능성의 적어도 일부는 BASIC, C, C++ 또는 다른 프로그래밍 또는 스크립팅(scripting) 언어를 사용하여 구현될 수 있다.
상술한 본 발명의 구체적인 실시예는 단지 본 발명의 일반적인 원리를 설명하기 위한 것으로 이해되어짐이 명백하다. 상술한 원리를 감안하여 당해 분야의 숙련된 자에 의해 각종 변형이 이루어질 수 있다.

Claims (70)

  1. 고장 검출 시스템과 런투런(run-to-run) 컨트롤러를 사용하여 제조 실행 시스템에서 웨이퍼를 처리하는 방법으로서,
    1) 하나 이상의 타겟 웨이퍼 특성을 얻기 위한 적어도 하나의 세트포인트(stepoint)를 포함하는, 툴(tool)을 제어하기 위한 레시피(recipe)를 상기 런투런 컨트롤러로 수신하는 단계;
    2) 상기 고장 검출 시스템에 의해 식별된 웨이퍼 특성 및 고장 상태(fault condition)를 포함하는 처리 속성(processing attribute)을 측정하여 상기 웨이퍼의 처리를 감시하는 단계;
    3) 상기 처리 속성을 상기 런투런 컨트롤러로 전송하는 단계; 및
    4) 상기 고장 검출 시스템에 의해 고장 상태가 검출된 때를 제외하고는, 상기 측정된 처리 속성에 따라 상기 런투런 컨트롤러에서 상기 레피시의 적어도 하나의 세트포인트를 수정하여, 상기 타겟 웨이퍼 특성을 유지하는 단계
    를 포함하는 웨이퍼 처리 방법.
  2. 제1항에 있어서,
    처리의 실행 전에 웨이퍼 특성을 측정하는 단계를 더 포함하는 웨이퍼 처리 방법.
  3. 제1항에 있어서,
    상기 측정된 처리 속성으로부터 고장 검출 인덱스(index)를 생성하는 단계, 및 상기 적어도 하나의 세트포인트를 수정하기 위해서 상기 인덱스를 상기 런투런 컨트롤러로 전송하는 단계를 더 포함하는 웨이퍼 처리 방법.
  4. 제1항에 있어서,
    상기 수정 단계는 예측 출력을 수용가능한 툴 규격 한계(specification limit)에 대하여 비교하는 단계를 포함하는 웨이퍼 처리 방법.
  5. 제1항에 있어서,
    상기 수정 단계는 예측 출력을 수용가능한 툴 범위에 대하여 비교하는 단계를 포함하는 웨이퍼 처리 방법.
  6. 제1항에 있어서,
    고장 상태의 검출 시에 상기 처리를 종료하는 단계를 더 포함하는 웨이퍼 처리 방법.
  7. 제1항에 있어서,
    상기 적어도 하나의 세트포인트는 2개 이상의 세트포인트를 포함하는 웨이퍼 처리 방법.
  8. 제1항에 있어서,
    상기 적어도 하나의 세트포인트는 온도, 압력, 전력, 처리 시간, 재료의 리프트 위치 및 유량 중 적어도 하나를 포함하는 웨이퍼 처리 방법.
  9. 제1항에 있어서,
    상기 고장 상태는 툴 고장을 포함하는 웨이퍼 처리 방법.
  10. 제1항에 있어서,
    상기 고장 상태는 웨이퍼 특성 고장을 포함하는 웨이퍼 처리 방법.
  11. 제1항에 있어서,
    고장 상태를 표시하는 상태의 범위를 정의하는데 사용되는 고장 검출 모델은 상기 런투런 컨트롤러에서 상기 레시피의 상기 적어도 하나의 세트포인트를 파라미터로서 통합하도록 수정되는 웨이퍼 처리 방법.
  12. 제1항에 있어서,
    상기 측정된 웨이퍼 특성은 웨이퍼 고장이 검출된 때에 상기 레시피를 수정하기 위해서 사용되지 않는 웨이퍼 처리 방법.
  13. 웨이퍼를 처리하는 방법으로서,
    1) 하나 이상의 타겟 웨이퍼 특성을 얻기 위한 적어도 하나의 세트포인트를 포함하는 레시피에 따라서 상기 웨이퍼를 처리하는 단계;
    2) 웨이퍼 특성을 측정하는 단계;
    3) 고장 상태를 표시하는 상태를 검출하는 단계; 및
    4) 상기 측정된 웨이퍼 특성에 따라 상기 레시피의 상기 적어도 하나의 세트포인트를 수정하여 고장 상태의 부재 시에 상기 타겟 웨이퍼 특성을 유지하는 단계
    를 포함하는 웨이퍼 처리 방법.
  14. 제13항에 있어서,
    고장 상태가 검출된 경우에 처리가 종료되는 웨이퍼 처리 방법.
  15. 제13항에 있어서,
    상기 측정 단계는 처리 중에 수행되는 웨이퍼 처리 방법.
  16. 제13항에 있어서,
    상기 측정 단계는 처리 후에 수행되는 웨이퍼 처리 방법.
  17. 제13항에 있어서,
    고장 상태를 표시하는 상태의 범위를 정의하는데 사용되는 고장 검출 모델은상기 레시피의 상기 적어도 하나의 세트포인트를 파라미터로서 통합하도록 수정되는 웨이퍼 처리 방법.
  18. 제조 실행 시스템에서 웨이퍼를 처리하는 시스템으로서,
    상기 제조 실행 시스템으로부터 수신되며, 하나 이상의 타겟 웨이퍼 특성을 얻기 위한 적어도 하나의 세트포인트를 포함하는 레시피에 따라 툴을 제어하는 런투런 컨트롤러;
    웨이퍼 특성을 포함하는 처리 속성을 측정하는 센서; 및
    상기 웨이퍼 특성을 감시하여 고장 상태를 표시하는 상태를 검출하고 상기 상태를 상기 런투런 컨트롤러로 전송하는 고장 검출기를 포함하고,
    상기 고장 검출 시스템에 의해 고장 상태가 검출된 때를 제외하고는, 상기 레시피의 상기 적어도 하나의 세트포인트는 상기 처리 속성에 따라 수정되어 상기 타겟 웨이퍼 특성을 유지하는 웨이퍼 처리 시스템.
  19. 제18항에 있어서,
    처리의 실행 전에 웨이퍼 특성을 측정하는 센서를 더 포함하는 웨이퍼 처리 시스템.
  20. 제18항에 있어서,
    상기 고장 검출기는 상기 측정된 처리 속성으로부터 고장 검출 인덱스를 생성하고, 상기 적어도 하나의 세트포인트를 수정하기 위해서 상기 인덱스를 상기 런투런 컨트롤러로 전송하는 웨이퍼 처리 시스템.
  21. 제18항에 있어서,
    상기 런투런 컨트롤러는 예측 출력을 수용가능한 툴 규격 한계에 대하여 비교하여 상기 적어도 하나의 세트포인트를 수정하는 웨이퍼 처리 시스템.
  22. 제18항에 있어서,
    상기 런투런 컨트롤러는 예측 출력을 수용가능한 툴 범위에 대하여 비교하여 상기 적어도 하나의 세트포인트를 수정하는 웨이퍼 처리 시스템.
  23. 제18항에 있어서,
    상기 런투런 컨트롤러는 고장 상태의 검출 시에 처리를 종료하는 웨이퍼 처리 시스템.
  24. 제18항에 있어서,
    상기 적어도 하나의 세트포인트는 2개 이상의 세트포인트를 포함하는 웨이퍼 처리 시스템.
  25. 제18항에 있어서,
    상기 적어도 하나의 세트포인트는 온도, 압력, 전력, 처리 시간, 재료의 리프트 위치 및 유량 중 적어도 하나를 포함하는 웨이퍼 처리 시스템.
  26. 제18항에 있어서,
    상기 고장 상태는 툴 고장을 포함하는 웨이퍼 처리 시스템.
  27. 제18항에 있어서,
    상기 고장 상태는 웨이퍼 특성 고장을 포함하는 웨이퍼 처리 시스템.
  28. 제18항에 있어서,
    고장 상태를 표시하는 상태의 범위를 정의하는데 사용되는 고장 검출 모델은 상기 런투런 컨트롤러에서 상기 레시피의 상기 적어도 하나의 세트포인트를 파라미터로서 통합하도록 수정되는 웨이퍼 처리 시스템.
  29. 웨이퍼를 처리하는 시스템으로서,
    하나 이상의 타겟 웨이퍼 특성을 얻기 위한 적어도 하나의 세트포인트를 포함하는 레시피에 따라서 상기 웨이퍼를 처리하는 런투런 컨트롤러;
    웨이퍼 특성을 측정하는 센서; 및
    고장 상태를 표시하는 상태를 검출하는 고장 검출기를 포함하고,
    상기 런투런 컨트롤러는 상기 웨이퍼 특성에 따라 상기 레시피의 상기 적어도 하나의 세트포인트를 수정하여 상기 고장 검출기에 의해 검출된 고장 상태의 부재 시에 상기 타겟 웨이퍼 특성을 유지하는 웨이퍼 처리 시스템.
  30. 제29항에 있어서,
    상기 런투런 컨트롤러는 고장 상태가 검출된 경우에 상기 레시피의 상기 적어도 하나의 세트포인트를 수정하지 않는 웨이퍼 처리 시스템.
  31. 제29항에 있어서,
    상기 센서는 처리 중에 웨이퍼 특성을 측정하는 웨이퍼 처리 시스템.
  32. 제29항에 있어서,
    상기 센서는 처리 후에 웨이퍼 특성을 측정하는 웨이퍼 처리 시스템.
  33. 제29항에 있어서,
    고장 상태를 표시하는 상태의 범위를 정의하는데 사용되는 고장 검출 모델은 상기 레시피의 상기 적어도 하나의 세트포인트를 파라미터로서 통합하도록 수정되는 웨이퍼 처리 시스템.
  34. 고장 검출 시스템과 런투런 컨트롤러를 사용하여 제조 실행 시스템에서 웨이퍼를 처리하는 시스템으로서,
    하나 이상의 타겟 웨이퍼 특성을 얻기 위한 적어도 하나의 세트포인트를 포함하는, 툴을 제어하기 위한 레시피를 상기 런투런 컨트롤러로 수신하는 수단;
    상기 고장 검출 시스템에 의해 식별된 웨이퍼 특성 및 고장 상태를 포함하는 처리 속성을 측정하여 상기 웨이퍼의 처리를 감시하는 수단;
    상기 처리 속성을 상기 런투런 컨트롤러로 전송하는 수단; 및
    상기 고장 검출 시스템에 의해 고장 상태가 검출된 때를 제외하고는, 상기 처리 속성에 따라 상기 런투런 컨트롤러에서 상기 레시피의 상기 적어도 하나의 세트포인트를 수정하여 상기 타겟 웨이퍼 특성을 유지하는 수단
    을 포함하는 웨이퍼 처리 시스템.
  35. 제34항에 있어서,
    처리의 실행 전에 웨이퍼 특성을 측정하는 수단을 더 포함하는 웨이퍼 처리 시스템.
  36. 제34항에 있어서,
    상기 측정된 처리 속성으로부터 고장 검출 인덱스를 생성하는 수단, 및 상기 세트포인트를 수정하기 위해서 상기 인덱스를 상기 런투런 컨트롤러로 전송하는 수단을 더 포함하는 웨이퍼 처리 시스템.
  37. 제34항에 있어서,
    상기 수정 수단은 예측 출력을 수용가능한 툴 규격 한계에 대하여 비교하는 수단을 포함하는 웨이퍼 처리 시스템.
  38. 제34항에 있어서,
    상기 수정 수단은 예측 출력을 수용가능한 툴 범위에 대하여 비교하는 수단을 포함하는 웨이퍼 처리 시스템.
  39. 제34항에 있어서,
    고장 상태의 검출 시에 처리를 종료하는 수단을 더 포함하는 웨이퍼 처리 시스템.
  40. 제34항에 있어서,
    상기 고장 상태는 툴 고장을 포함하는 웨이퍼 처리 시스템.
  41. 제34항에 있어서,
    상기 고장 상태는 웨이퍼 특성 고장을 포함하는 웨이퍼 처리 시스템.
  42. 제34항에 있어서,
    고장 상태를 표시하는 상태의 범위를 정의하는데 사용되는 고장 검출 모델은 상기 런투런 컨트롤러에서 상기 레시피의 상기 적어도 하나의 세트포인트를 파라미터로서 통합하도록 수정되는 웨이퍼 처리 시스템.
  43. 웨이퍼를 처리하는 시스템으로서,
    하나 이상의 타겟 웨이퍼 특성을 얻기 위한 적어도 하나의 세트포인트를 포함하는 레시피에 따라서 상기 웨이퍼를 처리하는 수단;
    웨이퍼 특성을 측정하는 수단;
    고장 상태를 표시하는 상태를 검출하는 수단; 및
    상기 측정된 웨이퍼 특성에 따라 상기 레시피의 상기 적어도 하나의 세트포인트를 수정하여 고장 상태의 부재 시에 상기 타겟 웨이퍼 특성을 유지하는 수단
    을 포함하는 웨이퍼 처리 시스템.
  44. 제43항에 있어서,
    고장 상태가 검출된 경우에 처리가 종료되는 웨이퍼 처리 시스템.
  45. 제43항에 있어서,
    고장 상태를 표시하는 상태의 범위를 정의하는데 사용되는 고장 검출 모델은 상기 런투런 컨트롤러에서 상기 레시피의 상기 적어도 하나의 세트포인트를 파라미터로서 통합하도록 수정되는 웨이퍼 처리 시스템.
  46. 고장 검출 시스템과 런투런 컨트롤러를 사용하여 제조 실행 시스템에서 웨이퍼를 처리하기 위한 컴퓨터 프로그램이 내장된 컴퓨터 판독가능 매체로서,
    하나 이상의 타겟 웨이퍼 특성을 얻기 위한 적어도 하나의 세트포인트를 포함하는, 툴을 제어하기 위한 레시피를 상기 런투런 컨트롤러로 수신하기 위한 컴퓨터 판독가능 명령(instruction);
    상기 고장 검출 시스템에 의해 식별된 웨이퍼 특성 및 고장 상태를 포함하는 처리 속성을 측정하여 상기 웨이퍼의 처리를 감시하기 위한 컴퓨터 판독가능 명령;
    상기 처리 속성을 상기 런투런 컨트롤러로 전송하기 위한 컴퓨터 판독가능 명령; 및
    상기 고장 검출 시스템에 의해 고장 상태가 검출된 때를 제외하고는, 상기 측정된 처리 속성에 따라 상기 런투런 컨트롤러에서 상기 레시피의 상기 적어도 하나의 세트포인트를 수정하여 상기 타겟 웨이퍼 특성을 유지하기 위한 컴퓨터 판독가능 명령을 포함하는 컴퓨터 판독가능 매체.
  47. 제46항에 있어서,
    처리의 실행 전에 웨이퍼 특성을 측정하기 위한 컴퓨터 판독가능 명령을 더 포함하는 컴퓨터 판독가능 매체.
  48. 제46항에 있어서,
    상기 측정된 처리 속성으로부터 고장 검출 인덱스를 생성하기 위한 컴퓨터 판독가능 명령, 및 상기 세트포인트를 수정하기 위해서 상기 인덱스를 상기 런투런컨트롤러로 전송하기 위한 컴퓨터 판독가능 명령을 더 포함하는 컴퓨터 판독가능 매체.
  49. 제46항에 있어서,
    상기 수정하기 위한 컴퓨터 판독가능 명령은 예측 출력을 수용가능한 툴 규격 한계에 대하여 비교하기 위한 컴퓨터 판독가능 명령을 포함하는 컴퓨터 판독가능 매체.
  50. 제46항에 있어서,
    상기 수정하기 위한 컴퓨터 판독가능 명령은 예측 출력을 수용가능한 툴 범위에 대하여 비교하기 위한 컴퓨터 판독가능 명령을 포함하는 컴퓨터 판독가능 매체.
  51. 제46항에 있어서,
    고장 상태의 검출 시에 상기 처리를 종료하기 위한 컴퓨터 판독가능 명령을 더 포함하는 컴퓨터 판독가능 매체.
  52. 제46항에 있어서,
    상기 고장 상태는 툴 고장을 포함하는 컴퓨터 판독가능 매체.
  53. 제46항에 있어서,
    상기 고장 상태는 웨이퍼 특성 고장을 포함하는 컴퓨터 판독가능 매체.
  54. 제46항에 있어서,
    고장 상태를 표시하는 상태의 범위를 정의하는데 사용되는 고장 검출 모델은 상기 런투런 컨트롤러에서 상기 레시피의 상기 적어도 하나의 세트포인트를 파라미터로서 통합하도록 수정되는 컴퓨터 판독가능 매체.
  55. 웨이퍼를 처리하기 위한 컴퓨터 프로그램이 내장된 컴퓨터 판독 가능 매체로서,
    하나 이상의 타겟 웨이퍼 특성을 얻기 위한 적어도 하나의 세트포인트를 포함하는 레시피에 따라서 상기 웨이퍼를 처리하기 위한 컴퓨터 판독가능 명령;
    웨이퍼 특성을 측정하기 위한 컴퓨터 판독가능 명령;
    고장 상태를 표시하는 상태를 검출하기 위한 컴퓨터 판독가능 명령; 및
    상기 측정된 웨이퍼 특성에 따라 상기 레시피의 상기 적어도 하나의 세트포인트를 수정하여 고장 상태의 부재 시에 상기 타겟 웨이퍼 특성을 유지하기 위한 컴퓨터 판독가능 명령
    을 포함하는 컴퓨터 판독가능 매체.
  56. 제55항에 있어서,
    고장 상태가 검출된 경우에 처리가 종료되는 컴퓨터 판독가능 매체.
  57. 제55항에 있어서,
    고장 상태를 표시하는 상태의 범위를 정의하는데 사용되는 고장 검출 모델은 상기 레시피의 상기 적어도 하나의 세트포인트를 파라미터로서 통합하도록 수정되는 컴퓨터 판독가능 매체.
  58. 고장 검출 시스템과 런투런 컨트롤러를 사용하여 제조 실행 시스템에서 아이템(item)을 처리하는 방법으로서,
    1) 하나 이상의 타겟 아이템 특성을 얻기 위한 적어도 하나의 세트포인트를 포함하는, 툴을 제어하기 위한 레시피를 상기 런투런 컨트롤러로 수신하는 단계;
    2) 상기 고장 검출 시스템에 의해 식별된 아이템 특성 및 고장 상태를 포함하는 처리 속성을 측정하여 상기 아이템의 처리를 감시하는 단계;
    3) 상기 처리 속성을 상기 런투런 컨트롤러로 전송하는 단계; 및
    4) 상기 고장 검출 시스템에 의해 고장 상태가 검출된 때를 제외하고는, 상기 측정된 처리 속성에 따라 상기 런투런 컨트롤러에서 상기 레피시의 적어도 하나의 세트포인트를 수정하여, 상기 타겟 아이템 특성을 유지하는 단계
    를 포함하는 아이템 처리 방법.
  59. 제58항에 있어서,
    처리의 실행 전에 아이템 특성을 측정하는 단계를 더 포함하는 아이템 처리 방법.
  60. 제58항에 있어서,
    상기 측정된 처리 속성으로부터 고장 검출 인덱스를 생성하는 단계, 및 상기 적어도 하나의 세트포인트를 수정하기 위해서 상기 인덱스를 상기 런투런 컨트롤러로 전송하는 단계를 더 포함하는 아이템 처리 방법.
  61. 제58항에 있어서,
    고장 상태의 검출 시에 상기 처리를 종료하는 단계를 더 포함하는 아이템 처리 방법.
  62. 제58항에 있어서,
    상기 적어도 하나의 세트포인트는 2개 이상의 세트포인트를 포함하는 아이템 처리 방법.
  63. 아이템을 처리하는 방법으로서,
    1) 하나 이상의 타겟 아이템 특성을 얻기 위한 적어도 하나의 세트포인트를 포함하는 레시피에 따라서 상기 아이템을 처리하는 단계;
    2) 아이템 특성을 측정하는 단계;
    3) 고장 상태를 표시하는 상태를 검출하는 단계; 및
    4) 상기 측정된 아이템 특성에 따라 상기 레시피의 상기 적어도 하나의 세트포인트를 수정하여 고장 상태의 부재 시에 상기 타겟 아이템 특성을 유지하는 단계
    를 포함하는 아이템 처리 방법.
  64. 제63항에 있어서,
    고장 상태가 검출된 경우에 처리가 종료되는 아이템 처리 방법.
  65. 제조 실행 시스템에서 아이템을 처리하는 시스템으로서,
    상기 제조 실행 시스템으로부터 수신되며, 하나 이상의 타겟 아이템 특성을 얻기 위한 적어도 하나의 세트포인트를 포함하는 레시피에 따라 툴을 제어하는 런투런 컨트롤러;
    아이템 특성을 포함하는 처리 속성을 측정하는 센서; 및
    상기 아이템 특성을 감시하여 고장 상태를 표시하는 상태를 검출하고 상기 상태를 상기 런투런 컨트롤러로 전송하는 고장 검출기를 포함하고,
    상기 고장 검출 시스템에 의해 고장 상태가 검출된 때를 제외하고는, 상기 레시피의 상기 적어도 하나의 세트포인트는 상기 처리 속성에 따라 수정되어 상기 타겟 아이템 특성을 유지하는 아이템 처리 시스템.
  66. 제65항에 있어서,
    처리의 실행 전에 아이템 특성을 측정하는 센서를 더 포함하는 아이템 처리 시스템.
  67. 제65항에 있어서,
    상기 고장 검출기는 상기 측정된 처리 속성으로부터 고장 검출 인덱스를 생성하고, 상기 적어도 하나의 세트포인트를 수정하기 위해서 상기 인덱스를 상기 런투런 컨트롤러로 전송하는 아이템 처리 시스템.
  68. 제65항에 있어서,
    상기 런투런 컨트롤러는 고장 상태의 검출 시에 처리를 종료하는 아이템 처리 시스템.
  69. 제65항에 있어서, 상기 적어도 하나의 세트포인트는 2개 이상의 세트포인트를 포함하는 아이템 처리 시스템.
  70. 아이템을 처리하는 시스템으로서,
    하나 이상의 타켓 아이템 특성을 얻기 위한 적어도 하나의 세트포인트를 포함하는 레시피에 따라서 상기 아이템을 처리하는 런투런 컨트롤러;
    아이템 특성을 측정하는 센서; 및
    고장 상태를 표시하는 상태를 검출하는 고장 검출기를 포함하고,
    상기 런투런 컨트롤러는 상기 아이템 특성에 따라 상기 레시피의 상기 적어도 하나의 세트포인트를 수정하여 상기 고장 검출기에 의해 검출된 고장 상태의 부재 시에 상기 타겟 아이템 특성을 유지하는 아이템 처리 시스템.
KR1020047000645A 2001-07-16 2002-07-12 런투런 제어와 고장 검출의 통합 KR100916190B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US30514001P 2001-07-16 2001-07-16
US60/305,140 2001-07-16
US10/135,405 2002-05-01
US10/135,405 US7337019B2 (en) 2001-07-16 2002-05-01 Integration of fault detection with run-to-run control
PCT/US2002/021942 WO2003009345A2 (en) 2001-07-16 2002-07-12 Integration of fault detection with run-to-run control

Publications (2)

Publication Number Publication Date
KR20040015813A true KR20040015813A (ko) 2004-02-19
KR100916190B1 KR100916190B1 (ko) 2009-09-08

Family

ID=26833291

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047000645A KR100916190B1 (ko) 2001-07-16 2002-07-12 런투런 제어와 고장 검출의 통합

Country Status (9)

Country Link
US (1) US7337019B2 (ko)
EP (1) EP1412827B1 (ko)
JP (1) JP4377224B2 (ko)
KR (1) KR100916190B1 (ko)
CN (1) CN100432879C (ko)
AT (1) ATE362127T1 (ko)
AU (1) AU2002316650A1 (ko)
DE (1) DE60220063T2 (ko)
WO (1) WO2003009345A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101134258B1 (ko) * 2006-10-05 2012-04-12 도쿄엘렉트론가부시키가이샤 기판 처리 시스템의 처리 레시피 최적화 방법

Families Citing this family (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7201936B2 (en) * 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US6718224B2 (en) * 2001-09-17 2004-04-06 Yield Dynamics, Inc. System and method for estimating error in a manufacturing process
US6697696B1 (en) * 2002-02-28 2004-02-24 Advanced Micro Devices, Inc. Fault detection control system using dual bus architecture, and methods of using same
US20030199112A1 (en) * 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US6763278B1 (en) * 2002-04-26 2004-07-13 Advanced Micro Devices, Inc. Operating a processing tool in a degraded mode upon detecting a fault
US8321048B1 (en) * 2002-06-28 2012-11-27 Advanced Micro Devices, Inc. Associating data with workpieces and correlating the data with yield data
US20080275587A1 (en) * 2002-09-25 2008-11-06 Advanced Micro Devices, Inc. Fault detection on a multivariate sub-model
US7877161B2 (en) 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US7158851B2 (en) * 2003-06-30 2007-01-02 Tokyo Electron Limited Feedforward, feedback wafer to wafer control method for an etch process
DE10343627B4 (de) * 2003-09-20 2014-03-06 Eads Deutschland Gmbh Verschlusselement für einen Bereich der Außenhaut eines Luftfahrzeugs
US8073667B2 (en) 2003-09-30 2011-12-06 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process
US8036869B2 (en) 2003-09-30 2011-10-11 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process via a simulation result or a derived empirical model
US8014991B2 (en) 2003-09-30 2011-09-06 Tokyo Electron Limited System and method for using first-principles simulation to characterize a semiconductor manufacturing process
US8032348B2 (en) 2003-09-30 2011-10-04 Tokyo Electron Limited System and method for using first-principles simulation to facilitate a semiconductor manufacturing process
US8296687B2 (en) 2003-09-30 2012-10-23 Tokyo Electron Limited System and method for using first-principles simulation to analyze a process performed by a semiconductor processing tool
US6960774B2 (en) * 2003-11-03 2005-11-01 Advanced Micro Devices, Inc. Fault detection and control methodologies for ion implantation processes, and system for performing same
US8639489B2 (en) * 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US8639365B2 (en) * 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US20070282480A1 (en) * 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
JP4512395B2 (ja) * 2004-03-30 2010-07-28 株式会社日立ハイテクノロジーズ 露光プロセスモニタ方法及びその装置
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
KR100839071B1 (ko) * 2004-05-13 2008-06-19 삼성전자주식회사 공정장비의 상태를 모니터링하기 위한 시스템 및 방법
TWI336823B (en) * 2004-07-10 2011-02-01 Onwafer Technologies Inc Methods of and apparatuses for maintenance, diagnosis, and optimization of processes
US7292906B2 (en) * 2004-07-14 2007-11-06 Tokyo Electron Limited Formula-based run-to-run control
US7212878B2 (en) * 2004-08-27 2007-05-01 Tokyo Electron Limited Wafer-to-wafer control using virtual modules
US20060079983A1 (en) * 2004-10-13 2006-04-13 Tokyo Electron Limited R2R controller to automate the data collection during a DOE
WO2006081519A2 (en) * 2005-01-28 2006-08-03 Applied Materials, Inc. Methods and apparatus for operation of substrate carrier handlers
US7477960B2 (en) * 2005-02-16 2009-01-13 Tokyo Electron Limited Fault detection and classification (FDC) using a run-to-run controller
US7783455B1 (en) * 2005-03-04 2010-08-24 Globalfoundries Inc. Methods and systems for analyzing process equipment processing variations using sensor data
US7117059B1 (en) * 2005-04-18 2006-10-03 Promos Technologies Inc. Run-to-run control system and operating method of the same
US7477958B2 (en) * 2005-05-11 2009-01-13 International Business Machines Corporation Method of release and product flow management for a manufacturing facility
CN100422888C (zh) * 2005-08-16 2008-10-01 力晶半导体股份有限公司 反应室层级的批次间控制系统及其方法
CN100424674C (zh) * 2005-08-22 2008-10-08 力晶半导体股份有限公司 改善物料搬运效率的方法以及使用该方法的制造系统
US7206721B1 (en) * 2005-12-12 2007-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Methods and systems of offline measurement for process tool monitoring
EP2262328B1 (en) 2005-12-14 2012-09-26 Research In Motion Limited Method and apparatus for user equipment directed radio resource control
US7672749B1 (en) * 2005-12-16 2010-03-02 GlobalFoundries, Inc. Method and apparatus for hierarchical process control
CN101030080B (zh) * 2006-03-01 2010-08-25 茂德科技股份有限公司 错误检测系统及其管理方法
JP4839101B2 (ja) * 2006-03-08 2011-12-21 東京エレクトロン株式会社 基板処理装置、基板処理条件検討方法及び記憶媒体
US8265034B2 (en) * 2006-05-17 2012-09-11 Research In Motion Limited Method and system for a signaling connection release indication
DE602006017517D1 (de) 2006-05-17 2010-11-25 Research In Motion Ltd Verfahren und System zur Anzeige einer Ursache für einen Abbau einer Signalisierungsverbindung in einem UMTS Netz
US20080049662A1 (en) * 2006-08-25 2008-02-28 Research In Motion Limited Apparatus, and associated method, for releasing a data-service radio resource allocated to a data-service-capable mobile node
JP5224744B2 (ja) * 2006-10-04 2013-07-03 株式会社日立国際電気 基板処理装置
US7509186B2 (en) * 2006-11-07 2009-03-24 International Business Machines Corporation Method and system for reducing the variation in film thickness on a plurality of semiconductor wafers having multiple deposition paths in a semiconductor manufacturing process
US7534725B2 (en) * 2007-03-21 2009-05-19 Taiwan Semiconductor Manufacturing Company Advanced process control for semiconductor processing
EP2061192B1 (en) 2007-11-13 2012-04-11 Research In Motion Limited Method and apparatus for state/mode transitioning
US20090137068A1 (en) * 2007-11-28 2009-05-28 Michal Rosen-Zvi Method and Computer Program Product for Wafer Manufacturing Process Abnormalities Detection
TW200929412A (en) * 2007-12-18 2009-07-01 Airoha Tech Corp Model modification method for a semiconductor device
JP4831061B2 (ja) * 2007-12-26 2011-12-07 パナソニック株式会社 電子部品実装用装置および電子部品実装用装置の非常停止方法
US8271122B2 (en) 2008-03-07 2012-09-18 Mks Instruments, Inc. Process control using process data and yield data
US7622308B2 (en) * 2008-03-07 2009-11-24 Mks Instruments, Inc. Process control using process data and yield data
TWI380144B (en) * 2008-04-09 2012-12-21 Inotera Memories Inc Method of fuzzy control for semiconductor machine
ES2896335T3 (es) * 2008-11-10 2022-02-24 Blackberry Ltd Método y aparato de transición a un estado de batería eficiente o configuración indicando fin de transmisión de datos
US8983631B2 (en) * 2009-06-30 2015-03-17 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
JP5334787B2 (ja) * 2009-10-09 2013-11-06 株式会社日立ハイテクノロジーズ プラズマ処理装置
HUE049498T2 (hu) 2009-11-23 2020-09-28 Blackberry Ltd Jelzéskapcsolat-bontás jelzõ, SCRI, üzenet átvitelen alapuló állapot vagy üzemmód átváltás kiváltása
KR101468854B1 (ko) 2009-11-23 2014-12-03 블랙베리 리미티드 상태/모드 전이 방법 및 장치
AU2010321204B2 (en) 2009-11-23 2014-11-20 Blackberry Limited Method and apparatus for state/mode transitioning
US20110124294A1 (en) * 2009-11-24 2011-05-26 Research In Motion Limited Method and apparatus for state/mode transitioning
US8983532B2 (en) * 2009-12-30 2015-03-17 Blackberry Limited Method and system for a wireless communication device to adopt varied functionalities based on different communication systems by specific protocol messages
US8670857B2 (en) * 2010-02-02 2014-03-11 Applied Materials, Inc. Flexible process condition monitoring
KR20120096549A (ko) * 2010-02-10 2012-08-30 리서치 인 모션 리미티드 상태/모드 전이 방법 및 장치
DE102010009795B4 (de) 2010-03-01 2014-05-15 Von Ardenne Anlagentechnik Gmbh Verfahren und Vorrichtung zur Herstellung von metallischen Rückkontakten für waferbasierte Solarzellen
CN102222600B (zh) * 2010-04-13 2013-07-31 中芯国际集成电路制造(上海)有限公司 机台恢复处理的方法和装置
US8391999B2 (en) 2010-06-09 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Auto device skew manufacturing
US8406911B2 (en) * 2010-07-16 2013-03-26 HGST Netherlands B.V. Implementing sequential segmented interleaving algorithm for enhanced process control
EP2668471B1 (en) * 2011-01-26 2016-03-30 VEGA Grieshaber KG Diagnosis of physical-layer bus parameters in a filling level measuring device
US8420531B2 (en) 2011-06-21 2013-04-16 International Business Machines Corporation Enhanced diffusion barrier for interconnect structures
CN103314634A (zh) 2011-11-11 2013-09-18 捷讯研究有限公司 用于用户设备状态转移的方法和装置
EP2677380A1 (en) * 2012-06-21 2013-12-25 Siemens Aktiengesellschaft Method for controlling a manufacturing execution system (MES)
US9429922B2 (en) * 2013-01-24 2016-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of process stability
US20140278165A1 (en) * 2013-03-14 2014-09-18 Johnson Controls Technology Company Systems and methods for analyzing energy consumption model data
US9715180B2 (en) * 2013-06-11 2017-07-25 Cymer, Llc Wafer-based light source parameter control
US10133263B1 (en) 2014-08-18 2018-11-20 Kla-Tencor Corporation Process condition based dynamic defect inspection
JP6392581B2 (ja) * 2014-08-20 2018-09-19 ファナック株式会社 工作機械とともに使用されるロボットのロボット制御装置、及び加工システム
US10430719B2 (en) 2014-11-25 2019-10-01 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes
KR102521159B1 (ko) * 2014-11-25 2023-04-13 피디에프 솔루션즈, 인코포레이티드 반도체 제조 공정을 위한 개선된 공정 제어 기술
CN105700490B (zh) * 2014-11-28 2018-09-07 中芯国际集成电路制造(天津)有限公司 一种提高产品良率的方法及系统
US9934351B2 (en) * 2015-11-09 2018-04-03 Applied Materials, Inc. Wafer point by point analysis and data presentation
US10429808B2 (en) 2016-01-19 2019-10-01 Honeywell International Inc. System that automatically infers equipment details from controller configuration details
US10177091B2 (en) 2016-02-19 2019-01-08 Globalfoundries Inc. Interconnect structure and method of forming
US9761484B1 (en) 2016-07-25 2017-09-12 International Business Machines Corporation Interconnect structure and fabrication thereof
US9773735B1 (en) 2016-08-16 2017-09-26 International Business Machines Corporation Geometry control in advanced interconnect structures
US9953864B2 (en) 2016-08-30 2018-04-24 International Business Machines Corporation Interconnect structure
US9786603B1 (en) 2016-09-22 2017-10-10 International Business Machines Corporation Surface nitridation in metal interconnects
US9721895B1 (en) 2016-10-06 2017-08-01 International Business Machines Corporation Self-formed liner for interconnect structures
US10185312B2 (en) 2017-01-31 2019-01-22 Globalfoundries Inc. Insitu tool health and recipe quality monitoring on a CDSEM
US11029673B2 (en) 2017-06-13 2021-06-08 Pdf Solutions, Inc. Generating robust machine learning predictions for semiconductor manufacturing processes
US11022642B2 (en) 2017-08-25 2021-06-01 Pdf Solutions, Inc. Semiconductor yield prediction
US11029359B2 (en) 2018-03-09 2021-06-08 Pdf Solutions, Inc. Failure detection and classsification using sensor data and/or measurement data
US11775714B2 (en) 2018-03-09 2023-10-03 Pdf Solutions, Inc. Rational decision-making tool for semiconductor processes
US10777470B2 (en) 2018-03-27 2020-09-15 Pdf Solutions, Inc. Selective inclusion/exclusion of semiconductor chips in accelerated failure tests
US11133216B2 (en) 2018-06-01 2021-09-28 International Business Machines Corporation Interconnect structure
CN110889260B (zh) * 2018-09-05 2023-01-17 长鑫存储技术有限公司 用于侦测工艺参数的方法及装置、电子设备和计算机可读介质
US10916503B2 (en) 2018-09-11 2021-02-09 International Business Machines Corporation Back end of line metallization structure
US10714382B2 (en) 2018-10-11 2020-07-14 International Business Machines Corporation Controlling performance and reliability of conductive regions in a metallization network
US11486927B2 (en) 2020-04-02 2022-11-01 Applied Materials, Inc. Bode fingerprinting for characterizations and failure detections in processing chamber
KR20220050047A (ko) * 2020-10-15 2022-04-22 에이에스엠 아이피 홀딩 비.브이. 예측 유지보수 방법 및 예측 유지보수 장치
US11853042B2 (en) * 2021-02-17 2023-12-26 Applied Materials, Inc. Part, sensor, and metrology data integration
US20230257900A1 (en) * 2022-02-11 2023-08-17 Applied Materials, Inc. Parameter adjustment model for semiconductor processing chambers

Family Cites Families (165)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3205485A (en) 1960-10-21 1965-09-07 Ti Group Services Ltd Screening vane electro-mechanical transducer
US3229198A (en) 1962-09-28 1966-01-11 Hugo L Libby Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample
US3767900A (en) 1971-06-23 1973-10-23 Cons Paper Inc Adaptive controller having optimal filtering
CH569321A5 (ko) 1973-10-03 1975-11-14 Siemens Ag
US4000458A (en) 1975-08-21 1976-12-28 Bell Telephone Laboratories, Incorporated Method for the noncontacting measurement of the electrical conductivity of a lamella
US4209744A (en) * 1976-04-29 1980-06-24 Fedosenko Jury K Eddy current device for automatically testing the quality of elongated electrically conductive objects by non-destructive techniques
US4207520A (en) 1978-04-06 1980-06-10 The United States Of America As Represented By The Secretary Of The Air Force Multiple frequency digital eddy current inspection system
US4302721A (en) 1978-05-08 1981-11-24 Tencor Instruments Non-contacting resistivity instrument with structurally related conductance and distance measuring transducers
US4207250A (en) * 1978-12-18 1980-06-10 Mobil Oil Corporation Conversion of synthesis gas with iron-containing fluid catalyst
US4368510A (en) * 1980-10-20 1983-01-11 Leeds & Northrup Company Automatic identification system for self tuning process controller
US4609870A (en) 1981-03-27 1986-09-02 Hocking Electronics Limited Lift off compensation of eddy current crack detection system by controlling damping resistance of oscillator
US4616308A (en) 1983-11-15 1986-10-07 Shell Oil Company Dynamic process control
EP0162670B1 (en) 1984-05-19 1991-01-02 British Aerospace Public Limited Company Industrial processing and manufacturing systems
US4967381A (en) 1985-04-30 1990-10-30 Prometrix Corporation Process control interface system for managing measurement data
US4663703A (en) * 1985-10-02 1987-05-05 Westinghouse Electric Corp. Predictive model reference adaptive controller
FR2589566A1 (fr) 1985-11-06 1987-05-07 Cegedur Procede de mesure au defile et sans contact de l'epaisseur et de la temperature de feuilles metalliques minces au moyen de courants de foucault
US4750141A (en) 1985-11-26 1988-06-07 Ade Corporation Method and apparatus for separating fixture-induced error from measured object characteristics and for compensating the measured object characteristic with the error, and a bow/warp station implementing same
US4755753A (en) 1986-07-23 1988-07-05 General Electric Company Eddy current surface mapping system for flaw detection
US5260868A (en) 1986-08-11 1993-11-09 Texas Instruments Incorporate Method for calendaring future events in real-time
US4796194A (en) * 1986-08-20 1989-01-03 Atherton Robert W Real world modeling and control process
US4901218A (en) * 1987-08-12 1990-02-13 Renishaw Controls Limited Communications adaptor for automated factory system
US4938600A (en) 1989-02-09 1990-07-03 Interactive Video Systems, Inc. Method and apparatus for measuring registration between layers of a semiconductor wafer
US4957605A (en) 1989-04-17 1990-09-18 Materials Research Corporation Method and apparatus for sputter coating stepped wafers
JP2780814B2 (ja) 1989-06-22 1998-07-30 株式会社日立製作所 生産管理システム
US6185324B1 (en) * 1989-07-12 2001-02-06 Hitachi, Ltd. Semiconductor failure analysis system
US6345288B1 (en) * 1989-08-31 2002-02-05 Onename Corporation Computer-based communication system and method using metadata defining a control-structure
US5089970A (en) * 1989-10-05 1992-02-18 Combustion Engineering, Inc. Integrated manufacturing system
US5108570A (en) * 1990-03-30 1992-04-28 Applied Materials, Inc. Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer
US5485082A (en) 1990-04-11 1996-01-16 Micro-Epsilon Messtechnik Gmbh & Co. Kg Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like
US5236868A (en) 1990-04-20 1993-08-17 Applied Materials, Inc. Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system
US5208765A (en) * 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
US5495417A (en) * 1990-08-14 1996-02-27 Kabushiki Kaisha Toshiba System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line
US5220517A (en) * 1990-08-31 1993-06-15 Sci Systems, Inc. Process gas distribution system and method with supervisory control
AU9030391A (en) * 1990-10-16 1992-05-20 Consilium, Inc. Object-oriented architecture for factory floor management
US5295242A (en) * 1990-11-02 1994-03-15 Consilium, Inc. Apparatus and method for viewing relationships in a factory management system
US5270222A (en) 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
US5226118A (en) 1991-01-29 1993-07-06 Prometrix Corporation Data analysis system and method for industrial process control systems
WO1992014197A1 (en) 1991-02-08 1992-08-20 Kabushiki Kaisha Toshiba Model forecasting controller
US5430836A (en) * 1991-03-01 1995-07-04 Ast Research, Inc. Application control module for common user access interface
GB2257507B (en) * 1991-06-26 1995-03-01 Digital Equipment Corp Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection
US5469361A (en) 1991-08-08 1995-11-21 The Board Of Regents Acting For And On Behalf Of The University Of Michigan Generic cell controlling method and apparatus for computer integrated manufacturing system
US5240552A (en) 1991-12-11 1993-08-31 Micron Technology, Inc. Chemical mechanical planarization (CMP) of a semiconductor wafer using acoustical waves for in-situ end point detection
US5309221A (en) * 1991-12-31 1994-05-03 Corning Incorporated Measurement of fiber diameters with high precision
JP3154425B2 (ja) * 1992-01-07 2001-04-09 フクダ電子株式会社 心電図情報記録方法及び装置
US5525808A (en) * 1992-01-23 1996-06-11 Nikon Corporaton Alignment method and alignment apparatus with a statistic calculation using a plurality of weighted coordinate positions
US5283141A (en) 1992-03-05 1994-02-01 National Semiconductor Photolithography control system and method using latent image measurements
US5602492A (en) 1992-03-13 1997-02-11 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
FR2700403B1 (fr) 1993-01-12 1995-04-07 Sextant Avionique Procédé de structuration d'informations utilisées dans un processus industriel et son application à l'assistance au pilotage d'un aérodyne.
US5490097A (en) * 1993-03-22 1996-02-06 Fujitsu Limited System and method for modeling, analyzing and executing work process plans
US5586039A (en) 1993-03-29 1996-12-17 Texas Instruments Incorporated Computer-aided manufacturing support method and system for specifying relationships and dependencies between process type components
US5369544A (en) 1993-04-05 1994-11-29 Ford Motor Company Silicon-on-insulator capacitive surface micromachined absolute pressure sensor
US5367624A (en) 1993-06-11 1994-11-22 Consilium, Inc. Interface for controlling transactions in a manufacturing execution system
US5402367A (en) * 1993-07-19 1995-03-28 Texas Instruments, Incorporated Apparatus and method for model based process control
US5642296A (en) 1993-07-29 1997-06-24 Texas Instruments Incorporated Method of diagnosing malfunctions in semiconductor manufacturing equipment
JP3039210B2 (ja) 1993-08-03 2000-05-08 日本電気株式会社 半導体装置の製造方法
US5546312A (en) 1993-09-20 1996-08-13 Texas Instruments Incorporated Use of spatial models for simultaneous control of various non-uniformity metrics
US5408405A (en) * 1993-09-20 1995-04-18 Texas Instruments Incorporated Multi-variable statistical process controller for discrete manufacturing
US5503707A (en) * 1993-09-22 1996-04-02 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
DE69425100T2 (de) 1993-09-30 2001-03-15 Koninkl Philips Electronics Nv Dynamisches neuronales Netzwerk
US5497381A (en) 1993-10-15 1996-03-05 Analog Devices, Inc. Bitstream defect analysis method for integrated circuits
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
US5375064A (en) 1993-12-02 1994-12-20 Hughes Aircraft Company Method and apparatus for moving a material removal tool with low tool accelerations
US5577204A (en) * 1993-12-15 1996-11-19 Convex Computer Corporation Parallel processing computer system interconnections utilizing unidirectional communication links with separate request and response lines for direct communication or using a crossbar switching device
US5526293A (en) 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
US5420796A (en) * 1993-12-23 1995-05-30 Vlsi Technology, Inc. Method of inspecting planarity of wafer surface after etchback step in integrated circuit fabrication
EP0665577A1 (en) * 1994-01-28 1995-08-02 Applied Materials, Inc. Method and apparatus for monitoring the deposition rate of films during physical vapour deposition
US5664987A (en) 1994-01-31 1997-09-09 National Semiconductor Corporation Methods and apparatus for control of polishing pad conditioning for wafer planarization
US5511005A (en) 1994-02-16 1996-04-23 Ade Corporation Wafer handling and processing system
US5666297A (en) 1994-05-13 1997-09-09 Aspen Technology, Inc. Plant simulation and optimization software apparatus and method using dual execution models
US5629216A (en) * 1994-06-30 1997-05-13 Seh America, Inc. Method for producing semiconductor wafers with low light scattering anomalies
JP3402412B2 (ja) 1994-09-20 2003-05-06 株式会社リコー プロセスシミュレーション入力データ設定装置
EP0706209A3 (en) 1994-10-06 1996-12-27 Applied Materials Inc Thin film resistance measurement
US5519605A (en) * 1994-10-24 1996-05-21 Olin Corporation Model predictive control apparatus and method
KR100213603B1 (ko) * 1994-12-28 1999-08-02 가나이 쯔또무 전자회로기판의 배선수정방법 및 그 장치와 전자회로기판
DE4446966A1 (de) 1994-12-28 1996-07-04 Itt Ind Gmbh Deutsche Informationssystem zur Produktionskontrolle
US5534289A (en) 1995-01-03 1996-07-09 Competitive Technologies Inc. Structural crack monitoring technique
US5617023A (en) 1995-02-02 1997-04-01 Otis Elevator Company Industrial contactless position sensor
US5646870A (en) 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
US5761065A (en) 1995-03-30 1998-06-02 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing
US5541510A (en) 1995-04-06 1996-07-30 Kaman Instrumentation Corporation Multi-Parameter eddy current measuring system with parameter compensation technical field
US5665214A (en) 1995-05-03 1997-09-09 Sony Corporation Automatic film deposition control method and system
US5764543A (en) 1995-06-16 1998-06-09 I2 Technologies, Inc. Extensible model network representation system for process planning
US5649169A (en) 1995-06-20 1997-07-15 Advanced Micro Devices, Inc. Method and system for declustering semiconductor defect data
US5665199A (en) 1995-06-23 1997-09-09 Advanced Micro Devices, Inc. Methodology for developing product-specific interlayer dielectric polish processes
US5599423A (en) * 1995-06-30 1997-02-04 Applied Materials, Inc. Apparatus and method for simulating and optimizing a chemical mechanical polishing system
US5740429A (en) * 1995-07-07 1998-04-14 Advanced Micro Devices, Inc. E10 reporting tool
KR0153617B1 (ko) * 1995-09-20 1998-12-01 김광호 반도체 집적회로 제조공정방법
JPH09129530A (ja) * 1995-09-25 1997-05-16 Texas Instr Inc <Ti> サイトモデルを用いたプロセスモジュールの制御およびモニタウエハ制御
US5777901A (en) 1995-09-29 1998-07-07 Advanced Micro Devices, Inc. Method and system for automated die yield prediction in semiconductor manufacturing
US5655951A (en) 1995-09-29 1997-08-12 Micron Technology, Inc. Method for selectively reconditioning a polishing pad used in chemical-mechanical planarization of semiconductor wafers
US5761064A (en) 1995-10-06 1998-06-02 Advanced Micro Devices, Inc. Defect management system for productivity and yield improvement
US5654903A (en) 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
US5603707A (en) * 1995-11-28 1997-02-18 The Procter & Gamble Company Absorbent article having a rewet barrier
JP3892493B2 (ja) * 1995-11-29 2007-03-14 大日本スクリーン製造株式会社 基板処理システム
US5719796A (en) 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US6094600A (en) * 1996-02-06 2000-07-25 Fisher-Rosemount Systems, Inc. System and method for managing a transaction database of records of changes to field device configurations
US5871805A (en) * 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US5735055A (en) 1996-04-23 1998-04-07 Aluminum Company Of America Method and apparatus for measuring the thickness of an article at a plurality of points
US5859777A (en) * 1996-05-14 1999-01-12 Toshiba Kikai Kabushiki Kaisha Casting control support system for die casting machines
US5663797A (en) 1996-05-16 1997-09-02 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
JPH1086040A (ja) * 1996-06-13 1998-04-07 Mitsubishi Electric Corp 多系統の自動プログラミング方法及びその装置
US5667424A (en) 1996-09-25 1997-09-16 Chartered Semiconductor Manufacturing Pte Ltd. New chemical mechanical planarization (CMP) end point detection apparatus
US6041263A (en) * 1996-10-01 2000-03-21 Aspen Technology, Inc. Method and apparatus for simulating and optimizing a plant model
US5859964A (en) * 1996-10-25 1999-01-12 Advanced Micro Devices, Inc. System and method for performing real time data acquisition, process modeling and fault detection of wafer fabrication processes
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US5895596A (en) * 1997-01-27 1999-04-20 Semitool Thermal Model based temperature controller for semiconductor thermal processors
US5862054A (en) * 1997-02-20 1999-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Process monitoring system for real time statistical process control
KR100272252B1 (ko) * 1997-04-17 2000-11-15 윤종용 웨이퍼카세트반송방법
JP3186643B2 (ja) * 1997-05-08 2001-07-11 日本電気株式会社 充電器および充電器と携帯無線機とからなる無線装置
US6219711B1 (en) * 1997-05-13 2001-04-17 Micron Electronics, Inc. Synchronous communication interface
US6240330B1 (en) * 1997-05-28 2001-05-29 International Business Machines Corporation Method for feedforward corrections for off-specification conditions
US6012048A (en) * 1997-05-30 2000-01-04 Capital Security Systems, Inc. Automated banking system for dispensing money orders, wire transfer and bill payment
TW436369B (en) * 1997-07-11 2001-05-28 Tokyo Seimitsu Co Ltd Wafer polishing device
US6345315B1 (en) * 1997-08-13 2002-02-05 Sudhindra N. Mishra Method for platform and protocol independent communication between client-server pairs
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
KR19990027324A (ko) * 1997-09-29 1999-04-15 윤종용 웨이퍼 인식 시스템을 구비하는 멀티 챔버 시스템과 이를 이용한 웨이퍼 가공방법
DE19747574A1 (de) * 1997-10-28 1999-05-06 Siemens Ag Verfahren zur Ermittlung realisierbarer Konfigurationen von Bearbeitungsanlagen
US6041270A (en) * 1997-12-05 2000-03-21 Advanced Micro Devices, Inc. Automatic recipe adjust and download based on process control window
KR100251279B1 (ko) * 1997-12-26 2000-04-15 윤종용 반도체 제조용 증착설비의 막두께 조절방법
KR100258841B1 (ko) * 1997-12-26 2000-06-15 윤종용 반도체 제조설비 관리시스템의 설비 유닛상태 관리방법
EP0932194A1 (en) * 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
KR19990065486A (ko) * 1998-01-14 1999-08-05 윤종용 반도체 제조설비 관리시스템의 공정조건 관리방법
KR100297371B1 (ko) * 1998-02-03 2001-10-25 윤종용 반도체 공정 데이터 통합 관리 방법
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6228280B1 (en) * 1998-05-06 2001-05-08 International Business Machines Corporation Endpoint detection by chemical reaction and reagent
KR20010071235A (ko) * 1998-05-11 2001-07-28 세미툴 인코포레이티드 열반응기용 온도 제어 시스템
US6230069B1 (en) * 1998-06-26 2001-05-08 Advanced Micro Devices, Inc. System and method for controlling the manufacture of discrete parts in semiconductor fabrication using model predictive control
KR100292030B1 (ko) * 1998-09-15 2001-08-07 윤종용 반도체 박막 공정에서의 박막 두께 제어 방법
US6197604B1 (en) * 1998-10-01 2001-03-06 Advanced Micro Devices, Inc. Method for providing cooperative run-to-run control for multi-product and multi-process semiconductor fabrication
US6366934B1 (en) * 1998-10-08 2002-04-02 International Business Machines Corporation Method and apparatus for querying structured documents using a database extender
US6226792B1 (en) * 1998-10-14 2001-05-01 Unisys Corporation Object management system supporting the use of application domain knowledge mapped to technology domain knowledge
US6339727B1 (en) * 1998-12-21 2002-01-15 Recot, Inc. Apparatus and method for controlling distribution of product in manufacturing process
US6212961B1 (en) * 1999-02-11 2001-04-10 Nova Measuring Instruments Ltd. Buffer system for a wafer handling system
US6389491B1 (en) * 1999-03-23 2002-05-14 Agilent Technologies, Inc. Test instrumentation I/O communication interface and method
US6268270B1 (en) * 1999-04-30 2001-07-31 Advanced Micro Devices, Inc. Lot-to-lot rapid thermal processing (RTP) chamber preheat optimization
JP2003502771A (ja) * 1999-06-22 2003-01-21 ブルックス オートメーション インコーポレイテッド マイクロエレクトロニクス製作に使用するラントゥーラン制御器
NL1015480C2 (nl) * 1999-06-22 2002-08-22 Hyundai Electronics Ind Halfgeleider fabriekautomatiseringssysteem en werkwijze voor de verwerking van ten minste een halfgeleiderwafelcassette.
US6046108A (en) * 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US6391780B1 (en) * 1999-08-23 2002-05-21 Taiwan Semiconductor Manufacturing Company Method to prevent copper CMP dishing
US6556881B1 (en) * 1999-09-09 2003-04-29 Advanced Micro Devices, Inc. Method and apparatus for integrating near real-time fault detection in an APC framework
US6368879B1 (en) * 1999-09-22 2002-04-09 Advanced Micro Devices, Inc. Process control with control signal derived from metrology of a repetitive critical dimension feature of a test structure on the work piece
US6560504B1 (en) * 1999-09-29 2003-05-06 Advanced Micro Devices, Inc. Use of contamination-free manufacturing data in fault detection and classification as well as in run-to-run control
US6532555B1 (en) * 1999-10-29 2003-03-11 Advanced Micro Devices, Inc. Method and apparatus for integration of real-time tool data and in-line metrology for fault detection in an advanced process control (APC) framework
US6546508B1 (en) * 1999-10-29 2003-04-08 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool in an advanced process control (APC) framework
US6355559B1 (en) * 1999-11-18 2002-03-12 Texas Instruments Incorporated Passivation of inlaid metallization
US6340602B1 (en) * 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US6465263B1 (en) * 2000-01-04 2002-10-15 Advanced Micro Devices, Inc. Method and apparatus for implementing corrected species by monitoring specific state parameters
US6449524B1 (en) * 2000-01-04 2002-09-10 Advanced Micro Devices, Inc. Method and apparatus for using equipment state data for run-to-run control of manufacturing tools
US6567717B2 (en) * 2000-01-19 2003-05-20 Advanced Micro Devices, Inc. Feed-forward control of TCI doping for improving mass-production-wise, statistical distribution of critical performance parameters in semiconductor devices
US6517414B1 (en) * 2000-03-10 2003-02-11 Appied Materials, Inc. Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus
JP4874465B2 (ja) * 2000-03-28 2012-02-15 株式会社東芝 渦電流損失測定センサ
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6725402B1 (en) * 2000-07-31 2004-04-20 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool and control thereof using an advanced process control (APC) framework
US6708074B1 (en) * 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US6537912B1 (en) * 2000-08-25 2003-03-25 Micron Technology Inc. Method of forming an encapsulated conductive pillar
US6346426B1 (en) * 2000-11-17 2002-02-12 Advanced Micro Devices, Inc. Method and apparatus for characterizing semiconductor device performance variations based on independent critical dimension measurements
US6728587B2 (en) * 2000-12-27 2004-04-27 Insyst Ltd. Method for global automated process control
US6535783B1 (en) * 2001-03-05 2003-03-18 Advanced Micro Devices, Inc. Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework
US6540591B1 (en) * 2001-04-18 2003-04-01 Alexander J. Pasadyn Method and apparatus for post-polish thickness and uniformity control
JP2002373843A (ja) * 2001-06-14 2002-12-26 Nec Corp 塗布装置及び塗布膜厚制御方法
US6913938B2 (en) * 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
US6515368B1 (en) * 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101134258B1 (ko) * 2006-10-05 2012-04-12 도쿄엘렉트론가부시키가이샤 기판 처리 시스템의 처리 레시피 최적화 방법

Also Published As

Publication number Publication date
DE60220063T2 (de) 2008-01-10
CN100432879C (zh) 2008-11-12
JP2005522018A (ja) 2005-07-21
US20030014145A1 (en) 2003-01-16
WO2003009345A2 (en) 2003-01-30
ATE362127T1 (de) 2007-06-15
WO2003009345A3 (en) 2004-01-08
AU2002316650A1 (en) 2003-03-03
CN1564970A (zh) 2005-01-12
EP1412827B1 (en) 2007-05-09
US7337019B2 (en) 2008-02-26
DE60220063D1 (de) 2007-06-21
KR100916190B1 (ko) 2009-09-08
WO2003009345A9 (en) 2004-04-08
JP4377224B2 (ja) 2009-12-02
EP1412827A2 (en) 2004-04-28

Similar Documents

Publication Publication Date Title
KR100916190B1 (ko) 런투런 제어와 고장 검출의 통합
US8849615B2 (en) Method and system for semiconductor process control and monitoring by using a data quality metric
US6556949B1 (en) Semiconductor processing techniques
US6678570B1 (en) Method and apparatus for determining output characteristics using tool state data
KR100706584B1 (ko) 반도체 프로세싱 방법 및 그 장치
US7587296B2 (en) Adaptive multivariate fault detection
US8010321B2 (en) Metrics independent and recipe independent fault classes
US6650955B1 (en) Method and apparatus for determining a sampling plan based on process and equipment fingerprinting
US11126172B2 (en) Methods and systems for applying run-to-run control and virtual metrology to reduce equipment recovery time
KR20050058369A (ko) 제조하는 동안의 디바이스 전자 파라미터들을 예측하기 위한 방법 및 장치
US6563300B1 (en) Method and apparatus for fault detection using multiple tool error signals
US6687561B1 (en) Method and apparatus for determining a sampling plan based on defectivity
US6790686B1 (en) Method and apparatus for integrating dispatch and process control actions
US6785586B1 (en) Method and apparatus for adaptively scheduling tool maintenance
US6665623B1 (en) Method and apparatus for optimizing downstream uniformity
US6701206B1 (en) Method and system for controlling a process tool
US6821792B1 (en) Method and apparatus for determining a sampling plan based on process and equipment state information
US7100081B1 (en) Method and apparatus for fault classification based on residual vectors
US6732007B1 (en) Method and apparatus for implementing dynamic qualification recipes
US7020535B1 (en) Method and apparatus for providing excitation for a process controller
US7103439B1 (en) Method and apparatus for initializing tool controllers based on tool event data
US6697696B1 (en) Fault detection control system using dual bus architecture, and methods of using same
US7337091B1 (en) Method and apparatus for coordinating fault detection settings and process control changes
Barna APC in the semiconductor industry, history and near term prognosis
KR20010029772A (ko) 반도체 프로세싱 방법 및 그 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120830

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20130830

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140828

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20170629

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20180903

Year of fee payment: 10