JP4334642B2 - 半導体ウェーハの研摩方法および装置 - Google Patents

半導体ウェーハの研摩方法および装置 Download PDF

Info

Publication number
JP4334642B2
JP4334642B2 JP32239498A JP32239498A JP4334642B2 JP 4334642 B2 JP4334642 B2 JP 4334642B2 JP 32239498 A JP32239498 A JP 32239498A JP 32239498 A JP32239498 A JP 32239498A JP 4334642 B2 JP4334642 B2 JP 4334642B2
Authority
JP
Japan
Prior art keywords
wafer
conveyor
semiconductor
semiconductor wafer
processing path
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP32239498A
Other languages
English (en)
Other versions
JPH11221758A (ja
Inventor
エイチ エングダール エリック
ティー フェアリ ジュニア エドワード
シー クルーゼル ウィルバー
ジャイラス ラフール
エル グリーン ランダール
パント アニール
Original Assignee
ラム リサーチ コーポレイション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ラム リサーチ コーポレイション filed Critical ラム リサーチ コーポレイション
Publication of JPH11221758A publication Critical patent/JPH11221758A/ja
Application granted granted Critical
Publication of JP4334642B2 publication Critical patent/JP4334642B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B21/00Machines or devices using grinding or polishing belts; Accessories therefor
    • B24B21/04Machines or devices using grinding or polishing belts; Accessories therefor for grinding plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B21/00Machines or devices using grinding or polishing belts; Accessories therefor
    • B24B21/04Machines or devices using grinding or polishing belts; Accessories therefor for grinding plane surfaces
    • B24B21/10Machines or devices using grinding or polishing belts; Accessories therefor for grinding plane surfaces involving a rigid member, e.g. pressure bar, table, pressing or supporting the belt over substantially its whole span
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/34Accessories
    • B24B37/345Feeding, loading or unloading work specially adapted to lapping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B41/00Component parts such as frames, beds, carriages, headstocks
    • B24B41/005Feeding or manipulating devices specially adapted to grinding machines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、化学的機械的平坦化技術(a chemical mechanical planarization technique) を用いて半導体ウェーハを平坦化する技術に関し、より詳しくは、単一の集合化された加工パスにより、首尾一貫してかつ効率的に半導体ウェーハを平坦化する改良されたシステムおよび方法に関する。
【0002】
【従来の技術】
一般に、半導体ウェーハには、後で分離されて個々のチップになる所望設計の集積回路が複数コピーされる。半導体ウェーハ上に回路を形成する一般的技術は写真製版である。写真製版プロセスの一部は、特殊カメラをウェーハ上に合焦させて回路の像をウェーハ上に投影することを必要とする。ウェーハの表面上へ合焦させるカメラの能力は、しばしば、ウェーハ表面の非同一性(inconsistencies)すなわち凹凸により悪影響を受ける。この影響感受性は、より小さくかつ集積度の高い回路設計での電流駆動において顕著である。また、ウェーハは一般に層状に構成され、回路の一部が第1レベル上に作られかつ回路の次のレベルに接続するための導電性バイアスが作られる。ウェーハ上に回路の各層がエッチングされた後、酸化物層が設けられ、バイアスが前の回路レベルの残部を貫通しかつこれを覆うことができるようにする。回路の各層は、次の回路層を創成する前に平滑化しなければならないウェーハに凹凸を発生させまたは付加する。ウェーハの製造方法は浮遊粒子に感応するデリケートなものであり、このため一般に「クリーンルーム」という高度に管理された環境内で行なわれる。
【0003】
生ウェーハおよびその後に付加される各材料層の平坦化には、化学的機械的平坦化(CMP)技術が使用されている。一般にウェーハポリシャと呼ばれている入手可能なCMPシステムは、しばしば、ウェーハを、平坦化すべきウェーハ表面の平面内で回転する研摩パッドと接触させる回転ウェーハホルダを使用している。ウェーハを研摩する研摩パッドには、化学研摩剤のような研摩流体または微細研摩剤を含有するスラリが供給される。次に、ウェーハホルダが、回転する研摩パッドに対してウェーハを押し付け、ウェーハを研摩しかつ平坦化すべく回転される。
このウェーハ研摩加工はウェーハ製造にとって重要であるが、ウェーハをクリーンルームに戻す前に完了しなければならないCMP加工の唯一の部分は、1次ウェーハ研摩のみである。ウェーハをクリーンルームに戻す前に完了しなければならないCMP加工工程として、ウェーハから研摩流体を洗浄しかつすすぎ、その後に乾燥する工程がある。最終の洗浄、すすぎおよび乾燥を行なう前の他の工程として、最初の研摩加工で用いた薬品およびスラリとは異なる非相容性薬品およびスラリを用いた付加研摩加工並びに前の研摩工程で残された微細スクラッチを除去する付加研摩加工がある。これらの工程間の中間すすぎも必要になる。既存のウェーハ平坦化装置は、しばしば、大きな空間を占拠しかつ或る機械から次の機械へのウェーハの搬送を手動または半自動で行なう必要のある別々の機械からなる。或る機械から他の機械へのウェーハの搬送に何らかの遅延があると、化学スラリが乾燥し始め、このため、ウェーハの研摩またはスクラビングに大きな困難が生じる。工程間すなわち機械間のウェーハ搬送に遅延が生じると、化学スラリの化学的作用が長く続き過ぎ、研摩加工に悪影響を与える。
【0004】
既存の研摩機およびスクラバは、ウェーハ加工時間が異なっている。通常、研摩加工は、バフ加工またはスクラビング加工より長時間を要する。ウェーハ加工時間を最適化しかつ装置を最大限に使用できるようにするため、幾つかのCMP加工スキームは、各々が単一平坦化工程を完了するに過ぎない多くのウェーハ研摩機を使用している。これらの別々の研摩機からのウェーハは、次に、同じバッファまたはスクラバで各々が加工される。この技術に付随する問題は、ウェーハのバッチが別々の研摩ステーションで加工されかつウェーハ間の研摩の非同一性が生じ易いことである。これらの非同一性を最小にするには、既存のCMPシステムは、装置に対する極めて高い公差を有しかつ各研摩機での加工条件を正確に再現しなければならない。異なるウェーハホルダは、ウェーハを同じ角度に保持できかつ研摩機に対してウェーハを保持するときにウェーハに同じ大きさの圧力を作用できなくてはならない。各研摩機は同一速度で回転しかつ同一性(consistency)および同量の研摩剤を供給できなくてはならない。公差に配慮しなければ、ウェーハから創成される半導体回路の生産性または性能に潜在的に悪影響を与える、同一性のないCMP加工がなされるであろう。
【0005】
【発明が解決しようとする課題】
従って、効率的で同一性のある態様で複数の半導体ウェーハにCMPを遂行できるシステムおよび方法が要望されている。
【0006】
【課題を解決するための手段】
本発明の第1態様によれば、単一加工経路上の少なくとも1つの半導体ウェーハの表面を均一に平坦化および洗浄する方法が開示されている。本発明の方法は半導体ウェーハおよび半導体ウェーハ研摩システムを用意し、半導体ウェーハを半導体ウェーハ研摩システムに取り付け、かつ半導体ウェーハをウェーハローディングステーションに搬送する工程を有している。ウェーハは、ウェーハローディングステーションから第1主研摩ステーションに搬送され、半導体ウェーハを部分的に平坦化する第1研摩加工が遂行される。ウェーハは第2研摩ステーションに搬送され、第2研摩加工が半導体ウェーハの平坦化を完了する。これらの工程は加工される全てのウェーハに反復される。1つの他の実施形態では、各研摩ステーションが種々の化学研磨剤および加工方法を使用する。
【0007】
本発明の他の態様によれば、複数の半導体ウェーハの各々についての単一加工経路を提供する、複数の半導体ウェーハの化学的機械的平坦化を行う装置が、半導体ウェーハをロードステーションから搬送ステーションに移動させる第1ウェーハ搬送機構を有している。第2ウェーハ搬送機構は搬送ステーションに隣接して配置されかつ半導体ウェーハを搬送ステーションから半導体ウェーハローディング装置に移動させるように設計されている。ウェーハローディング装置は、個々のウェーハをウェーハコンベア上にロード(装填)する。ウェーハコンベアは多数のウェーハ受入れ領域を有しかつ複数のウェーハ受入れ領域の各々に半導体ウェーハを受け入れるように回転可能に移動できる。ウェーハコンベアは、所定の加工経路に沿うウェーハの連続閉ループ運動を可能にする態様で配置されかつ加工経路に沿って戻す必要性を完全に回避すべく最適化される。加工経路に沿って配置される第1主研摩ステーションは、半導体ウェーハを所定時間平坦化して、部分的に平坦化された半導体ウェーハを製造する。加工経路に沿って配置された第2主研摩ステーションは、部分的に平坦化された半導体の平坦化を完成する。タッチアップ研摩機は、第1主研摩ステーションおよび第2主研摩ステーションで残されたあらゆる痕跡スクラッチを除去すべく、平坦化されたウェーハをバフ加工する。また、ウェーハは、ウェーハコンベアローダですすがれ、かつウェーハスクラビング装置でスクラビングおよび乾燥されて、スラリおよび粒子を完全に除去する。各半導体ウェーハは単一の加工経路を移動する。
【0008】
好ましい実施形態では、ウェーハコンベアとウェーハ加工位置との間で半導体ウェーハを搬送する半導体ウェーハ搬送機構が開示される。搬送機構は、回転可能かつ軸線方向に移動可能なスピンドルである。該スピンドルにはレバーアームが取り付けられており、該レバーアームの一端は可動フレームに連結されかつ第2端部は可動フレームに取り付けられた微調節スピンドル駆動装置に連結されている。粗調節スピンドル駆動装置が固定フレームに取り付けられかつ可動フレームに連結されており、これにより、粗調節スピンドル駆動装置は、可動フレームを固定フレームに対してスピンドルの軸線方向に移動させることができる。半導体ウェーハ搬送機構は、着脱可能なウェーハ支持ヘッドおよび回転可能なウェーハコンベアと協働して、ウェーハコンベアと研摩ステーションまたはウェーハコンベアローダとの間でウェーハを移動させる。粗調節スピンドル駆動装置および微調節スピンドル駆動装置は、研摩ステーションで研摩パッドに対してウェーハを押し付ける圧力の制御度合いを付加する。
【0009】
【発明の実施の形態】
図1〜図3には、ウェーハ研摩システム10の好ましい実施形態が示されている。システム10は、前端フレーム組立体12と、該前端フレーム組立体12に連結された後端フレーム組立体14とを有している。一般に半導体ウェーハ製造設備に使用されるシステム10は、前端フレーム組立体12の端部に配置されたカセット16またはHine Design, Inc. から市販されているErgoローダのようなカセットホルダ等の少なくとも1つのウェーハホルダから半導体ウェーハを受け入れる。より詳細に後述するように、半導体ウェーハは、システム10により、完全に加工されたカセット16から回収され、かつきれいに乾燥されかつ均一に平坦化された状態で、同じ位置または所定の別の位置のカセット16に戻される。
【0010】
前端フレーム組立体12は、所望サイズのウェーハカセット16を受け入れるサイズを有している。各カセット16は多数のウェーハを収容する。カセット16は、手動でまたは標準モジュールインターフェース(standard module interface:SMIF)キャリヤ18を用いて自動的に、入力/出力キューにローディングされる。好ましいウェーハ研摩システムには任意数のカセット16を使用でき、カセット16は、ポリプロピレンまたはテフロン等のプラスチック或いはウェーハの保持に適した他の任意の材料で作ることができる。カセット16に隣接して、前端フレーム組立体12内にはドライ環境ロボット20が配置されている。ドライロボット20は、カセット16からウェーハを回収しかつウェーハをカセット16に戻すように設計するのが好ましい。前端フレーム組立体12で使用する1つの適当なロボット20として、Hine Design, Inc. により製造されるモデル番号04300-038 がある。乾燥ロボット20と後端組立体14との間で前端組立体12内に配置されたウェーハ搬送ステーション22は、加工中にドライロボット20からウェーハを受け入れる。搬送ステーション22は、好ましくは、ドライロボット20から半導体ウェーハを受け入れるのに適したウェーハ受入れプラットホームを有している。搬送ステーション22はウェーハと予整合しかつ後端組立体14内に配置されたウェット環境ロボット24にアクセスできる形状を有している。適当な搬送ステーションが、Hine Design, Inc. から市販されている。
【0011】
前端組立体12はまた、ウェーハ研摩システム10の全体を作動させるグラフィックユーザインターフェース(graphic user interface: GUI)28を表示するディスプレイ26を収容している。GUIは、クリーンルーム内に突出する前端組立体の部分でカセット16に隣接して配置するのが好ましい。好ましくは、GUI28は、ユーザがシステム10と協働して、加工パラメータ及びモニタ進行を変えることを可能にする。ディスプレイ26は、標準形CRT、液晶ディスプレイまたは他の適当な視覚表示装置で構成できる。
前端組立体12には、粒子によるウェーハの汚染を防止するためのフィルタ30(好ましくは、高効率粒子減衰(high efficiency particulate attenuator: HEPA)フィルタ)が取り付けられている。また、前端組立体12には、スクラバ組立体32の一端が後端組立体14に隣接し、他端がドライロボット20に隣接するようにしてスクラバ組立体32が配置されている。スクラバは、後端組立体14で加工されたウェーハを機械的及び化学的に洗浄し、次に、ドライロボット20がウェーハをカセット16に戻す前に、ウェーハをすすぎかつ乾燥させる。後端組立体14から出るウェーハは、しばしば、後端組立体14で行なわれる研摩またはバフ加工により残される化学スラリの粒子を完全に除去するための機械的スクラビングを必要とする。1つの適当なスクラバとして、OnTrak Systems, Inc.の製造に係る両面スクラバ(DSS:登録商標)がある。本発明の好ましい方法及びシステムの1つの長所は、乾燥した粒子のない状態でウェーハをシステムに装填しかつシステムから取り出す、ウェーハの「ドライイン−ドライアウト」加工にある。
【0012】
前述のように、半導体ウェーハは、前端組立体12から、ウェットロボット24を介して後端組立体14へと搬送される。用語「ウェット」は、ロボットが作動するウェット(湿潤)環境をいう。このウェット環境は、後端組立体14内でのウェーハの研摩及びバフ加工中に使用されかつ発生される薬品、水分及び湿気の存在により創成される。システム10内でのカセット16と加工ステーションとの間のウェーハ搬送を取り扱うのに1基のロボットを使用することもできるが、カセット及び加工された全てのウェーハからの化学スラリ及び粒子の隔絶を向上させるには、2基のロボット20、24が好ましい。1つの適当なロボット24として、Hine Design, Inc. の製造に係るモデル番号04300-25がある。
後端組立体14では、図3に最も良く示すように、ウェットロボット24がヘッドローダ34と協働する。ヘッドローダ34は、図4に示すように、ウェーハを、ウェーハコンベア装置(回転できるインデックステーブル36が好ましい)上にローディング及びアンローディングすることができる。インデックステーブル36は、多数のウェーハ(各ウェーハは互いに別々に保持されている)を解放可能に保持する。インデックステーブル36は一方向に移動して、各ウェーハがヘッドローダ34(ヘッドローダ34では、完全に研摩された半導体ウェーハがアンローディングされかつ前端組立体12を通してカセット16に戻される)に戻る前に、各ウェーハを、加工ステーションの全回路を通して搬送する。後端組立体14のインデックステーブル36の経路に沿う第1加工ステーション及び第2加工ステーションは、主ウェーハ研摩装置38(化学的機械的平坦化(CMP)が可能なリニアウェーハ研摩機が好ましい)である。リニア研摩機が好ましいけれども、ウェーハ研摩システム10のモジュラー設計では、ロータリ研摩機等の他の形式の研摩装置も容易に使用できる。本発明の開示の目的で、主ウェーハ研摩装置とは、少なくとも1,000 Å/分の速度でウェーハから材料を除去できるように構成された研摩機をいう。
【0013】
インデックステーブルがウェーハを各々の主ウェーハ研摩装置に搬送した後、インデックステーブル36はウェーハを第3加工ステーション(ロータリバッファ等のタッチアップ研摩装置40が好ましい)に搬送する。適当なタッチアップ研摩装置40として、Guard, Inc. から市販されている軌道研摩機がある。任意の数のロータリ研摩装置またはタッチアップ研摩装置を使用できる。本発明の開示目的として、用語「タッチアップ研摩装置」とは、主研摩段階でウェーハの表面に残される残留スクラッチを、1,000 Å/分未満(最も好ましくは、50〜500Å/分)の速度で除去できるウェーハバフ装置をいう。後端組立体14の構成部品についての上記概略的説明は、後でより詳細に補足する。後述のように、用語「加工ステーション」とは、広く、ヘッドローダ34、主研摩装置48およびタッチアップ研摩装置40の全てをいうものとする。
ウェーハコンベア
図4は、後端フレーム組立体14内で、主研摩装置38およびタッチアップ研摩装置40上に取り付けられるインデックステーブル36の好ましい実施形態を最も良く示す。前述のように、インデックステーブル36は、全ての半導体ウェーハが、同じ加工ステーション上の同じ加工工程を受けるように、半導体ウェーハを各加工ステーションに搬送すべく作動する。インデックステーブル36は、好ましくは、インデックステーブルの周囲に等間隔に配置された複数のヘッド受入れ領域42を有する。インデックステーブル36は、該インデックステーブルの上または下に取り付けられた、モータ駆動形インデキサ(割出し機)45を介して回転軸線46(図2)に連結される中央ハブ44を有している。インデックステーブル36は、モータ駆動形インデキサ45の下に取り付けるのが好ましい。インデックステーブル36およびインデキサ45のこの構成により、インデックステーブル36の下の加工ステーションを、よりコンパクトに集合化できる。また、この構成は、潜在的汚染物質がインデックステーブルからインデキサまたはベアリング組立体内にしたたり落ちることを防止する。インデックステーブル36は、モータ駆動形インデキサ45に連結されたモータにより、連続360°回転に亘って一方向に正確な増分で回転できる。インデキサ45に連結されたモータ47は、図示の実施形態では、インデキサ45を90°ずつ回転駆動する。例えば、4つより多くのウェーハ受入れ領域、従って4つより多くのウェーハがインデックステーブル36上に配置される場合には、回転増分は、インデックステーブル36の下に位置する加工ステーション上での各ウェーハの正確な配置を確保できるように適応した設計がなされる。インデックステーブル36は一方向に移動するのが最も好ましく、ウェーハ研摩加工中は方向を反転しない。
【0014】
ロータリフィードバックシステム49は、インデックステーブル36の位置をモニタする。ロータリフィードバックシステム49は、エンコーダ駆動スプロケット53およびエンコーダ駆動チェーン55を介して回転軸46に連結されたロータリエンコーダ51を有している。ロータリエンコーダ51からの信号は、ウェーハの進行をモニタする搬送モジュールコントローラ316(図31)に導かれかつインデキサ45を駆動するモータ47を制御する。インデックステーブル36には、プラスチック被覆アルミニウムまたはステンレス鋼が適している。インデックステーブル36を正確に回転させるのに、Camco 902RDM4H32-330のようなモータ駆動形インデキサ45を使用できる。
他の好ましい実施形態では、ウェーハコンベアは、図5に示すように軽い重量をもつように構成されたインデックステーブル436で形成できる。この実施形態では、インデックステーブル436は、中実材料ではなく、中央ハブ444から延びている支持アーム448で形成されたフレームを使用している。ウェーハ受入れ領域442は、支持アーム448の端部に位置している。周方向に配置された支持体450は、インデックステーブル436に強度および剛性を付加する。当業者には明らかなように、他のインデックステーブル構造を用いることができる。
【0015】
ヘッド組立体およびヘッド保持組立体
半導体ウェーハは、インデックプレートにより形成される加工経路に沿って進行するとき、各々の半導体ウェーハが異なるヘッド組立体52により保持される。各ヘッド組立体52は、図6および図7に示すように、1つのウェーハを保持する。ウェーハを保持するとき、ヘッド組立体52は、保持リング56により形成された境界内のウェーハ受入れプレート54に当接させてウェーハを保持する(保持リング56は、ウェーハ受入れプレート54のプレートを包囲しかつ該プレートを超えて延びている)。ウェーハ受入れプレート54の周囲には、複数の孔すなわち流体導管58が分散配置されている。これらの流体導管58は、ヘッド組立体52が、表面張力により、またはウェーハとウェーハ受入れプレート54との間の部分真空によりウェーハを保持する補助をする。外側リング60およびヘッドアダプタ66は、ヘッド組立体52の下部を一体に保持する。図7に示すように、ヘッドアダプタ66上には、スロット64および同心状の突出リング62が配置されている。
スロット64およびリング62は、ヘッドアダプタ66を着脱可能に工具交換アダプタ80に連結できるようにする。図8および図9には、ヘッド組立体アダプタと工具交換アダプタとの相互連結が最も良く示されている。ヘッドアダプタ66はヘッドを連結するように設計されている。工具チェンジャアダプタ80は、その一方の側面がヘッドアダプタ66と係合しかつ他方の側面が標準ツーピース工具チェンジャの雌形半部と係合するように設計するのが好ましい。1つの適当なツーピース工具チェンジャとして、Robotic Accessories (Tipp City 、オハイオ州)から市販されているものがある。本発明のヘッド組立体52の長所は、適当なヘッドアダプタプレートまたは工具チェンジャアダプタ80を作ることにより、一般的に入手できる任意数のウェーハ保持ヘッドおよび工具チェンジャを使用できることである。
【0016】
図4、図8および図9に示すように、工具チェンジャアダプタ80はまた、インデックステーブル36上の各ヘッド受入れ領域42に取り付けられたヘッド保持組立体68に着脱可能に連結され、従ってヘッド組立体52をインデックステーブル36に連結する。ヘッド保持組立体68は、ねじ72によりインデックステーブル36に取り付けられる環状壁70を有している。図4には、図面の明瞭化の目的で1つのヘッドリテーナのみが示されているが、ヘッドリテーナ組立体68は、インデックステーブル36上の各ウェーハ受入れ領域42に取り付けるのが好ましい。1つの好ましい実施形態では、環状壁70にスロット付きリング74が固定され、この場合、リング74は金属材料で作られ、壁70は重量を低減させるためプラスチック材料で作られる。環状壁70は、該環状壁から延びている2つの突出部76に連結される。突出部76は、壁70および取り付けられたリング74を回転させるべく移動できる。この回転により、ヘッド組立体の工具チェンジャアダプタの部分80を保持するボールベアリング78が引込められる。スロット付きリング74のスロットがボールベアリング78を受け入れかつスピンドル駆動組立体108がヘッド組立体と係合しかつ加工ステーションに移動できるようにする。ウェーハが加工ステーションからインデックステーブルに受け入れられると、ヘッド組立体52がヘッド保持機構68に再連結される。これは、再び突出部76を押圧することにより環状壁70およびスロット付きリング74が回転され、ボールベアリングをヘッド組立体52の工具チェンジャアのダプタ80の回りで環状溝79と接触させる。
【0017】
ヘッドリテーナ組立体68はまた、インデックステーブル上でのウェーハの回転中に、ウェーハおよびヘッド組立体のDI水すすぎを行なう。ヘッドリテーナ組立体の外部のDI水ポート69は、インデックステーブル36のチューブ(図示せず)からDI水を受け入れる。図9に示すように、DIポート69は周方向チャンネル71に連結され、DI水をヘッド組立体に供給する。ヘッド保持組立体68の通路73は、ヘッド組立体52とヘッド保持組立体68との間のすすぎギャップ75に開口している。DI水または他の所望の洗浄剤はDIポート69内に流入しかつウェーハおよびヘッド組立体52上に流出して、残留洗浄剤を除去する。洗浄工程は、ウェーハが加工ステーション間を移動する間に行なわれ、従って、異なる加工ステーションでの化学的に相容性のある研摩剤の使用を容易にする。
【0018】
図10に示すように、1対のヘッドリテーナ駆動ピストン59がヘッドリテーナ組立体68の突出部76と協働して、ヘッド組立体をヘッドリテーナ組立体にロクしかつアンロックする。1対のヘッドリテーナ駆動ピストン59は、システム10の各加工ステーションに隣接して、後端組立体のフレームに配置される。ピストンはブラケット61によりフレームに固定されかつインデックステーブル上では移動しない。ピストンは、インデックステーブルの増分回転移動により、現在インデックステーブルに取り付けられている各ウェーハが次のそれぞれの加工ステーションに移動されるとき、各ヘッドリテーナ機構と整合するように取り付けられている。各ピストン59には軸65の端部に接触ヘッド63が設けられており、該接触ヘッド63は突出部に当接してこれを押し、これによりヘッド組立体をインデックステーブルにロックしかつアンロックするように設計されている。一般的に入手可能な任意数の空気圧ピストンまたは油圧ピストンを使用できる。ピストン59は、搬送モジュールコントローラ316(図31)により制御され、スピンドル駆動組立体108、109(図15〜図19参照)と協働してヘッド組立体52をロックしまたはアンロックする。
【0019】
図11〜図13は、ヘッドリテーナ組立体468の第2の好ましい実施形態を示す。この実施形態では、別のヘッドリテーナ駆動ピストンが必要である。図11に示すように、ヘッドリテーナ組立体468は、インデックステーブルの各ヘッド受入れ領域で環状壁470に取り付けられるヘッド組立体連結リング469を有している。リング469は内側フランジ471を有し、該内側フランジ471は、この回りで非対称的パターンに配置された複数の工具チェンジャアダプタ貫通スロット472を備えている。貫通スロット472は、ヘッド組立体に取り付けられた工具チェンジャアダプタ480の外周から半径方向外方に延びている。各貫通スロット472は、ピン保持ベイ473から所定の周方向距離だけ間隔を隔てている。各ピン保持ベイ473は、内側フランジ471の凹部により形成される。
より詳細に後述するように、各加工ステーションのスピンドル駆動組立体は、工具チェンジャアダプタのピン474と貫通スロット472とを整合させ、ピン474が貫通スロット472を貫通するまでヘッド組立体を持ち上げ、かつピンがピン保持ベイ473内に配置されるまでヘッド組立体を下降させることにより、図11〜図13のヘッドリテーナ機構のヘッド組立体をロックする。スロットおよび対応するピンの非対称的パターンは、インデックステーブルと加工ステーションとの間でのヘッド組立体の全搬送で各ヘッド組立体がインデックステーブル上に同じ方向で確実にローディングされるように、極性嵌合(polarized fit)を行なう。図11〜図13に示すヘッドリテーナ組立体は、ヘッドリテーナ組立体のロックまたはアンロックを行なうのに別のピストンが不要であるという点で優れている。それどころか、スピンドル駆動組立体は、インデックステーブル上でのヘッド組立体の整合およびロックの必要工程を行う。
【0020】
ヘッドローダ
図14は、ローディング/アンローディング操縦中にヘッド組立体52およびインデックステーブル36と相互作用するヘッドローダ34を示す。簡単化のため、図14には、ヘッド組立体52に連結されたヘッド組立体52またはヘッドローダスピンドル駆動組立体109(図19)の全部は示されていない。ヘッドローダ34は、予整合されたウェーハを、研摩前にヘッド組立体上に置きかつウェーハが研摩されかつバフィングされた後にウェーハを取り出すように設計されている。また、ヘッドローダは、ウェーハをアンローディングするときに、過剰のスラリを、脱イオン水(DI水)でヘッド組立体およびウェーハからすすぐためのすすぎステーションとして機能する。ヘッドローダ34のノズルにより、DI水とは別にまたはDI水と一緒に他の洗浄薬品を供給できる。ヘッドローダ34は、ウェーハ搬送組立体92を包囲する垂直方向に移動可能なすすぎ流体収容タブ90を有している。搬送組立体92は、整合リング96と同心状に整合した円筒状の支持リング94を有している。フレーム99に取り付けられた空気圧シリンダ98により駆動されるシリンダ軸102が、タブ90に連結されている。シリンダ98がタブを上昇および下降させる。シリンダ98は、インデックステーブルとのシールを形成すべく、インデックステーブル36の底部まで上昇できることが好ましい。このシールは、ヘッドローダとインデックスプレートとの間の交換中にウェーハおよびヘッド組立体をフラッシュ(洗浄)できるようにするのに必要である。シールは、タブ90の開口の周囲に配置されるOリング91で形成することもできる。
【0021】
タブ90の内部では、ヘッド整合リング96およびウェーハ支持リング94が、リニアアクチュエータ97によりリフタロッド101を介してタブ90とは独立して移動される。リニアアクチュエータ97は、整合リング96およびウェーハ支持リング94の両方を移動させる。リニアアクチュエータ97は、ヘッド整合リング96がウェーハ支持リング94と係合しかつウェーハ支持リング94とヘッド組立体52とが係合するまで、ヘッド整合リング96とウェーハ支持リング94とを上昇させる。ヘッド組立体52との整合が達成されたならば、第2アクチュエータ121がウェーハ支持リング94を独立して上昇させ、ヘッド組立体にウェーハを搬送するか、ヘッド組立体からのウェーハを受け入れる。ウェーハおよびヘッド組立体は、ヘッド整合リング96およびウェーハ支持リング94に隣接して支持体103上に配置されたスプレーノズル100からのすすぎ流体を受ける。ノズルは、DI水および界面活性剤等の付加洗浄薬品を噴霧して、研摩されたウェーハをきれいに洗浄しかつ未研摩のウェーハを加工のためにヘッド上に搬送する前にヘッドのすすぎをも行なう。
【0022】
スピンドル駆動組立体
ウェーハをインデックステーブルから上昇させまたはインデックステーブル上に下降させるヘッドローダに加え、スピンドル駆動組立体はインデックステーブルからヘッド組立体52を下降させる。本発明の好ましいシステム10には、2形式のスピンドル駆動組立体を使用することが好ましい。第1形式のスピンドル駆動組立体は、ヘッドローダ34に対向して配置される。第2形式のスピンドル駆動組立体は、インデックステーブルにより形成される加工経路に沿う残余の各加工ステーションに配置される。両形式のスピンドル駆動組立体は、スピンドル110に連結された雄形部分81および各ヘッド組立体52に取り付けられた雌形部分83を備えたロボット形工具チェンジャを用いて、スピンドルを、インデックステーブルの上方からヘッド組立体に着脱可能に連結される。
図1および図2は、ウェーハ研摩システム10に使用される主研摩装置およびタッチアップ研摩装置用のスピンドル駆動組立体108の配置を最も良く示す。ヘッドローダ34でのスピンドル駆動組立体は、他の加工ステーションでのスピンドル駆動組立体108を簡単化したバージョンが好ましいが、より複雑なスピンドル駆動組立体108をヘッドローダに使用することもできる。前述のように、ヘッド組立体52は、ヘッドリテーナ組立体68により回転インデックステーブルに対して着脱可能に取り付けられる。インデックステーブルの経路に沿う各加工ステーションにおいて、スピンドル駆動組立体108は、ヘッド組立体52と係合し、該ヘッド組立体がインデックステーブル36上のヘッドリテーナ組立体からアンロックされている間にヘッド組立体を保持し、かつアンロックされたヘッド組立体52およびウェーハを加工ステーションに移動させる。加工ステーションでの加工が完了すると、スピンドル駆動組立体は、ヘッド組立体およびウェーハをインデックステーブルまで戻し、ウェーハおよびヘッド組立体をヘッドリテーナ機構にロックし、かつヘッド組立体から係合解除する。次に、インデックステーブルが次のインデックス位置(割出し位置)に自由に回転し、かつウェーハ研摩システム10の各加工ステーションで、インデックステーブルからのウェーハおよびヘッド組立体からの係合解除工程が同時に反復される。
【0023】
別の構成として、図11〜図13のヘッドリテーナ機構を使用する場合には、スピンドル駆動組立体108がヘッド組立体を直接アンロックまたはロックするように構成できる。スピンドル駆動組立体108は、ピン474が貫通スロット472と整合するまでヘッド組立体を回転させる。次に、スピンドル駆動組立体がヘッド組立体を僅かに持ち上げ、かつピンがフランジ471のピン保持ベイ473内に配置されるまでヘッド組立体を回転させる。次に、工具チェンジャの雌形部分から連結解除することにより、スピンドル駆動組立体がヘッド組立体を解放する。次の加工ステーションでヘッド組立体がスピンドル駆動組立体により再び掴まれかつ加工のために下降されると、このプロセスが反転される。本発明の好ましいシステム10の長所は、全スピンドル駆動組立体の重量および嵩を試験しかつ移動させる必要なく、加工されているウェーハが、着脱可能なヘッド組立体を用いて加工ステーション間で同時に移動されることである。
【0024】
図15〜図18には、好ましいスピンドル駆動組立体108が詳細に示されている。スピンドル駆動組立体108は、該組立体108を通って垂直方向に延びているスピンドル110を有している。スピンドル110は、該スピンドルの両端部に配置された1対のベアリング組立体112内で回転可能かつ摺動可能に取り付けられている。ベアリング組立体は、スピンドル110がその軸線に沿って摺動しかつその軸線の回りで回転することを可能にするボールスプラインベアリングが好ましい。1つの適当なボールスプラインベアリングとして、THK Inc.から市販されているLTR 形式ベアリングがある。
図17に示すように、スピンドル110は、該スピンドルの全長に沿って延びている中空ボア114を有している。中空ボア114内には複数の流体導管116が配置されている。流体導管116は、空気または液体を搬送するか、真空を搬送することもできる。システム10に使用されるヘッド組立体52の形式に基づいて、これらの導管116の幾つかまたは全てを使用することができる。ヘッド組立体52とは反対側のスピンドル110の端部には、ローテータカップリング118が取り付けられている。ローテータカップリング118には所望の任意の流体または真空を搬送する可撓性チューブ(図示せず)が連結され、該チューブはスピンドル110の導管116に連結されている。
【0025】
スピンドル110は、スピンドル駆動組立体108のフレームに固定されたサーボギアモータ120により回転される。サーボギアモータ120はベルト(図示せず)を回転させ、該ベルトは、スピンドル110に連結されたアダプタ駆動プーリ122を回転させる。スピンドル110の軸線方向移動は、粗調節機構124および微調節機構126により制御される。粗調節機構124は、Axidyne から市販されているBC35ねじ駆動アクチュエータのようなねじ駆動アクチュエータが好ましい。粗調節機構124は、固定フレーム132に取り付けられたレール130上で、スピンドル110、微調節機構126、ベアリング組立体112およびスピンドル駆動組立体108の残部を移動させる。粗調節機構124は固定フレーム132に取り付けられ、かつスピンドル駆動組立体108の残部をレール130に摺動可能に連結するスライドベアリングに取り付けられた駆動部分を有している。好ましい実施形態では、粗調節機構124は、スピンドルを、スピンドル駆動組立体108の残部と一緒に約3〜4インチ移動させ、これによりヘッド組立体52が主研摩装置38またはタッチアップ研摩装置40に隣接するインデックステーブルを通って下方に移動されるように設計されている。
【0026】
ヘッド組立体52が、粗調節機構124によりほぼ加工領域まで下降されると、微調節機構126が、ウェーハを残余の距離だけ移動させ、かつウェーハに加えられる押圧力を制御する。微調節機構126は、レバーアーム136に取り付けられたダイアフラム複動シリンダ134により作動される。レバーアーム136は、その一端がシリンダ軸138に取り付けられ、他端がレール130に固定された枢着点140に取り付けられている。枢着点140とシリンダ軸138との間で、レバーアーム136にはスローアウトベアリング(throw-out bearing)142が連結されている。スローアウトベアリング142は、スピンドル110への軸線方向に固定された回転可能な連結構造を有し、このため、シリンダ134は、該スピンドルが回転している間に該スピンドルを上方または下方に移動させることができる。レバーアーム136は、小形軽量で小出力のシリンダまたは他の形式のアクチュエータの使用を可能にし、同時に、シリンダの軸線方向精度(axial resolution) すなわち微調節能力を高めることができる長所を有する。好ましい別の一実施形態では、微調節機構126の複動シリンダ134を、高精度、高速親ねじで置換できる。1つの適当なダイアフラム複動シリンダとして、Bellofram から市販されているモデルD-12-E-BP-UM複動シリンダがある。
【0027】
各ウェーハ研摩装置38でウェーハに作用する制御された押圧力を維持することが重要であるので、微調節機構は1/2 psi 以内に制御できるのが好ましく、2〜10psi の範囲を有する。微調節機構として使用するのに好ましい別の装置は高精度(high resolution)リニアアクチュエータである。固定フレーム132に取り付けられたリニア変位センサ141は、粗調節機構124の移動および位置を表示する制御回路への電気的フィードバックを行なう。微調節機構126にはシリンダ伸長センサ143が設けられており、該センサ143は、レバーアーム136の位置を表示する制御回路への電気信号をシリンダ134に供給する。好ましくは、レバーアームおよびシリンダの位置を表示する電気信号は、シリンダ軸138を運動範囲の中心に維持するのに使用される。また、スピンドルは、主研摩加工およびバフィング(タッチアップ研摩)加工中にウェーハを約5〜50rpm で回転させ、一方、スピンドル駆動組立体は所望の押圧力を維持する。
【0028】
スピンドルおよびスピンドル駆動組立体108上のウェーハに加えられる押圧力の適正制御を維持するため、図18に示すような閉ループ制御回路144が使用される。制御回路144は、粗移動制御回路146と、スピンドル回転制御回路148と、ヘッド押圧力制御回路150とを有している。粗移動制御回路146は粗調節機構124のモータに電気的に接続されており、移動速度および移動時間を制御する。下限センサ152および上限センサ154が粗移動制御回路146と通信し、極端位置に到達したときに粗調節機構124を遮断する。リニア変位センサ141およびシリンダ伸長センサ143が制御回路と通信する。複数の制御ライン156も、通信中の加工モジュールコントローラ314(図31)からの情報をシステム10のGUI28に通信する。スピンドル回転制御回路148が、ベルトおよびアダプタを介してスピンドル110に接続されたモータ120を制御する。複数のモータ制御回路158は、モータ120がスピンドルを所望方向に所望速度で回転できるようにしかつ情報を与える。
【0029】
微調節機構126はヘッド押圧力制御回路150により制御される。圧力を最良に制御するため、好ましい実施形態では、制御回路150が、圧力変換器160上の複動シリンダ134のダイアフラムの両側の圧力差をモニタリングしかつ制御弁162を付勢して、ダイアフラムのいずれかの側に圧力を加えまたは圧力を減じる。シリンダは空気圧シリンダが好ましいが、油圧シリンダを使用することもできる。微調節機構126により加えられる絶対圧力を測定するのに、ロードセルのような別個のヘッド押圧力センサを使用できる。制御弁162に供給される空気圧は、粗調節機構がその移動を完了した後、ソレノイドスイッチ166を介して付勢される加圧ライン164を通して供給される。制御ライン168は、スピンドル110を上昇または下降させる情報をヘッド押圧力回路150に与え、かつGUI28を介してユーザから受けた情報に基づいてどれほどの力を加えるかの情報を与える。
【0030】
好ましい実施形態では、ヘッドローダスピンドル駆動組立体109は、ヘッドローダ34上に配置される。図19に示すように、ヘッドローダスピンドル駆動組立体109は、図15〜図17のスピンドル駆動組立体を簡単化したバージョンである。ヘッドローダスピンドル駆動組立体109は、ベアリングブロック113内に回転可能に取り付けられたスピンドル111を有している。ベアリングブロック113は、支持ストラット117に取り付けられた垂直レール115に摺動可能に取り付けられている。支持ストラット117は、緊締具により、ウェーハ研摩システム10のフレームに取り付けられる。
ヘッドローダスピンドル駆動組立体109は、スピンドル111を移動させる単一のリニアアクチュエータ119と、ベアリングブロック113と、インデックステーブルの平面に対して垂直なベアリングブロックへのアタッチメントとを使用している。図15〜図17のスピンドル駆動組立体108とは異なり、いかなる研摩もヘッドローダでは行なわれないので、微調節機構は不要である。また、ヘッドローダスピンドル駆動組立体109は、ヘッド組立体を±360°回転させるに過ぎない。ヘッドローダでは一方向への連続回転は不要であるので、ヘッドローダスピンドル駆動組立体109は、流体または真空をスピンドル111に案内するためのローテータカップリングを使用しない。それどころか、あらゆる流体導管または真空導管は単にスピンドル111の外部に導かれて、スピンドルの±360°の巻回を可能にする充分な弛みが与えられる。サーボモータ127は、ギアボックス125を介してベルト押圧力プーリを駆動し、スピンドル111を回転させる。前述のように、スピンドル111は、ヘッドローダのノズルがウェーハおよび/またはヘッド組立体をすすぐことを可能にする。本発明の好ましいヘッドローダスピンドル駆動組立体109は、主研摩装置38およびタッチアップ研摩装置40で必要なスピンドル駆動組立体108と比べ、コストが易く簡単であるという長所を有する。
【0031】
主ウェーハ研摩装置
スピンドル駆動組立体108は、インデックステーブルにより形成される加工経路に沿う各位置に配置された加工ステーションと協働する。図1〜図3に示すように、加工ステーションのうちの2つは主ウェーハ研摩装置38である。主ウェーハ研摩装置38は、半導体ウェーハのCMP加工を行なうように設計されたリニア研摩機である。ウェーハ研摩システム10には、別の実施形態におけるロータリ研摩機を組み込むことができる。図20〜図25には好ましいリニアウェーハ研摩装置38が示されている。主ウェーハ研摩装置38は、駆動ローラ180およびアイドルローラ182の回りに配置されたベルト178を有している。ベルト178は、例えばポリマー材料またはステンレス鋼のような高引張り強度材料で形成するのが好ましい。直径12インチ以下のウェーハを研摩する場合、ベルト178の幅は約13〜14インチである。吸収パッド179がベルト178を覆っており、該吸収パッド179は微細研摩剤を含有する薬剤またはスラリ等の研摩流体と協働して、ウェーハの表面から材料を除去する。ウェーハ研摩システムに使用される各主ウェーハ研摩装置38は、ウェーハの表面から少なくとも1,000 Å/分の速度で材料を除去するように構成される。また、各研摩機38は、パッド179の表面を粗くし、スラリ搬送のための微小チャンネルを形成し、かつCMP加工中に生じる破砕屑を除去するため、パッドコンディショナ(図示せず)を組み込むのが好ましい。任意の数の既知のパッドコンディショナを使用できる。
ローラ180、182はライニングされた鋼製フレーム184に取り付けられている。フレーム184はステンレス鋼で作るのが好ましく、かつプラスチックまたはプラスチック被覆材料で作られたライニング186を有している。化学スラリおよび研摩剤をウェーハ研摩機38に使用するので、研摩機は内面および外面の両方ができる限り多くシールされ、これにより、研摩中に発生した砥粒が精密ベアリング内に侵入したり後端組立体14を汚染することを防止する。保護ガード188は、ローラ180、182の端部を覆っている。両ローラ180、182は、ステンレス鋼または他の耐食性高強度材料で作られた管状コア190を有している。ベルト178とローラ180、182との間に牽引力を付与するため、管状コア190上にはゴム被覆192が設けられる。ベルト178とローラ180、182との間に水および化学スラリがしみ込むことを防止するため、ベルト178はローラ180、182の両端部から張り出す幅をもつのが好ましい。また、ゴム被覆には溝を設け、水またはスラリがベルトとローラとの間に侵入した場合のハイドロプレーニング効果を防止する。フレーム184の底部には、過剰の水およびスラリのためのドレン194が設けられている。
【0032】
フレーム184の外部で駆動ローラ180の下にはローラ駆動ギアモータ196が配置されている。モータ196は、該モータをローラ180の駆動軸200に連結する駆動ベルト198を回転させる。駆動軸は、フレーム184のシールされたベアリング組立体202に回転可能に取り付けられている。駆動軸200には、ローラ180の管状コア190が固定されている。
駆動ローラ180とは異なり、アイドルローラ182は回転しない軸204を有している。アイドルローラ182の管状コア190は、該管状コア190と軸204との間に位置するシール形ベアリング206に支持された軸204の回りで受動的に回転する。アイドルローラ182上のベルトの張力により、アイドルローラ182は駆動ローラ180と同期して回転される。アイドルローラ182の軸204の各端部は、図22に示すように、フレーム184に摺動可能に取り付けられた摺動バー206に枢着されている。摺動バー206は、研摩機38の操縦・張力付与機構208(後述)の一部を構成する。
【0033】
図21および図22に最も良く示すように、ローラ180、182上のベルト178の張力および整合は、操縦・張力付与機構208により自動的に調節される。操縦・張力付与機構208は、STARCYL から市販されている多段空気圧シリンダのような空気圧シリンダ210で構成され、該シリンダ210は、リンク組立体212を介して各スライドバー206に連結されている。リンク組立体212は、アイドルローラ182の各側で負荷をモニタするためのロードセル214を収容するのが好ましい。各スライドバー206は、アイドルローラ軸204の端部に隣接してフレーム184の各側に取り付けられたテークアップハウジング216内に保持されている。テークアップハウジング216は、軸204のハウジングの開口の両側に取り付けられた2つのシールされたリニアベアリング組立体218を有している。ベアリング組立体は、好ましくは、スライドバー206をローラ180、182の平面に平行な直線方向に移動できるように整合される。
【0034】
図21に示すように、スライドバーとアイドルローラ軸とが協働して、アイドルローラ軸の端部が互いに独立して移動することを可能にする。ベルト178の全体的張力を調節するため、ピストン210はスライドバー206を、駆動ローラ180から離れる方向または近づく方向に移動させることができる。この調節は、ローラを手動調節または取り外すいかなる必要もなくして自動的に行なうことができる。張力調節と同時に、操縦・張力付与機構208は、駆動ローラに対してアイドルローラを操縦して、ベルトがローラ上での適正整合を維持しかつローラから外れないようにする。操縦は、ピストン210によりスライドバーを独立的に移動させ、ベルトがローラの回りで回転するときにベルト178を整合させることにより行なわれる。操縦の調節は、ベルト178の一縁部または両縁部上に置かれた整合センサ244(図24)から受ける信号に従って行なわれる。アイドルローラの相対移動および操縦を制御する閉ループ回路を完成するのに任意数のセンサを使用できる。
【0035】
図21および図22に最も良く示すように、アイドルローラ軸204のいずれかの端部のスロット219はスライドバー206を受け入れかつ回転可能な結合部(スライドバー206および軸204を通るピン220が好ましい)でスライドバーに連結されている。軸204のスロット219の基部とスライドバー206の縁部との間のギャップ222は、操縦・張力付与機構208がアイドルローラ182の端部が互いに独立して移動することを必要とするときに、アイドルローラ軸204が各ピン220の回りで枢動できるようにする間隙を与える。可撓性環状シール224は、軸204と、該軸のためのフレーム184の開口との間のギャップをシールする。可撓性シール224はまた、操縦および張力調節中に軸のリニア移動を可能にする。ベルト178の張力付与および操縦に関する付加情報源として、ベルト張力付与・操縦機構208は、アイドルローラ軸204の各端部に設けられたリニア変位センサ226を有している。好ましくは、センサ226の固定部分228はテークアップハウジング216に取り付けられかつ可動部分はスライドバー206に取り付けられる。
【0036】
既知の出発位置に対する各スライドバー206の位置を表す電気信号は、図24に示すように、各センサにより、操縦・張力付与制御回路232に送られる。各研摩機38の操縦・張力付与制御回路232は、加圧空気ライン234内の加圧空気の分配を制御する。ソレノイド弁236は、研摩機が付勢されると、データ信号により遠隔からトリガされる。圧力スイッチ238は空気圧をモニタして、所定の充分な空気圧が確実に存在するようにする。リンク組立体212のロードセル214からのデータ信号は、中央プロセッサ(図示せず)により使用されて圧力制御弁240を調節する。圧力制御弁240は、空気圧シリンダ210によりベルトに付与される張力を変化させる。これと同時に、ベルトトラッキングコントローラ242は、増幅回路246を介して、ベルト縁部位置センサ244(好ましくは誘導近接センサ)からの情報を受ける。好ましい一実施形態では、ベルト縁部位置センサ244は、ベルト縁部位置をモニタすべく配置されかつベルトの位置に関する電気信号をベルトトラッキングコントローラ242に供給するビデオカメラのような光学的センサで構成できる。
【0037】
ベルトトラッキングコントローラ242は、ベルトトラッキング制御弁248を電気的に制御する。制御弁248は、ベルトトラッキングコントローラ242により表示される操縦要求に従って各シリンダ210に空気圧を分配する。好ましくは、ベルト縁部位置センサ244からベルトトラッキングコントローラ242へのフィードバックループが、4〜20mAの範囲内(この範囲の中間に、静止レベルすなわちベルト中心レベルが設定される)の調節信号をベルトトラッキングコントローラに供給する。シリンダ210と制御弁248との間の空気圧ラインの圧力ゲージ250は、現在の圧力設定の手動検査を可能にする。
張力付与および操縦に加え、ベルト178は、ウェーハがスピンドル駆動組立体108によりインデックステーブルから下降されるとき、できる限り平らに維持することを必要とする。前述のように、スピンドル駆動組立体108は、ベルト178に対して、ウェーハ上の入念に制御された押圧力を付与する。この圧力は、駆動ローラ180とアイドルローラ182との間でベルトを下方に撓ませる。研摩加工が均一に行なわれるようにするにはウェーハの面を横切るベルト面を平坦にすることが重要であるので、ベルト178のウェーハ受入れ側には1対のベルト偏向ローラ252を配置するのが好ましい。
【0038】
図22、図23および図25に最も良く示すように、ベルト偏向ローラ252は、駆動ローラ180とアイドルローラ182との間でこれらに対して平行に配置される。ベルト偏向ローラ252は、駆動ローラおよびアイドルローラの平面から僅か上方に突出する。ベルト偏向ローラは、ベルトを、0.06〜0.13インチの範囲内で駆動ローラおよびアイドルローラの平面から上方に偏向させるのが好ましい。図22および図25に示すように、各ベルト偏向ローラ252は、該ローラ252の軸256を両端で懸架するローラ支持体254により研摩機38のフレームに取り付けられる。
好ましい一実施形態では、ローラ252は、軸の回りのシール形ベアリングに取り付けられた固定軸256および回転可能スリーブ258を有する。回転可能なスリーブ258は、ベルト178より幅広であるのが好ましい。偏向ローラ252として、数百ポンドの分布圧力を支持できる任意数の入手可能なローラ組立体を使用できる。
【0039】
プラテン組立体
再び図23を参照すると、研摩機38はまた、プラテン組立体260を有している。プラテン組立体は、プラテン高さアジャスタ262と協働して、ベルト178の裏面とプラテン264との間のギャップを制御する。本発明の好ましいプラテン組立体の長所は、研摩機全体を分解する必要なくして高さ調節できることである。プラテン組立体260は、研摩中に高さを調節できかつウェーハ全体に亘って非常に正確な圧力分布を維持する。図23に示すように、プラテン組立体260は、ベルト偏向ローラ252の間で研摩機38のフレーム184に着脱可能に取り付けられる。
図26および図27に示すように、プラテン組立体260は、ディスクプラテンホルダ266に取り付けられた交換可能なディスクプラテン264を有している。ディスクプラテンホルダ266の下のマニホルド組立体268は、流体を正確な量でディスクプラテン264に分散させるように設計される。好ましくは、ディスクプラテンホルダ266は、ベルト178の移動方向に垂直な少なくとも一方の縁部に沿って配置された1列の予湿ノズル(pre-wet nozzle)267を有している。流体は、マニホルド組立体268の予湿マニホルド271から予湿ノズル267に導かれる。予湿ノズルは、ベルトが最初にプラテン組立体260上を通るときにベルトを潤滑する少量の流体を供給することにより、ディスクプラテンホルダ266の縁部に対するベルトの摩擦を低減させる。好ましくは、使用される流体は空気であり、マニホルド組立体268は、プラテン組立体260への空気供給の容易な係合および係合解除を可能にする複数の迅速離脱空気圧ポートを有している。プラテンディスクガスケット272は、プラテン264とプラテンホルダ266との間のシールを形成する。同様に、プラテンホルダガスケット274は、マニホルド組立体268とプラテンホルダ266との間のシールを形成する。複数の緊締具276はプラテン組立体260を一体に保持し、かつ4つのコネクタ孔278が緊締具(図示せず)と協働して、研摩機38へのプラテン組立体260の取付けまたは取外しを行なう。
【0040】
作動に際し、プラテン組立体260は、システム10の後端組立体14に配置されたプラテン流体マスフローコントローラ280(図1)からの空気または他の流体の制御された供給を受ける。本発明の好ましいプラテン組立体には、他の流体流れ制御装置を使用することもできる。マスフローコントローラ280からの制御された流体は、マニホルド組立体268で受け入れられ、ディスクプラテン264の複数の空気分配ベント282に分配される。分配ベント282から出る空気または他の流体は、正確に制御された態様でベルト178に圧力を付与する流体ベアリングを形成すると同時に、ベルトが空気ベアリング上を連続的に走行するときにベルトに対する摩擦を最小にする。他の好ましい実施形態では、マニホルド組立体を省略でき、個々のホースまたはチューブが流体をプラテン組立体の適当なノズルまたはベントに分配するように構成できる。
【0041】
研摩機38の他の重要な特徴は、ベルト178に対してプラテン260の高さを調節しかつプラテン260とベルトとの平行整合を維持するプラテン高さアジャスタ262にある。プラテン高さアジャスタ262は、独立作動する3つのリフト機構284で形成するのが好ましい。図21および図23に示すように、リフト機構284は三角形パターンに間隔を隔てており、プラテン組立体262はベルト178に対して任意の角度に調節できる。リフト機構284は、フレーム184内のシールされたチャンバ内のプラテン組立体262の直ぐ下で、駆動ローラ180とアイドルローラ182との間に配置される。
図28は、好ましいリフト機構284の構造を最も良く示す。各リフト機構284は、データライン290を介してエンコーダ288により制御されるモータ286により駆動される。モータ286は、アダプタ294を介して、遊星ギアヘッド292を駆動する。遊星ギアヘッド292は非常に高いギア比を有し、微調節を達成できる。1つの適当なギア比は100:1である。カム機構295は、ステッパモータ286の回転運動をリフタ軸296の垂直運動に変換する。雄形および雌形球面を備えた環状ベアリング298(図23)は、プラテンが3つの接触点で調節されるときにプラテン取付けプレート300と軸296との間に過度の応力を引き起こすことなく、プラテン高さアジャスタ262のリフト機構284が上下に移動できるようにする多くの運動自由度を与える。各軸296は、ボルト302およびワッシャ304により取付けプレートに連結される。ベローズマウント306およびクランプ308は、プラテン高さアジャスタ262が取付けプレート300を介してプラテン組立体260に連結されるときに、取付けプレート300とのシールされた結合部を形成する。
【0042】
タッチアップ研摩装置
インデックステーブル36(図1)の下にはタッチアップ研摩機40が取り付けられており、かつインデックステーブルの反対側でシステム10に取り付けられたスピンドル駆動組立体108と協働して、加工経路に沿う各ウェーハ加工進度の最終研摩工程を行う。ウェーハ研摩システム10に使用されるタッチアップ研摩機は、既知の多くのロータリ研摩装置の任意のものでよく、例えばEngis Corporation から市販されているものがある。一実施形態では、タッチアップ研摩装置40は、前述の主ウェーハ研摩機38と同様なリニア研摩装置であり、1,000 Å/分未満の速度でウェーハから材料を除去することにより、平坦化されたウェーハのバフ加工が行なえるようになっている。
図29および図30には、ウェーハ研摩システム10に使用する他のタッチアップ研摩機40が示されている。タッチアップ研摩機40のこの実施形態は、研摩プレート330の同時回転・直線振動を行なうように設計される。研摩プレート330は、各半導体ウェーハの表面から微細スクラッチおよびマークを除去するのに使用される。パッド332は、好ましくは研摩流体(例えば、微細研摩剤を含有するスラリ)を使用して、1,000 Å/分未満の速度でウェーハから材料を除去する。スピンドル駆動組立体は、回転・直線振動タッチアップ研摩機40に対してウェーハが保持されるときにウェーハを回転させる。
ロータリプレート330は、軸336を介してモータ338に連結される。一実施形態では、ロータリプレートは、±1rpm で制御できる10〜200rpm の速度で回転される。モータ338、軸336およびロータリプレート330は、ロータリプレート330の表面に平行に配置されたリニアガイド組立体340に摺動可能に取り付けられる。リニアガイド組立体は、タッチアップ研摩機40のフレーム346に取り付けられる。リニアガイド組立体340に連結されたリニアアクチュエータ344は、ロータリプレート330がリニアガイド組立体340に沿って直線方向に前後に移動すると同時に回転するように、取付けプレートおよびこれに取り付けられた部品を振動させる。リニアアクチュエータ344は、ロータリプレートおよびこれに取り付けられた部品を、リニアガイド組立体に沿って、60〜600ストローク/分(1ストロークは、一方向への最大移動量である)の速度で振動させることができる。リニアアクチュエータが、リニアガイド組立体に沿ってホーム位置から±1インチで移動する場合には、ストロークは2インチとなる。
【0043】
リニアアクチュエータは、ロータリプレートおよびこれに連結された部品を所定速度で直線運動させることができる任意の形式のリニアアクチュエータで構成できる。好ましいタッチアップ研摩機40のロータリプレート部分として、Engis Corporation の製造に係るロータリ研摩機構を使用できる。図29〜図30に示すタッチアップ研摩機の実施形態は、ロータリプレートを回転させると同時にロータリプレートを直線方向に振動させるべく作動するが、タッチアップ研摩機は、ロータリプレートを回転させることなく、直線方向のみに移動するように制御することもできる。逆に、ウェーハは、ロータリプレートを回転させかつ直線方向に振動させることなく適当にバフ加工することができる。
【0044】
制御アーキテクチャ
図31は、ウェーハ研摩システム10の作動を制御するための好ましい通信ネットワークおよび制御アーキテクチャを示す。前端フレーム組立体12のディスプレイ28に使用されるグラフィックユーザインターフェース30は、ユーザとクラスタツールコントローラ(cluster tool controller:CTC)との間の直接相互作用を可能にする。CTC310は、システムの主プロセッサである。適当なクラスタツールコントローラは、Microsoft NT 4.0を実行するコンパクトPCIベースコンピュータである。好ましくは、グラフィックユーザインターフェース30は、Wonderware InTouchツールを用いて書き込まれる。SECS/GEMインターフェースは、RS-232コネクション312上で作動すべくGW Associates ツールを用いて書き込まれ、かつ他の機器への通信に使用される。好ましくは、CTC310は、エサーネットネットワーク318を介してプロセスモジュールコントローラ(process module controllers:PMC)314およびトランスポートモジュールコントローラ(TMC)316と通信する。
各PMC314は、CTC310からの命令に従って、ウェーハ加工装置(すなわち、主研摩装機38、タッチアップ研摩機40およびスクラバ組立体32)の作動を制御する。PMC314は、pSOS+ソフトウェアを実行しかつエサーネットネットワーク318を介してTMC316および他のPMC314と通信できるコンパクトPCIベースコンピュータが好ましい。
TMC316も、pSOS+ソフトウェアを実行するコンパクトPCIベースコンピュータが好ましい。TMCは、ヘッドローダ34、ドライロボット20およびウェットロボット24、およびインデックステーブル36を制御する。好ましくは、TMC316は、半導体ウェーハがシステム10により適正に加工されることを確保するためのスケジューリングソフトウェアを含んでいる。
【0045】
加工の全体的説明
上記システム10を用いたウェーハの好ましい加工方法を以下に説明する。複数の半導体ウェーハが充填されたカセット16を前端組立体12に装填することにより、加工が開始される。ドライロボット20は個々のウェーハを取り出し、各ウェーハを1つずつ搬送ステーション22上に置く。搬送ステーション22は、特徴基準マーク(例えば、ノッチまたは平坦部)が適正に整合するまでウェーハを回転させることによりウェーハを整合させる。ウェットロボット24が搬送ステーション22に到達してウェーハを取り出し、ウェーハに回路が設けられている場合には回路が設けられた側面が下を向くようにして裏返す。ウェットロボット24は、ウェーハを後端フレーム組立体14に運び、ヘッドローダ34上に置く。次に、ヘッドローダ34は、ウェーハをヘッド組立体52まで上昇させる。
ウェーハをヘッドローダからヘッド組立体まで搬送する工程は、ヘッドローダ34と、該ヘッドローダの上方に位置するヘッドローダスピンドル駆動組立体109とにおいて、完全に同期化された作動で行なわれる。ヘッドローダでは、ウェットロボットが、上昇された支持リング94上に丁度ウェーハをセットした状態になっている。整合リング96が上昇して、ウェーハを支持リング94上に整合させる。次に、ヘッドローダがタブ90を上昇させかつウェーハの裏面を加湿し、ヘッド組立体52が真空または流体の表面張力を利用してウェーハを把持することを補助する。ウェーハは以前に裏返されているので、ウェーハの裏面がヘッド組立体52に向かって上を向いている。加湿が完了したならば、タブ90を下降させる。整合リングおよび支持リングが、ヘッド組立体に一致するまで移動し、ウェーハを搬送する。
【0046】
ウェーハの裏面が加湿されている間、スピンドル駆動組立体が下降してヘッド組立体を把持する。スピンドルおよびヘッド組立体上のそれぞれ雄形部分および雌形部分が一体にロックされる。次に、ヘッドリテーナ機構68が、インデックステーブル36からヘッド組立体52を解放する。ここで、スピンドル駆動組立体が、ヘッド組立体を、インデックステーブルを通して下降させ、ウェーハに一致させる。支持リング94は、空気通路58を通ってウェーハ受入れプレート54に作用する空気の吸引力によってウェーハが掴まれるまで、加湿されたウェーハを上方に移動させる。ヘッド組立体がインデックステーブルまで上昇され、ヘッドリテーナ機構にロックされ、かつスピンドルにより解放される。
インデックステーブルが回転してウェーハを第1主研摩機38に搬送し、研摩を開始する。前述のように、ウェーハを保持するヘッド組立体がスピンドルに連結され、主研摩機38まで下降される。主研摩機上のスピンドル駆動組立体108が、ウェーハを、インデックステーブルから約4インチ下方に移動させ、ウェーハが一定速度で回転している間に、ウェーハを、測定された押圧力で、移動ベルト178上の研摩パッドに押し付ける。スピンドル駆動組立体108、プラテン組立体260およびプラテン高さアジャスタ262がプロセスモジュールコントローラ314から情報を受けかつ協働して、ウェーハとベルトとの間に適当な圧力および整合を維持する。また、10%の微細研磨剤スラリのような化学研磨剤がベルト上の研摩パッド上に連続的または間欠的に供給され、ウェーハ研摩加工が開始される。第1主研摩装置38では、ウェーハが部分的に研摩され、好ましくは半分研摩される。ウェーハが部分的に研摩された後、スピンドル組立体がウェーハをインデックステーブルまで後方に引き寄せ、ヘッド組立体がインデックステーブルに連結されかつスピンドルが取り外された後、インデックステーブルがウェーハを次の主ウェーハ研摩装置に搬送する。ウェーハの除去および研摩工程は、ウェーハの研摩が完了するまで反復される。
【0047】
主研摩工程で残されたあらゆるスクラッチまたは汚れを除去するため、ウェーハがインデックステーブルに再連結されてタッチアップ研摩装置に移動される。タッチアップ研摩機でバフ加工された後、ウェーハは再びインデックステーブルで搬送され、ヘッドローダに戻される。ヘッドローダは、アンローディング作業中に、幾つかの工程を実行する。タブ90が上昇してインデックステーブルをシールする。ヘッドローダのノズルがDI水をウェーハの面上に噴霧する。ウェーハ支持リング94がヘッド組立体まで上昇し、該ヘッド組立体が、ガスまたは液体をゆっくり吹き付けることによりウェーハを押しやる。整合リング96が支持リングの回りで上昇してウェーハを整合させ、次に、支持リングおよび整合リングがウェーハを下降させる。タブがインデックステーブルに対して依然としてシールされた状態で、ノズル100がウェーハの裏面およびヘッド組立体のウェーハ保持部分をすすぐ。すすぎの後、タブが下降し、ウェットロボットがヘッドローダからウェーハを取り出して裏返し、次に、平坦化されたウェーハをスクラバに配置して最終的洗浄および乾燥を行なう。次に、ウェットロボットは、ウェーハ搬送ステーションから未研摩ウェーハを回収し、これをヘッドローダ上に置く。ドライロボットが、洗浄および乾燥されたウェーハをスクラバから受け入れ、これをカセット内に戻す。
【0048】
これらの工程は、全てのウェーハが同じ装置で取り扱われるように、各ウェーハについて反復される。システムがフル稼働しているときには、インデックステーブル上の4つの全てのヘッド受入れ領域がウェーハで占拠される。ヘッドローダが研摩されたウェーハをヘッド組立体から取り出した後、新しいウェーハが利用可能なヘッド組立体上に置かれる。好ましい実施形態では、インデックステーブルが回転してヘッド組立体を次の研摩ステーション上の新しい位置に移動させる度毎に、インデックステーブルが停止し、各スピンドル駆動組立体が、この下に位置するヘッド組立体(およびこれに取り付けられたウェーハ)を取り出して加工する。全ての加工ステーションがこれらのそれぞれの仕事を同時に実行する。本発明の好ましいシステムおよび方法の1つの長所は、同じ加工経路上で各ウェーハを加工することによる優れた同一性が得られ、ウェーハ間の平坦化のあらゆる不一致を防止できることである。また、本発明のシステムは、研摩工程を2つ以上の研摩装置上での複工程に分けることにより、ウェーハをより効率的に加工できることである。加工経路に沿う研摩機38、40の個数を最適化することにより大きな生産量が達成され、このため、ウェーハが、加工経路に沿う連続流れで搬送される。上記実施形態では、研摩の全時間はスクラビング工程および乾燥工程の2倍であり、従って2つの研摩機を設けて、各研摩機で半分の研摩を行なうことを考えた。従って、インデックステーブルは、一定時間間隔で加工ステーションから加工ステーションへと回転される。理解されようが、任意の1加工ステーションまたは遂行される研摩形式の制限に基づいて、他の多くの研摩装置または他の加工ステーションを使用できる。
【0049】
他の実施形態では、本発明の好ましいシステムは、同じ加工経路に沿って別の研摩加工を行うように変更できる。例えば、化学的に相容性のある2つ以上の研摩加工を用いてウェーハを最高に研摩する場合には、加工経路に沿って使用される各研摩装置を隔絶して、研摩工程間でウェーハをすすぐようにシステム10を構成できる。他の実施形態では、スクラバ組立体が故障した場合に、加工済みの濡れたウェーハを保管するため、ヘッドローダに隣接して湿潤ウェーハ保持領域を付加できる。これにより、スクラバに付随するあらゆる問題が矯正されるまで、スラリコンパウンドが湿った状態に維持されるであろう。
以上、本発明の改善された半導体ウェーハ研摩システムおよび方法を説明した。本発明の方法は、全てのウェーハを単一加工経路上で加工し、研摩工程を少なくとも2つの研摩機に分けて行い、同一性および生産性を高めている。本発明のシステムは、インデックステーブルコンベアを使用して、単一の加工経路に沿ってアクセスできる一体化された研摩装置、バフ加工装置およびスクラビング装置を有している。本発明のシステムは、各加工ステーションに配置されたインデックステーブルとスピンドル駆動組立体との間のヘッド組立体を交換するための着脱可能なヘッド組立体を有している。ヘッドローダは、インデックステーブルへとおよびインデックステーブルから移動するウェーハのローディング、アンローディングおよびすすぎを行なうように設計されている。リニアウェーハ研摩装置は、自動空気圧ベルト張力付与・操縦機構を有している。また、研摩装置は、不必要な配管を省略するマニホルドを備えた空気圧プラテンを有している。このプラテンは、研摩加工中にプラテンおよびベルトとウェーハとを正確に整合させるプラテン高さアジャスタに着脱可能に取り付けられる。2段垂直調節能力および正確な押圧能力を用いたスピンドル駆動組立体も設けられている。
【0050】
以上の説明は例示的なものであって制限的なものではないこと、および特許請求の範囲の記載は本発明の範囲を定めるあらゆる均等物を包含するものであることを理解されたい。
【図面の簡単な説明】
【図1】本発明の好ましい実施形態による半導体研摩システムを示す斜視図である。
【図2】図1のウェーハ研摩システムを示す左側側面図である。
【図3】図1および図2のウェーハ研摩システムでの好ましいウェーハ加工流れ経路を示す概略図である。
【図4】図1および図2のシステムに使用される好ましいインデックステーブルを示す斜視図である。
【図5】図1および図2のシステムに使用する第2の好ましいインデックステーブルを示す斜視図である。
【図6】ウェーハヘッド組立体を底部を示す斜視図である。
【図7】図6のウェーハヘッド組立体を頂部を示す斜視図である。
【図8】図1のウェーハ研摩システムに使用されるヘッド保持組立体およびヘッド組立体を示す平面図である。
【図9】図6のヘッド保持組立体およびヘッドアダプタを、図8の9−9線に沿って破断した断面図である。
【図10】図4のインデックステーブル上のヘッド保持機構に隣接して配置されたヘッドリテーナ作動ピストンの一部を示す平面図である。
【図11】図1のシステムに使用される第2の好ましいヘッド保持機構を示す平面図である。
【図12】図11のヘッド保持機構に使用される第2の好ましい工具アダプタコネクタを示す平面図である。
【図13】図11のヘッド保持機構に取り付けられるヘッド組立体を示す断面図である。
【図14】図1のウェーハ研摩システムに使用される好ましいヘッドローダ組立体を示す側面図である。
【図15】図1のウェーハ研摩システムに使用される好ましいスピンドル駆動組立体の後部を示す斜視図である。
【図16】図15のスピンドル駆動組立体を示す側面図である。
【図17】図16の17−17線に沿うスピンドル駆動組立体の断面図である。
【図18】好ましいスピンドル駆動組立体の電気制御回路および空気圧制御回路を示す概略図である。
【図19】図1のシステムに使用される好ましいヘッドローダスピンドル駆動組立体を示す側面図である。
【図20】図1および図2のウェーハ研摩システムに使用される好ましい主ウェーハ研摩装置の頂部を示す斜視図である。
【図21】図20の21−21線に沿う断面図である。
【図22】図20の主ウェーハ研摩装置の一部を示す斜視図である。
【図23】図20の23−23線に沿う断面図である。
【図24】図20の主ウェーハ研摩装置の好ましい電気制御回路および空気圧制御回路を示す概略図である。
【図25】図20の主ウェーハ研摩装置に使用される好ましい偏向ローラを示す斜視図である。
【図26】図20の主ウェーハ研摩装置に使用される好ましいプラテン組立体を示す斜視図である。
【図27】図26のプラテン組立体を示す分解図である。
【図28】図20の主ウェーハ研摩装置に使用される好ましいプラテン調節リフタを示す斜視図である。
【図29】図1のウェーハ研摩システムに使用される好ましいタッチアップ研摩機を示す平面図である。
【図30】図29のタッチアップ研摩機を示す正面図である。
【図31】図1および図2のウェーハ研摩システムに使用される制御回路経路および通信経路を示すブロック図である。
【符号の説明】
10 ウェーハ研摩システム
12 前端フレーム組立体
14 後端フレーム組立体
22 ウェーハ搬送ステーション
32 スクラバ組立体
34 ヘッドローダ
36 インデックステーブル
38 主ウェーハ研摩装置
40 タッチアップ研摩装置

Claims (9)

  1. 複数の半導体ウェーハの各々についての単一加工経路を提供する、複数の半導体ウェーハの化学的機械的平坦化を行う装置において、
    複数のウェーハ受入れ領域を備えたウェーハコンベアを有し、該ウェーハコンベアは、複数のウェーハ受入れ領域の各々の領域内の半導体ウェーハをウェーハコンベアローディング装置から受け入れかつ各半導体ウェーハを加工経路に沿って連続的に移動させるべく移動でき、
    半導体ウェーハを所定時間に亘って平坦化し、部分的に平坦化された半導体ウェーハを製造するための、前記加工経路に沿って配置された第1研摩ステーションと、
    前記加工経路に沿って配置された第2研摩ステーションとを更に有し、該第2研摩ステーションは、前記部分的に平坦化された半導体ウェーハを所定時間に亘って平坦化して、完全に平坦化された半導体ウェーハを製造するように設計されており、これにより、複数の半導体ウェーハのうちの各々の半導体ウェーハがウェーハコンベアを介して加工経路を移動しかつ第1主研摩ステーションおよび第2主研摩ステーションの両方で平坦化され、 前記半導体ウェーハをウェーハコンベアとウェーハ研摩ステーションとの間で搬送するための、半導体ウェーハ搬送機構を更に有し、該搬送機構が、
    回転可能かつ軸線方向に移動可能なスピンドルと、
    スピンドルに連結されたレバーアームとを有し、該レバーアームは可動フレームに連結された第1端部および微調節スピンドル駆動装置に連結された第2端部とを備え、微調節スピンドル駆動装置は可動フレームに取り付けられ、
    前記搬送機構が固定フレームに取り付けられかつ可動フレームに連結された粗調節スピンドル駆動装置を更に有し、粗調節スピンドル駆動装置は、可動フレームを、固定フレームに対してスピンドルの軸線方向に移動させることができることを特徴とする装置。
  2. 前記半導体ウェーハ搬送機構のスピンドルはウェーハ保持端部を更に有し、該ウェーハ保持端部はウェーハ保持装置に対して着脱可能であり、前記スピンドルは種々のウェーハ保持装置に使用できることを特徴とする請求項に記載の装置
  3. 前記半導体ウェーハ搬送機構のスピンドルは少なくとも1つのスプラインベアリングに、回転可能かつ軸線方向に移動可能に取り付けられ、スプラインベアリングは前記可動フレームに固定されていることを特徴とする請求項に記載の装置
  4. 前記半導体ウェーハ搬送機構の粗調節スピンドル駆動装置が、親ねじモータを更に備えていることを特徴とする請求項に記載の装置
  5. 前記半導体ウェーハ搬送機構の微調節スピンドル駆動装置が、スピンドル位置を制御可能に調節する空気シリンダを更に備えていることを特徴とする請求項に記載の装置
  6. 複数の半導体ウェーハの各々についての単一加工経路を提供する、複数の半導体ウェーハの化学的機械的平坦化を行う装置において、
    複数のウェーハ受入れ領域を備えたウェーハコンベアを有し、該ウェーハコンベアは、複数のウェーハ受入れ領域の各々の領域内の半導体ウェーハをウェーハコンベアローディング装置から受け入れかつ各半導体ウェーハを加工経路に沿って連続的に移動させるべく移動でき、
    半導体ウェーハを所定時間に亘って平坦化し、部分的に平坦化された半導体ウェーハを製造するための、前記加工経路に沿って配置された第1研摩ステーションと、
    前記加工経路に沿って配置された第2研摩ステーションとを更に有し、該第2研摩ステーションは、前記部分的に平坦化された半導体ウェーハを所定時間に亘って平坦化して、完全に平坦化された半導体ウェーハを製造するように設計されており、これにより、複数の半導体ウェーハのうちの各々の半導体ウェーハがウェーハコンベアを介して加工経路を移動しかつ第1主研摩ステーションおよび第2主研摩ステーションの両方で平坦化され、
    前記半導体ウェーハをウェーハコンベアにローディングしかつウェーハコンベアからアンローディングするためのウェーハコンベアローディング装置を更に有し、該ウェーハコンベアローディング装置が、
    ウェーハコンベアに隣接して配置されたすすぎ液収容タブを有し、該すすぎ液収容タブが開放端および閉鎖端を備え、開放端はウェーハコンベアの方向に配向され、すすぎ液収容タブはウェーハコンベアに対して移動可能であり、
    前記ウェーハコンベアローディング装置が半導体ウェーハを受け入れるサイズを有するウェーハ受入れ領域を更に有し、該ウェーハ受入れ領域はすすぎ液収容タブに対して移動可能に連結されかつすすぎ液収容タブの垂直軸線に対して同心状に配置され、
    前記ウェーハコンベアローディング装置が半導体ウェーハをすすぐための、すすぎ液収容タブ内に配置された少なくとも1つのスプレーノズルを更に有し、
    前記ウェーハコンベアローディング装置のウェーハ受入れ領域が、半導体ウェーハを支持するサイズを有する支持リングと、半導体ウェーハを支持リングに整合させるための、支持リングを包囲する整合リングとを更に有することを特徴とする装置。
  7. 複数の半導体ウェーハの各々についての単一加工経路を提供する、複数の半導体ウェーハの化学的機械的平坦化を行う装置において、
    複数のウェーハ受入れ領域を備えたウェーハコンベアを有し、該ウェーハコンベアは、複数のウェーハ受入れ領域の各々の領域内の半導体ウェーハをウェーハコンベアローディング装置から受け入れかつ各半導体ウェーハを加工経路に沿って連続的に移動させるべく移動でき、
    半導体ウェーハを所定時間に亘って平坦化し、部分的に平坦化された半導体ウェーハを製造するための、前記加工経路に沿って配置された第1研摩ステーションと、
    前記加工経路に沿って配置された第2研摩ステーションとを更に有し、該第2研摩ステーションは、前記部分的に平坦化された半導体ウェーハを所定時間に亘って平坦化して、完全に平坦化された半導体ウェーハを製造するように設計されており、これにより、複数の半導体ウェーハのうちの各々の半導体ウェーハがウェーハコンベアを介して加工経路を移動しかつ第1主研摩ステーションおよび第2主研摩ステーションの両方で平坦化され、
    前記半導体ウェーハをウェーハコンベアにローディングしかつウェーハコンベアからアンローディングするためのウェーハコンベアローディング装置を更に有し、該ウェーハコンベアローディング装置が、
    ウェーハコンベアに隣接して配置されたすすぎ液収容タブを有し、該すすぎ液収容タブが開放端および閉鎖端を備え、開放端はウェーハコンベアの方向に配向され、すすぎ液収容タブはウェーハコンベアに対して移動可能であり、
    前記ウェーハコンベアローディング装置が半導体ウェーハを受け入れるサイズを有するウェーハ受入れ領域を更に有し、該ウェーハ受入れ領域はすすぎ液収容タブに対して移動可能に連結されかつすすぎ液収容タブの垂直軸線に対して同心状に配置され、
    前記ウェーハコンベアローディング装置が半導体ウェーハをすすぐための、すすぎ液収容タブ内に配置された少なくとも1つのスプレーノズルを更に有し、
    前記ウェーハコンベアローディング装置のすすぎ液収容タブが、前記開放端の回りですすぎ液収容タブに配置されたシール部材を更に有し、これにより、該シール部材は、すすぎ液収容タブがウェーハコンベアに移動されるとウェーハコンベアに対してシールすることを特徴とする装置。
  8. 複数の半導体ウェーハの各々についての単一加工経路を提供する、複数の半導体ウェーハの化学的機械的平坦化を行う装置において、
    複数のウェーハ受入れ領域を備えたウェーハコンベアを有し、該ウェーハコンベアは、複数のウェーハ受入れ領域の各々の領域内の半導体ウェーハをウェーハコンベアローディング装置から受け入れかつ各半導体ウェーハを加工経路に沿って連続的に移動させるべく移動でき、
    前記ウェーハコンベアは、回転可能なインデックステーブルを有し、該インデックステーブルがロータリインデキサの下に位置しかつ該ロータリインデキサから懸架されており、
    前記ウェーハコンベアは、前記ロータリインデキサに連結されたモータを更に有し、該モータは、前記インデックステーブルを単一方向に所定の増分で移動させるように制御され、これにより、前記ロータリインデックステーブルはウェーハ加工中に連続した360°のパターンで増分的に回転し、
    前記装置は、
    半導体ウェーハを所定時間に亘って平坦化し、部分的に平坦化された半導体ウェーハを製造するための、前記加工経路に沿って配置された第1研摩ステーションと、
    前記加工経路に沿って配置された第2研摩ステーションとを更に有し、該第2研摩ステーションは、前記部分的に平坦化された半導体ウェーハを所定時間に亘って平坦化して、完全に平坦化された半導体ウェーハを製造するように設計されており、これにより、複数の半導体ウェーハのうちの各々の半導体ウェーハがウェーハコンベアを介して加工経路を移動しかつ第1主研摩ステーションおよび第2主研摩ステーションの両方で平坦化され、
    前記装置は、前記ウェーハコンベアの各ウェーハ受入れ領域に取り付けられたヘッド保持機構を更に有し、各ヘッド保持機構はウェーハ保持ヘッドをウェーハコンベアに解放可能に保持し、
    前記ヘッド保持機構は、内径に沿う後退可能な突出部を備えた回転可能な係合部分を有し、後退可能な突出部は、ウェーハ保持ヘッドの凹状領域と解放可能に係合するように設計されていることを特徴とする装置。
  9. 複数の半導体ウェーハの各々についての単一加工経路を提供する、複数の半導体ウェーハの化学的機械的平坦化を行う装置において、
    複数のウェーハ受入れ領域を備えたウェーハコンベアを有し、該ウェーハコンベアは、複数のウェーハ受入れ領域の各々の領域内の半導体ウェーハをウェーハコンベアローディング装置から受け入れかつ各半導体ウェーハを加工経路に沿って連続的に移動させるべく移動でき、
    前記ウェーハコンベアは、回転可能なインデックステーブルを有し、該インデックステーブルがロータリインデキサの下に位置しかつ該ロータリインデキサから懸架されており、
    前記ウェーハコンベアは、前記ロータリインデキサに連結されたモータを更に有し、該モータは、前記インデックステーブルを単一方向に所定の増分で移動させるように制御され、これにより、前記ロータリインデックステーブルはウェーハ加工中に連続した360°のパターンで増分的に回転し、
    前記装置は、
    半導体ウェーハを所定時間に亘って平坦化し、部分的に平坦化された半導体ウェーハを製造するための、前記加工経路に沿って配置された第1研摩ステーションと、
    前記加工経路に沿って配置された第2研摩ステーションとを更に有し、該第2研摩ステーションは、前記部分的に平坦化された半導体ウェーハを所定時間に亘って平坦化して、完全に平坦化された半導体ウェーハを製造するように設計されており、これにより、複数の半導体ウェーハのうちの各々の半導体ウェーハがウェーハコンベアを介して加工経路を移動しかつ第1主研摩ステーションおよび第2主研摩ステーションの両方で平坦化され、
    前記装置は、前記ウェーハコンベアの各ウェーハ受入れ領域に取り付けられたヘッド保持機構を更に有し、各ヘッド保持機構はウェーハ保持ヘッドをウェーハコンベアに解放可能に保持し、
    前記ヘッド保持機構は、ウェーハコンベアのウェーハ受入れ領域の回りに配置された環状壁を有し、該環状壁は、ウェーハ保持ヘッドの周囲に配置された複数のピンを受け入れるための複数のピン受入れ領域を備えていることを特徴とする装置。
JP32239498A 1997-11-12 1998-11-12 半導体ウェーハの研摩方法および装置 Expired - Fee Related JP4334642B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/968,333 US6336845B1 (en) 1997-11-12 1997-11-12 Method and apparatus for polishing semiconductor wafers
US08/968333 1997-11-12

Publications (2)

Publication Number Publication Date
JPH11221758A JPH11221758A (ja) 1999-08-17
JP4334642B2 true JP4334642B2 (ja) 2009-09-30

Family

ID=25514099

Family Applications (2)

Application Number Title Priority Date Filing Date
JP32239598A Pending JPH11221755A (ja) 1997-11-12 1998-11-12 半導体ウェーハの研摩方法および装置
JP32239498A Expired - Fee Related JP4334642B2 (ja) 1997-11-12 1998-11-12 半導体ウェーハの研摩方法および装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP32239598A Pending JPH11221755A (ja) 1997-11-12 1998-11-12 半導体ウェーハの研摩方法および装置

Country Status (7)

Country Link
US (3) US6336845B1 (ja)
EP (2) EP0916451B1 (ja)
JP (2) JPH11221755A (ja)
KR (2) KR100521538B1 (ja)
AT (1) ATE267070T1 (ja)
DE (2) DE69830374T2 (ja)
TW (2) TW406328B (ja)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5593852A (en) * 1993-12-02 1997-01-14 Heller; Adam Subcutaneous glucose electrode
US6336845B1 (en) * 1997-11-12 2002-01-08 Lam Research Corporation Method and apparatus for polishing semiconductor wafers
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6423642B1 (en) * 1998-03-13 2002-07-23 Semitool, Inc. Reactor for processing a semiconductor wafer
US7217325B2 (en) * 1999-01-22 2007-05-15 Semitool, Inc. System for processing a workpiece
US6431959B1 (en) 1999-12-20 2002-08-13 Lam Research Corporation System and method of defect optimization for chemical mechanical planarization of polysilicon
US6340326B1 (en) 2000-01-28 2002-01-22 Lam Research Corporation System and method for controlled polishing and planarization of semiconductor wafers
US6705930B2 (en) 2000-01-28 2004-03-16 Lam Research Corporation System and method for polishing and planarizing semiconductor wafers using reduced surface area polishing pads and variable partial pad-wafer overlapping techniques
US6368968B1 (en) * 2000-04-11 2002-04-09 Vanguard International Semiconductor Corporation Ditch type floating ring for chemical mechanical polishing
US6505636B1 (en) * 2000-06-26 2003-01-14 Lam Research Corporation Apparatus for wafer carrier in-process clean and rinse
US6659116B1 (en) 2000-06-26 2003-12-09 Lam Research Corporation System for wafer carrier in-process clean and rinse
US6486550B1 (en) 2000-06-29 2002-11-26 Lam Research Corporation Locking mechanism for detachably securing a wafer carrier to a conveyor
US6991512B2 (en) * 2001-03-30 2006-01-31 Lam Research Corporation Apparatus for edge polishing uniformity control
US6790768B2 (en) * 2001-07-11 2004-09-14 Applied Materials Inc. Methods and apparatus for polishing substrates comprising conductive and dielectric materials with reduced topographical defects
JP2003077993A (ja) * 2001-08-30 2003-03-14 Nec Yamagata Ltd ウェーハ用ホルダ、及び、ウェーハの吸着解放方法
US6586337B2 (en) 2001-11-09 2003-07-01 Speedfam-Ipec Corporation Method and apparatus for endpoint detection during chemical mechanical polishing
US6808442B1 (en) * 2001-12-20 2004-10-26 Lam Research Corporation Apparatus for removal/remaining thickness profile manipulation
US6758724B2 (en) * 2002-01-09 2004-07-06 Taiwan Semiconductor Manufacturing Co., Ltd Online tension monitor system for robot x-belt of mirra CMP
US6722946B2 (en) * 2002-01-17 2004-04-20 Nutool, Inc. Advanced chemical mechanical polishing system with smart endpoint detection
US6955914B2 (en) * 2002-04-10 2005-10-18 Geneohm Sciences, Inc. Method for making a molecularly smooth surface
US6726545B2 (en) * 2002-04-26 2004-04-27 Chartered Semiconductor Manufacturing Ltd. Linear polishing for improving substrate uniformity
US6887338B1 (en) * 2002-06-28 2005-05-03 Lam Research Corporation 300 mm platen and belt configuration
US6567725B1 (en) * 2002-07-15 2003-05-20 Speedfam-Ipec Corporation Method and apparatus for teaching robot station location
JP2004079587A (ja) * 2002-08-09 2004-03-11 Reitetsukusu:Kk ウエハ回転装置とこれを有する端部傷検査装置
US7111328B2 (en) * 2003-02-13 2006-09-26 Robison's Inc. Hybrid ventilated garment
JP2004288727A (ja) * 2003-03-19 2004-10-14 Seiko Epson Corp Cmp装置、cmp研磨方法、半導体装置及びその製造方法
US7018273B1 (en) 2003-06-27 2006-03-28 Lam Research Corporation Platen with diaphragm and method for optimizing wafer polishing
US7025660B2 (en) * 2003-08-15 2006-04-11 Lam Research Corporation Assembly and method for generating a hydrodynamic air bearing
US7418978B2 (en) * 2004-01-30 2008-09-02 Applied Materials, Inc. Methods and apparatus for providing fluid to a semiconductor device processing apparatus
US6958005B1 (en) * 2004-03-30 2005-10-25 Lam Research Corporation Polishing pad conditioning system
US6969307B2 (en) * 2004-03-30 2005-11-29 Lam Research Corporation Polishing pad conditioning and polishing liquid dispersal system
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
JP4814677B2 (ja) * 2006-03-31 2011-11-16 株式会社荏原製作所 基板保持装置および研磨装置
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
DE102008045534B4 (de) * 2008-09-03 2011-12-01 Siltronic Ag Verfahren zum Polieren einer Halbleiterscheibe
KR100969390B1 (ko) * 2008-10-30 2010-07-09 현대자동차주식회사 가공용 지그장치
DE102010025250A1 (de) * 2009-08-18 2011-02-24 Sms Logistiksysteme Gmbh Verfahren und Vorrichtung zum Handhaben von Brammen zum Schleifen der Brammen-Oberflächen
JP5746553B2 (ja) * 2011-04-28 2015-07-08 株式会社東芝 基板加工システム、および基板加工プログラム
US20140310895A1 (en) * 2013-04-19 2014-10-23 Applied Materials, Inc. Scrubber brush force control assemblies, apparatus and methods for chemical mechanical polishing
CN105397632B (zh) * 2015-12-28 2018-09-11 北京中电科电子装备有限公司 一种气浮式旋转工作台工位切换的控制方法及装置
KR102050975B1 (ko) * 2017-12-27 2020-01-08 주식회사 케이씨텍 기판 지지 유닛 및 이를 포함하는 기판 연마 장치
KR102564114B1 (ko) * 2018-05-16 2023-08-07 주식회사 케이씨텍 기판 처리 장치
KR20200130545A (ko) * 2019-05-08 2020-11-19 삼성디스플레이 주식회사 표시 장치의 제조장치 및 표시 장치의 제조방법
KR20220122363A (ko) * 2021-02-26 2022-09-02 주식회사 케이씨텍 기판 연마 시스템
CN113967874B (zh) * 2021-11-17 2022-10-14 江苏纳沛斯半导体有限公司 一种半导体晶圆制备用硅晶棒研磨加工设备
CN116344348A (zh) * 2023-05-29 2023-06-27 深圳辰达行电子有限公司 屏蔽栅沟槽sgt-mosfet半导体器件的制备方法

Family Cites Families (123)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2606405A (en) 1946-08-17 1952-08-12 Bell Telephone Labor Inc Polishing means and method
US3504457A (en) 1966-07-05 1970-04-07 Geoscience Instr Corp Polishing apparatus
US3615955A (en) 1969-02-28 1971-10-26 Ibm Method for polishing a silicon surface
US3631634A (en) 1970-01-26 1972-01-04 John L Weber Polishing machine
US3708921A (en) 1970-08-17 1973-01-09 Monsanto Co Apparatus and process for polishing semiconductor or similar materials
US3857123A (en) 1970-10-21 1974-12-31 Monsanto Co Apparatus for waxless polishing of thin wafers
US3691694A (en) 1970-11-02 1972-09-19 Ibm Wafer polishing machine
US3731435A (en) 1971-02-09 1973-05-08 Speedfam Corp Polishing machine load plate
US3753269A (en) 1971-05-21 1973-08-21 R Budman Abrasive cloth cleaner
US3747282A (en) 1971-11-29 1973-07-24 E Katzke Apparatus for polishing wafers
DE2306660A1 (de) 1973-02-10 1974-08-15 Wehner Kg Bandschleifmaschine
US3903653A (en) 1973-04-11 1975-09-09 Harold J Imhoff Lapping machine
US3888053A (en) 1973-05-29 1975-06-10 Rca Corp Method of shaping semiconductor workpiece
US3924361A (en) 1973-05-29 1975-12-09 Rca Corp Method of shaping semiconductor workpieces
US3833230A (en) 1973-09-13 1974-09-03 Corning Glass Works Vacuum chuck
US3986433A (en) 1974-10-29 1976-10-19 R. Howard Strasbaugh, Inc. Lap milling machine
DE2451549A1 (de) 1974-10-30 1976-08-12 Mueller Georg Kugellager Belade- und entladevorrichtung fuer plattenfoermige halbleitermaterialien
US4009539A (en) 1975-06-16 1977-03-01 Spitfire Tool & Machine Co., Inc. Lapping machine with vacuum workholder
US4020600A (en) 1976-08-13 1977-05-03 Spitfire Tool & Machine Co., Inc. Polishing fixture
US4098031A (en) 1977-01-26 1978-07-04 Bell Telephone Laboratories, Incorporated Method for lapping semiconductor material
US4104099A (en) 1977-01-27 1978-08-01 International Telephone And Telegraph Corporation Method and apparatus for lapping or polishing materials
US4132037A (en) 1977-02-28 1979-01-02 Siltec Corporation Apparatus for polishing semiconductor wafers
DE2712521A1 (de) 1977-03-22 1978-09-28 Wacker Chemitronic Verfahren zum aufkitten von scheiben
US4193226A (en) 1977-09-21 1980-03-18 Kayex Corporation Polishing apparatus
US4141180A (en) 1977-09-21 1979-02-27 Kayex Corporation Polishing apparatus
US4194324A (en) 1978-01-16 1980-03-25 Siltec Corporation Semiconductor wafer polishing machine and wafer carrier therefor
DE2809274A1 (de) 1978-03-03 1979-09-13 Wacker Chemitronic Verfahren zur vergleichmaessigung des polierabtrages von scheiben beim polieren
US4187645A (en) 1978-07-26 1980-02-12 Timesavers, Inc. Reactive system for accommodating belt stretch and tracking
US4239567A (en) 1978-10-16 1980-12-16 Western Electric Company, Inc. Removably holding planar articles for polishing operations
US4380412A (en) 1979-08-02 1983-04-19 R. Howard Strasbaugh, Inc. Lap shaping machine with oscillatable point cutter and selectively rotatable or oscillatable lap
US4519168A (en) 1979-09-18 1985-05-28 Speedfam Corporation Liquid waxless fixturing of microsize wafers
US4256535A (en) 1979-12-05 1981-03-17 Western Electric Company, Inc. Method of polishing a semiconductor wafer
US4337598A (en) * 1979-12-21 1982-07-06 Minnesota Mining And Manufacturing Company Endless belt with automatic steering control
US4316757A (en) 1980-03-03 1982-02-23 Monsanto Company Method and apparatus for wax mounting of thin wafers for polishing
US4318250A (en) 1980-03-31 1982-03-09 St. Florian Company, Ltd. Wafer grinder
SU975360A1 (ru) 1981-06-02 1982-11-23 Предприятие П/Я Р-6793 Устройство дл нагружени притира доводочного станка
US4450652A (en) 1981-09-04 1984-05-29 Monsanto Company Temperature control for wafer polishing
US4373991A (en) 1982-01-28 1983-02-15 Western Electric Company, Inc. Methods and apparatus for polishing a semiconductor wafer
FR2523892A1 (fr) 1982-03-26 1983-09-30 Procedes Equip Sciences Ind Perfectionnements aux machines de polissage a plateau tournant
JPS58171255A (ja) 1982-03-29 1983-10-07 Toshiba Corp 両面鏡面研摩装置
SU1057258A1 (ru) 1982-07-05 1983-11-30 Московское Ордена Ленина, Ордена Октябрьской Революции И Ордена Трудового Красного Знамени Высшее Техническое Училище Им. Н.Э.Баумана Устройство дл двусторонней доводки деталей
JPS5914469A (ja) 1982-07-08 1984-01-25 Disco Abrasive Sys Ltd ポリツシング装置
EP0100648A3 (en) 1982-07-29 1985-08-07 Yoshiaki Nagaura Holding a workpiece
US4512113A (en) 1982-09-23 1985-04-23 Budinger William D Workpiece holder for polishing operation
JPS59161262A (ja) 1983-03-04 1984-09-12 Masanori Kunieda 磁気吸引式研摩方法
JPS6080555A (ja) * 1983-10-08 1985-05-08 Shinko Kogyo Kk ベルトサンダ−のベルト走行装置
US4593495A (en) 1983-11-25 1986-06-10 Toshiba Machine Co., Ltd. Polishing machine
GB2174936B (en) 1984-04-23 1988-07-13 Timesavers Inc Sanding and polishing machine
JPS6144571A (ja) * 1984-07-31 1986-03-04 Toshio Takegawa 斜行バンド式ベルトサンダ−
JPS61152357A (ja) * 1984-12-24 1986-07-11 Hitachi Ltd 加工装置
US4680893A (en) 1985-09-23 1987-07-21 Motorola, Inc. Apparatus for polishing semiconductor wafers
JPS62162466A (ja) 1986-01-09 1987-07-18 Rohm Co Ltd ウエハ用ラツピング装置
US4627169A (en) 1986-01-27 1986-12-09 Westinghouse Electric Corp. Remote center compliance device
US4711610A (en) 1986-04-04 1987-12-08 Machine Technology, Inc. Balancing chuck
US4918870A (en) 1986-05-16 1990-04-24 Siltec Corporation Floating subcarriers for wafer polishing apparatus
US4720939A (en) 1986-05-23 1988-01-26 Simpson Products, Inc. Wide belt sander cleaning device
JPS63200965A (ja) 1987-02-12 1988-08-19 Fujitsu Ltd ウエ−ハ研磨装置
US4811522A (en) 1987-03-23 1989-03-14 Gill Jr Gerald L Counterbalanced polishing apparatus
JPS63251166A (ja) 1987-04-07 1988-10-18 Hitachi Ltd ウエハチヤツク
JPS63267155A (ja) 1987-04-24 1988-11-04 Babcock Hitachi Kk 研磨装置
DE3802561A1 (de) 1988-01-28 1989-08-10 Josef Kusser Vorrichtung zur lagerung einer schwimmenden kugel
US5095661A (en) 1988-06-20 1992-03-17 Westech Systems, Inc. Apparatus for transporting wafer to and from polishing head
US4934102A (en) 1988-10-04 1990-06-19 International Business Machines Corporation System for mechanical planarization
JPH079896B2 (ja) 1988-10-06 1995-02-01 信越半導体株式会社 研磨装置
US4910155A (en) 1988-10-28 1990-03-20 International Business Machines Corporation Wafer flood polishing
JPH0811356B2 (ja) 1989-04-06 1996-02-07 ロデール・ニッタ株式会社 ポリッシング方法およびポリッシング装置
JP2525892B2 (ja) 1989-04-06 1996-08-21 ロデール・ニッタ 株式会社 ポリッシング方法およびポリッシング装置
US5257478A (en) 1990-03-22 1993-11-02 Rodel, Inc. Apparatus for interlayer planarization of semiconductor material
WO1991019593A1 (en) 1990-06-09 1991-12-26 Bando Kiko Co., Ltd. Surface grinder for glass plate
DE4027628A1 (de) 1990-08-31 1992-03-05 Wolters Peter Fa Vorrichtung zur steuerung oder regelung von laepp-, hon- oder poliermaschinen
US5081051A (en) 1990-09-12 1992-01-14 Intel Corporation Method for conditioning the surface of a polishing pad
FR2677292B1 (fr) 1991-06-04 1995-12-08 Seva Machine de polissage a regulation pneumatique de l'effort de l'outil de la piece a polir.
FR2677276B1 (fr) 1991-06-06 1995-12-01 Commissariat Energie Atomique Machine de polissage a table porte-echantillon perfectionnee.
DE69206685T2 (de) 1991-06-06 1996-07-04 Commissariat Energie Atomique Poliermaschine mit einem gespannten Feinschleifband und einem verbesserten Werkstückträgerkopf
JPH04363022A (ja) 1991-06-06 1992-12-15 Enya Syst:Kk 貼付板洗浄装置
US5148632A (en) 1991-06-14 1992-09-22 Corning Incorporated Cavity forming in plastic body
JP3334139B2 (ja) 1991-07-01 2002-10-15 ソニー株式会社 研磨装置
US5230184A (en) 1991-07-05 1993-07-27 Motorola, Inc. Distributed polishing head
US5212910A (en) 1991-07-09 1993-05-25 Intel Corporation Composite polishing pad for semiconductor process
WO1993001896A1 (en) 1991-07-22 1993-02-04 Robert Keith Smith Belt cleaner
US5193316A (en) 1991-10-29 1993-03-16 Texas Instruments Incorporated Semiconductor wafer polishing using a hydrostatic medium
US5205082A (en) 1991-12-20 1993-04-27 Cybeq Systems, Inc. Wafer polisher head having floating retainer ring
EP0589433B1 (en) 1992-09-24 1999-07-28 Ebara Corporation Polishing apparatus
US5658183A (en) 1993-08-25 1997-08-19 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing including optical monitoring
US5885138A (en) * 1993-09-21 1999-03-23 Ebara Corporation Method and apparatus for dry-in, dry-out polishing and washing of a semiconductor device
US5456627A (en) 1993-12-20 1995-10-10 Westech Systems, Inc. Conditioner for a polishing pad and method therefor
US5547417A (en) 1994-03-21 1996-08-20 Intel Corporation Method and apparatus for conditioning a semiconductor polishing pad
US5622526A (en) 1994-03-28 1997-04-22 J. D. Phillips Corporation Apparatus for trueing CBN abrasive belts and grinding wheels
US5649854A (en) 1994-05-04 1997-07-22 Gill, Jr.; Gerald L. Polishing apparatus with indexing wafer processing stations
US5536202A (en) 1994-07-27 1996-07-16 Texas Instruments Incorporated Semiconductor substrate conditioning head having a plurality of geometries formed in a surface thereof for pad conditioning during chemical-mechanical polish
ATE186001T1 (de) * 1994-08-09 1999-11-15 Ontrak Systems Inc Linear poliergerät und wafer planarisierungsverfahren
US5593344A (en) 1994-10-11 1997-01-14 Ontrak Systems, Inc. Wafer polishing machine with fluid bearings and drive systems
US5575707A (en) 1994-10-11 1996-11-19 Ontrak Systems, Inc. Polishing pad cluster for polishing a semiconductor wafer
JPH08195363A (ja) * 1994-10-11 1996-07-30 Ontrak Syst Inc 流体軸受を有する半導体ウェーハポリシング装置
US5643044A (en) 1994-11-01 1997-07-01 Lund; Douglas E. Automatic chemical and mechanical polishing system for semiconductor wafers
DE19544328B4 (de) 1994-11-29 2014-03-20 Ebara Corp. Poliervorrichtung
US5655954A (en) 1994-11-29 1997-08-12 Toshiba Kikai Kabushiki Kaisha Polishing apparatus
US6069081A (en) 1995-04-28 2000-05-30 International Buiness Machines Corporation Two-step chemical mechanical polish surface planarization technique
US5643064A (en) 1995-09-08 1997-07-01 The Whitaker Corporation Universal polishing fixture for polishing optical fiber connectors
US5624501A (en) 1995-09-26 1997-04-29 Gill, Jr.; Gerald L. Apparatus for cleaning semiconductor wafers
US5611943A (en) 1995-09-29 1997-03-18 Intel Corporation Method and apparatus for conditioning of chemical-mechanical polishing pads
US5655951A (en) 1995-09-29 1997-08-12 Micron Technology, Inc. Method for selectively reconditioning a polishing pad used in chemical-mechanical planarization of semiconductor wafers
JPH09109022A (ja) * 1995-10-23 1997-04-28 Rap Master S F T Kk 半導体ウエハの全自動研磨装置
US5961372A (en) * 1995-12-05 1999-10-05 Applied Materials, Inc. Substrate belt polisher
DE29600447U1 (de) 1996-01-12 1996-02-29 Ernst Maschf Gmbh Paul Breitbandschleifmaschine
US5618447A (en) 1996-02-13 1997-04-08 Micron Technology, Inc. Polishing pad counter meter and method for real-time control of the polishing rate in chemical-mechanical polishing of semiconductor wafers
JP4284707B2 (ja) * 1996-04-16 2009-06-24 ラップマスターエスエフティ株式会社 4軸のスピンドル軸を備えた半導体ウエハの全自動研磨装置
JP3696690B2 (ja) 1996-04-23 2005-09-21 不二越機械工業株式会社 ウェーハの研磨装置システム
US5800248A (en) * 1996-04-26 1998-09-01 Ontrak Systems Inc. Control of chemical-mechanical polishing rate across a substrate surface
US5916012A (en) * 1996-04-26 1999-06-29 Lam Research Corporation Control of chemical-mechanical polishing rate across a substrate surface for a linear polisher
US5871390A (en) * 1997-02-06 1999-02-16 Lam Research Corporation Method and apparatus for aligning and tensioning a pad/belt used in linear planarization for chemical mechanical polishing
US6059643A (en) * 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US5735733A (en) * 1997-04-07 1998-04-07 Drum Workshop, Inc. Drum sanding apparatus
DE29709755U1 (de) 1997-05-07 1997-09-04 Wolters Peter Werkzeugmasch Vorrichtung zum chemisch-mechanischen Polieren einer Oberfläche eines Objektes, insbesondere eines Halbleiterwafers
US6062959A (en) * 1997-11-05 2000-05-16 Aplex Group Polishing system including a hydrostatic fluid bearing support
US6336845B1 (en) * 1997-11-12 2002-01-08 Lam Research Corporation Method and apparatus for polishing semiconductor wafers
US5897426A (en) * 1998-04-24 1999-04-27 Applied Materials, Inc. Chemical mechanical polishing with multiple polishing pads
US6126512A (en) * 1998-07-10 2000-10-03 Aplex Inc. Robust belt tracking and control system for hostile environment
US6143147A (en) 1998-10-30 2000-11-07 Tokyo Electron Limited Wafer holding assembly and wafer processing apparatus having said assembly
US6231428B1 (en) 1999-03-03 2001-05-15 Mitsubishi Materials Corporation Chemical mechanical polishing head assembly having floating wafer carrier and retaining ring
US6135859A (en) * 1999-04-30 2000-10-24 Applied Materials, Inc. Chemical mechanical polishing with a polishing sheet and a support sheet
US6186880B1 (en) 1999-09-29 2001-02-13 Semiconductor Equipment Technology Recyclable retaining ring assembly for a chemical mechanical polishing apparatus
WO2020235186A1 (ja) 2019-05-22 2020-11-26 村田機械株式会社 自動倉庫システム

Also Published As

Publication number Publication date
DE69830374D1 (de) 2005-07-07
EP0916451A3 (en) 2000-07-26
EP0916452A2 (en) 1999-05-19
EP0916452A3 (en) 2001-01-31
KR19990045177A (ko) 1999-06-25
KR100521538B1 (ko) 2006-03-23
US6517418B2 (en) 2003-02-11
TW406328B (en) 2000-09-21
TW407312B (en) 2000-10-01
DE69830374T2 (de) 2006-01-26
US6336845B1 (en) 2002-01-08
JPH11221758A (ja) 1999-08-17
US20010036792A1 (en) 2001-11-01
ATE267070T1 (de) 2004-06-15
US6416385B2 (en) 2002-07-09
US20010039168A1 (en) 2001-11-08
EP0916451A2 (en) 1999-05-19
EP0916451B1 (en) 2004-05-19
KR100507432B1 (ko) 2005-11-28
DE69823957T2 (de) 2005-06-23
KR19990045176A (ko) 1999-06-25
JPH11221755A (ja) 1999-08-17
EP0916452B1 (en) 2005-06-01
DE69823957D1 (de) 2004-06-24

Similar Documents

Publication Publication Date Title
JP4334642B2 (ja) 半導体ウェーハの研摩方法および装置
JP5112061B2 (ja) ウエハ処理方法およびシステム
US20200047309A1 (en) Substrate processing apparatus, substrate processing method, substrate holding mechanism, and substrate holding method
US7097544B1 (en) Chemical mechanical polishing system having multiple polishing stations and providing relative linear polishing motion
US6126517A (en) System for chemical mechanical polishing having multiple polishing stations
US5804507A (en) Radially oscillating carousel processing system for chemical mechanical polishing
US6648588B2 (en) Multiple sided robot blade for semiconductor processing equipment
US20150105005A1 (en) Chemical mechanical polisher with hub arms mounted
US10229842B2 (en) Double sided buff module for post CMP cleaning
US6817923B2 (en) Chemical mechanical processing system with mobile load cup
US6435941B1 (en) Apparatus and method for chemical mechanical planarization
US6488565B1 (en) Apparatus for chemical mechanical planarization having nested load cups

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051013

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051013

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080602

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080901

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090525

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090624

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120703

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120703

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120703

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120703

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130703

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees