JP4098502B2 - マスクの製造方法とlsiの製造方法 - Google Patents

マスクの製造方法とlsiの製造方法 Download PDF

Info

Publication number
JP4098502B2
JP4098502B2 JP2001230312A JP2001230312A JP4098502B2 JP 4098502 B2 JP4098502 B2 JP 4098502B2 JP 2001230312 A JP2001230312 A JP 2001230312A JP 2001230312 A JP2001230312 A JP 2001230312A JP 4098502 B2 JP4098502 B2 JP 4098502B2
Authority
JP
Japan
Prior art keywords
pattern
mask
dimension
global
lsi
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2001230312A
Other languages
English (en)
Other versions
JP2003043661A (ja
Inventor
隆幸 阿部
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2001230312A priority Critical patent/JP4098502B2/ja
Priority to KR10-2002-0044649A priority patent/KR100488828B1/ko
Priority to US10/207,167 priority patent/US7346882B2/en
Publication of JP2003043661A publication Critical patent/JP2003043661A/ja
Priority to KR10-2005-0016123A priority patent/KR100507253B1/ko
Application granted granted Critical
Publication of JP4098502B2 publication Critical patent/JP4098502B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Electron Beam Exposure (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、被処理基板上に所望のパターンを形成するためのパターン形成方法に係わり、特に補正したパターンを基にマスクを製造するためのマスクの製造方法、更にはパターンを補正したマスクを基にウェハ上にLSIパターンを形成するためのLSIの製造方法に関する。
【0002】
【従来の技術】
LSIの製造においては、まずマスク描画装置などを用いて、LSIパターンに相当する開口又は遮光パターンを有するマスクが作製される。次いで、光ステッパ或いはスキャナを用いて、マスク上のパターンをウェハ上のレジストに転写し、この後に現像,エッチングなどの各種工程を経て1層のパターンが作製される。このようなパターン作製工程を繰り返してLSIが製造されることになる。また、マスクの製造においても、マスク描画装置によるマスク上のレジストの露光,レジストの現像,COG(Cr On Glass)のエッチングなどの幾つかの工程を経てマスクが製造されることになる。
【0003】
現在、マスクの描画には、主として電子線露光装置が利用されるが、光が利用される場合もある。また、マスク上のパターンをウェハ上に転写する装置では、一般に光が利用されるが、電子線やX線を利用する技術も研究されている。何れにしても、僅かLSIの1層分のパターン形成でさえも、上記のような様々な工程を経ることになる。
【0004】
このような工程を経て作製されたLSIパターン、或いはマスク上のパターンで見出される問題の一つは、“局所的に見ると各パターンは、ほぼ均一に仕上がっている(局所的には、設計寸法との差がほぼ同一)が、マスク全体或いはウェハ内に形成されたチップの内部全体で見るとパターン寸法が徐々に変化する(設計寸法との差がチップ内部で緩やかに変化する)”というものである。
【0005】
この様子を、図1に模式的に示す。ウェハ上のチップ内位置が近い場合(局所的)は設計寸法との差も小さいが、チップ内位置が遠いところ(大局的)では設計寸法との差が大きくなっている。ここで、図1に示す誤差の分布は、図2に示すような、パターンに依存した寸法誤差と位置に依存した寸法誤差とを加えたものである。図2において、(a)はライン&スペースパターンであり、(b)はパターン密度による寸法変化分を示しており、(c)は二次元平面位置における寸法変化を示している。
【0006】
類似の問題として、電子線露光装置でマスク描画を行う際に生じる“かぶり”と呼ばれる現象がある。かぶりとは、電子がマスク上のレジストを露光したのち、基板で跳ね返って装置のステージ上部に戻り、そこで再度反射してレジストを露光する現象である。この現象が生じる結果は、上述のものと類似しており、数cmの程度で徐々に寸法が変化していく。従って、マスク上の大局的なパターン寸法劣化要因の一つである。
【0007】
この問題への対処策としては、場所毎に照射量を調整する技術が提案されている(かぶり補正)。この方法では、寸法変動を補正するための場所毎の照射量を予め計算機などで求めておき、それを基に照射量を変化させることで、かぶりの現象を補正(正確には抑制)する。ここで、照射量の算出は、マスクを小領域毎に区切り、その中のパターンの密度を算出してこれを利用する。しかし、次に述べるように、このかぶり補正の手法は、大局的寸法変動の問題に対する充分な解決手段とはなり得ない。
【0008】
まず、第1にこの方法は、電子線露光装置によるマスク描画での、かぶりを補正するためだけの方法なので、ウェハ上にLSIパターンを形成する場合にはそのまま適用することはできない。
【0009】
第2の問題として、精度及び計算時間上の問題がある。電子線露光装置においては、かぶりの現象の他に、近接効果と呼ばれる他の寸法劣化要因がある。これは、30μm程度の領域に影響を及ぼす比較的局所的な寸法劣化要因であるが、そこで発生する寸法誤差は、100nm程度と上記大局的寸法変動よりも遥かに大きい。この近接効果を補正する際にも、場所によって照射量を変化させる方法が利用される。
【0010】
つまり、上記かぶりの補正も近接効果補正も共に、場所毎に照射量を変化させて補正を行う方式を採用しているので、全てを正確に補正処理するには、両者を同時に考慮して最適な照射量を計算する必要がある。これを実施するには、近接効果補正の及ぶ範囲よりも遥かに小さな領域(例えば1μm×1μm)毎に最適照射量を算出する必要があり、なおかつ、かぶりの影響が及ぶ範囲(例えば、数cm角)の全てパターンの影響を考慮する必要がある。
【0011】
現在、近接効果補正だけであれば、専用の回路を利用して最適照射量の計算が行われているが、それに要する時間は1時間程度である。かぶりの影響の及ぶ距離は近接効果の影響が及ぶ距離の30倍以上であり、計算量,計算時間は面積で効くので、30×30倍で約1000倍となる。即ち、近接効果補正とかぶり補正とを同時に計算処理するには、現在近接効果補正に利用されている専用の回路が利用できたとしても、その時間は1000時間、約2ヶ月に及ぶことになる。
【0012】
そのため、従来のかぶり補正の方式としては、かぶり補正では近接効果補正の結果を無視してパターンの密度のみから照射量を算出し、近接効果補正ではかぶりの影響を無視して描画装置内部でリアルタイム処理し照射量を算出し、これらかぶり補正用の照射量と近接効果補正の照射量とを組み合わせて、最終的な照射量を算出し、これに従って補正を行っていた。このような方法を用いれば、近接効果補正とかぶり補正との相互の関係、依存性を無視することになり、それによって誤差が生じる。このため、かぶり補正には誤差が発生してしまい、大局的寸法変動に対する効果は誤差を精々1/4に抑制する程度に過ぎない。これでは、現在及び将来必要となる精度を満たさない値である。
【0013】
【発明が解決しようとする課題】
このように従来、マスクやLSIの製造においては、複数の製造装置を用いると共に複数の工程を経ることになり、これに起因して大局的寸法変動が生じるのが避けらない。そして、この大局的寸法変動を精度良く補正することは困難であった。
【0014】
本発明は、上記事情を考慮して成されたもので、その目的とするところは、マスク及びLSI製造時に総合的に発生する大局的寸法変動を精度良く補正することができ、より高精度なマスクやLSIを製造することのできるマスクの製造方法とLSIの製造方法を提供することにある。
【0015】
【課題を解決するための手段】
(構成)
上記課題を解決するために本発明は次のような構成を採用している。
【0019】
即ち本発明は、所定の設計パターンに基づいて、パターン露光に供されるマスクを製造するためのマスクの製造方法であって、パターンの局所領域の寸法変動の平均値が該局所領域よりも広い大局領域で変動するのを大局的寸法変動と定義し、マスクの製造に使用するエッチング装置によるエッチングむらを補正するために、マスク上での位置に依存してパターン寸法を変化させる第1の大局的寸法変動特性f p (x,y)と、ある場所(x,y)のパターン密度がその周辺のパターン寸法に及ぼす影響を距離についてガウシャン関数又はガウシャン関数を修正した関数で近似した、パターン密度に依存してパターン寸法を変化させる第2の大局的寸法変動特性g(x,y)と、を予め求めておき、前記エッチング装置を用いてマスクを作製する際に、前記大局領域よりも小さい小領域の内部に存在するLSIパターンの密度をρ(x,y)とし、各小領域でのパターン縮小量Δ(x,y)を
△(x,y)=−f p (x,y)−∫g( x-x ,y-y ’)ρ( x ,y ’) dx dy
として求め、前記小領域毎に前記設計パターンの寸法を補正し、この補正したパターン寸法に基づいてマスクに所望パターンを形成することを特徴とする。
【0020】
また本発明は、所定の設計パターンに基づいてマスクを作製し、このマスクを用いてウェハ上に所望のLSIパターンを形成するためのLSIの製造方法であって、パターンの局所領域の寸法変動の平均値が該局所領域よりも広い大局領域で変動するのを大局的寸法変動と定義し、LSIの製造に使用するエッチング装置によるエッチングむらを補正するために、ウェハ上のチップ或いはチップ群内での位置に依存してパターン寸法を変化させる第1の大局的寸法変動特性f p (x,y)と、ある場所(x,y)のパターン密度がその周辺のパターン寸法に及ぼす影響を距離についてガウシャン関数又はガウシャン関数を修正した関数で近似した、パターン密度に依存してパターン寸法を変化させる第2の大局的寸法変動特性g(x,y)と、を予め求めておき、前記エッチング装置を用いてLSIを製造する際に、前記大局領域よりも小さい小領域の内部に存在するLSIパターンの密度をρ(x,y)とし、各小領域でのパターン縮小量Δ(x,y)を
△(x,y)=−f p (x,y)−∫g( x-x ,y-y ’)ρ( x ,y ’) dx dy
として求め、前記小領域毎に前記設計パターンの寸法を補正し、この補正したパターン寸法に基づいてマスクに所望パターンを形成することを特徴とする。
【0025】
(作用)
本発明においては、寸法変動の目安よりも小さな領域毎に、その内部のパターンの寸法を補正することで、上記の大局的寸法変動を補正する。これによって、かぶり補正の方式で生じた近接効果補正など他の補正との相互作用を回避した高精度な補正が実現できる。また、各装置,各工程で発生する大局的誤差は相互にほぼ独立に補正可能である。さらに、実用上問題ないレベルで、各装置,各工程で発生する大局的寸法誤差は、位置のみに依存する寄与とパターンに依存する寄与とに分離することができる。そして、それぞれをほぼ独立に補正することによって高精度の補正を実現することが可能となる。
【0026】
具体的な補正方式としては、各装置,各工程で発生する大局的誤差及び全工程を経て発生する大局的誤差を、少なくとも位置のみに依存する寄与とパターンに依存する寄与とに分離し、それらを利用して局所的にパターンを変形する。これによって、大局的寸法変動を補正することが可能となる。
【0027】
【発明の実施の形態】
まず、発明の実施形態を説明する前に、本発明の基本原理について説明しておく。
【0028】
前述した大局的寸法変動が生じる原因は、幾つか考えられる。確認された原因や想定される原因を列挙すると以下のようになる。
【0029】
あ)マスク作製までの工程
1)電子線露光装置内でレチクルに電子を照射したとき、レチクルで反射した電子が露光装置チャンバの上部で反射し、再度レチクル上のレジストを露光する。
【0030】
2)エッチング時のパターン密度依存性がある。即ち、レジスト上のパターン密度に依存してエッチング溶媒に溶け出すクロムの密度が変化し、この溶媒内のクロム密度がクロムの溶解速度を変化させる。マスクをドライエッチングする際には、ローディング効果が現れて、パターン密度に依存してエッチング速度が変化し、その結果クロムの寸法が場所によって変化する。
【0031】
3)現像の際の問題がある。即ち、2)と同様の現象がレジストの現像の際にも生じる可能性がある。
【0032】
4)電子線露光装置の問題がある。即ち、電位線路光装置内でレチクルが水平に支持されず、僅かに傾くために場所によって寸法が変化する。
【0033】
5)レチクル上の寸法が正しく形成されていても、ステッパにレチクルをセットする際、それがウェハに対して完全に平行に支持されず、そのためにウェハ上で寸法が場所によって変化する。
【0034】
い)マスクを利用してウェハ上にLSIを作製するまでの工程
1)マスクを利用し、ステッパ或いはスキャナなどの転写装置でマスク上のパターンをウェハ上のレジストに転写する際、転写装置内部の組み立て誤差などが光学系の非対称性を引き起こし、場所に依存した寸法変動を引き起こす。
【0035】
2)い)−1)の工程の後、レジストの現像を行うが、あ)−3)と同様、パターン密度等に依存して寸法が変動する可能性がある。
【0036】
3)レジストをマスクに下地基板をエッチングする際、ローディング効果により寸法がパターンに依存する。また、エッチング装置の特性によって、場所に依存して寸法が変動する。
【0037】
4)ダマシンプロセス等でLSI製造する際、ケミカルメカニカルポリッシング(CMP)の工程で、パターンの密度依存性が現れる。
【0038】
以上の様々な原因が考えられるが、これらに共通の特徴は、影響の及ぶ範囲、寸法変動が生じる領域の単位がcm程度或いはそれ以上になるという点にある。逆にいえば、数10〜数100μmと小さな領域の中を局所的に見ると、その中では寸法変化は均一と見なしてよい。従って、このような領域毎に補正を行っても良いことになる。これが、本発明方式の“寸法変動距離(所定の寸法変動が生じる距離)の目安よりも小さな領域毎に補正”できることを保証する。
【0039】
さらに、この補正を行う際、場所毎に照射量を変化させるのではなく、寸法を図形毎に補正させる。一方、近接効果補正は照射量を変化させて補正する。そのため、本発明の大局的寸法変動の補正と、近接効果補正との間には、相互作用が生じず従来のかぶり補正のような、補正項目間の相互作用は、存在しない。
【0040】
次に上記の原因は、相互にほぼ独立に補正して良いことを示す。まず、各要因,事象そのものは、第1近似の範囲で相互にほぼ独立と考えて良い。但し、事象は独立としても、共に寸法変動に影響を与えるので、寸法上では相互の影響が現れる。この相互の影響を以下で見積もる。一例として、大局的寸法変動が全て、(あ)(マスク製作時或いはウェハ製作工程での)エッチング装置のムラに起因する場所依存の寸法変動と、(い)マスク描画装置起因の密度依存の寸法変動とによって生じると仮定し、さらにその変動幅を20nmと仮定する。
【0041】
(あ)と(い)のうち、一方の影響が仮りにゼロであれば、相互の影響もゼロになるので、(あ)と(い)の相互の影響が最大になるのは、(あ)の影響と(い)の影響とがほぼ同一の場合であると考えてよい。よって、(あ)による変動幅と(い)による変動幅とを共に10nmとする。±で表せば、それぞれ±5nmとなる。
【0042】
ここで、ある場所(x,y)で、(あ)のエッチングによって生じる場所による寸法変動をp(x,y)とする。但し、−5nm≦p(x,y)≦5nmである。その場所にマスク上での設計として、照射部aμm、非照射部m−aμm、ピッチmμmのライン&スペースパターンがあるとする。この場合、場所依存により、照射部の寸法はaからa+p(x,y)となり、非照射部の寸法は逆にm−a−p(x,y)となる。このため、密度ρは、a/mから{a+p(x,y)}/mへと変化する。
【0043】
この変化率は、
{a+p(x,y)}/a=1+p(x,y)/a…(1)
となり、相対誤差はp(x,y)/aとなる。
【0044】
ここで、相対誤差の最悪値を考える。aはマスク上での設計寸法程度であり、分母にあるので、相対誤差の最悪値を調べるには、この下限値を選べば良い。現在の最先端開発品のデザインルールはウェハ上で90nmとなり、マスク上ではその4倍、即ち360nmとなる。これをaの下限値として採用し、典型値とする。p(x,y)は場所に依存して変動する寸法誤差である。この上限値としては、上記±5nmを採用すれば良い。即ち、上記相対誤差の最大値としては、±5nm/360nmか得られる。これは、せいぜい±1.4%にしか過ぎない。
【0045】
密度が変化することによって生じる寸法の変動幅として上で述べた±5nmを採用する。密度の相対誤差は1.4%なので、これに起因する寸法誤差は±5nmの1.4%程度と考えれば良い。この量は±0.07nmに過ぎない。この値は、充分に小さいため無視しても構わない。
【0046】
逆にいえば、それぞれを独立に補正しても、独立に補正したことによる誤差は±0.07nmに過ぎず、無視しても良い。即ち、独立に補正しても良いことが分かる。この議論を一般化すると、2つの要因の相互の影響は、
((あ)による相対誤差)
×((い)による相対誤差)
×設計寸法程度
であることが分かる。
【0047】
上記議論は、異なる装置間での位置依存性と、パターン依存性との(寸法上の)相関として議論したが、同一装置でも議論は同じになる。即ち、ある装置での位置依存性とパターン依存性も相互に独立に補正してよい。さらに、2種の装置の間(例えばマスク描画装置とエッチング装置)の位置依存性についても、上と同様に議論すれば、相互に及ぼす影響は、
(装置1による位置依存の寸法変動の相対誤差)
×(装置2による位置依存の寸法変動の相対誤差)
×寸法
程度であることが分かる。即ち、異なる装置の間でのパターン依存性についても、同様に独立と考えてよい。
【0048】
上では、2項目のみが大局的寸法変動に寄与するとしたが、実際は他の影響も寄与して総合的に変動幅20nmとなる。この場合、個々の影響は上で述べたように10nmよりも小さくなり、そのため要因間の影響は上記考察よりもさらに小さくなる。よって、要因間の影響は無視しても構わない。逆に言えば、要因毎に独立に寸法を補正しても問題ない。また、各要因を取りまとめた“位置依存による誤差”と各要因を取りまとめた“密度依存による誤差”とを独立に寸法補正して良い。
【0049】
以上は主としてマスク上で議論したが、マスク製作に限ったものではない。LSI製作工程でも議論と結論は同じである。寸法の位置依存性については、マスク上での位置依存性からウェハ上でのチップ内部での位置依存性と読み変えれば良い。また、密度依存性については、マスク上でのパターン密度依存性から、ウェハ上でのチップ内部でのパターン密度依存性と読み返れば良い。但し、この時、ウェハ上での寸法はレチクル上での寸法の1/4となるので、上記のマスク上での誤差の上限値0.15nmは、ウェハ上では0.04nmとなる。先端開発品のウェハ上での設計寸法である90nmと比べると、その誤差は0.04%程度に過ぎない。即ち、LSI製作工程でも同じように、位置依存の誤差と場所依存の誤差とを独立に補正しても問題ないということが以上の議論から分かる。
【0050】
以上では、一次近似の範囲で各誤差要因は相互に独立事象と考え議論したが、事象間に何らかの相関がある場合も同様に処理できる。例えば、後述する実施形態で説明するように、パターン依存性の特性の中に位置依存性を加味し、これを純粋な位置依存性と組み合わせて処理することもできる。
【0051】
各小領域で、寸法の補正を行った場合、その領域境界では図3に示すように、10nm程度の図形の重なり(a)或いは隙間(b)が生じる。この影響が、寸法異常となって現れる可能性がある。寸法異常となるか否かはレジストの特性,プロセスや電子線露光装置のビーム解像度に依存する。例えば、ビーム解像度がこの10nmよりも遙かに大きく、レジストのコントラストがあまり大きくない場合、この重なりや隙間の影響は殆ど現れず、無視してよい。一方、解像度が充分小さく、レジストコントラストが高い場合などは、寸法異常が生じる。この異常が無視できない場合には、領域間で生じた隙間を埋める処理、即ち重ね除去をCAD処理にて行えば良い。このような重ね除去等の処理は、既に行われている技術であり簡易に実現可能である。
【0052】
以下、本発明の詳細を図示の実施形態によって説明する。
【0053】
(第1の実施形態)
本実施形態では、簡単のため、パターンを特徴付ける量としてパターン密度を利用するものとする。
【0054】
まず、予め寸法変動の場所依存性と密度依存性と影響範囲を計測するためのパターンを描画し、プロセス処理の後に形成された寸法を測定しておく。図4は、マスク上での寸法のパターン位置依存性を調べるためのパターンであり、測定用に1mm毎に幅2μmの十字のパターンを並べてある。
【0055】
図5は、パターン依存性を調べるためのパターンであり、測定用の十字のパターンは、図4のそれと同じ位置に並べてある。加えて中央部には、ライン&スペースが配置されている。ライン&スペースを1mm:1mmの比率(密度50%)とする。一方、図4のパターンは密度0%と考えて良いので、以下に示すように、両パターンからマスクを作製し、これをマスクを比較すれば、密度依存性の情報を取り込むことができる。
【0056】
まず、図4と図5のパターンを用いて描画,現像,エッチングを行い、その後に各十字パターンの寸法を測定する。これによって得られた結果から、先に述べた、マスク位置依存性とパターン依存性が得られる。例えば、図4のパターンから作製したマスクを測定して得られた場所毎の寸法誤差(設計値と実測値との差)をfp(x,y)とする。ここで、(x,y)はマスク上の位置を表す。このデータfp(x,y)は、上記工程で生じる“場所に依存した寸法変動”の特性である。一方、図5のパターンから作製したマスクを測定してから得られる場所毎の寸法誤差をfd(x,y)とする。この両者の差分
diff(x,y)=fd(x,y)−fp(x,y) …(2)
が、パターン密度に依存して生じる寸法誤差であり、その場所依存性を示している。このデータを基に、パターン依存による寸法変動特性を以下のようにして求める。
【0057】
簡単のため、このdiff(x,y)は、各場所での密度の畳み込みの結果と考え、畳み込みの核となる関数g(x,y)をダブルガウシャンで近似する。
【0058】
g(x,y)=θ×exp(-x2/σ2-y2/σ2) …(3)
即ち、
diff(x,y)=∫g(x-x’,y-y’)ρ(x’,y’)dx’ dy’…(4)
パターンと考える。ここで、積分領域はパターン部(マスク描画時のビーム照射部)であり、ρ(x,y)は場所(x,y)でのパターン密度である。この関数gが、上記マスク製造工程での“パターン依存による寸法変動特性”を表すことになる。
【0059】
関数gは次のようにして求めることができる。関数diff(x,y)を次の
diff2(x,y)=∫g(x-x’,y-y’)ρ(x’,y’)dx’ dy’ …(5)
のように定義し、diff(x,y) とdiff2(x.y) との差が最も小さくなるようなgのパラメータθとσとを決めればよい。
【0060】
例えば、θとσの値を変えながら、計算機で、
∫{diff(x,y)-diff2(x,y)}2 dx,dy …(6)
の値を求め、この値が最も小さくなるときのθとσを選べば良い。
【0061】
実際は、上記2次元積分を厳密に行う必要はない。マスクを大局的寸法変動の目安よりも小さな領域に区切り、その領域毎の寄与を加算すれば良い。例えば、寸法変動の目安を2cmとすれば、小領域を0.5mm×0.5mmとすれば十分である。各小領域の中心座標とパターン密度を、それぞれ、(xi,yi)及び、ρ(xi,yi)(0.0から1.0)とすれば、上記(5)式の2次元積分は次のような足し算で代用できる。
【0062】
diff2(xi,yi)=Σg(xi-xj,yi-yj)ρ(xj,yj)Ds…(7)
ここで、dSは小領域の面積、0.5mm×0.5mmである。また和は、寸法変動よりも十分大きな領域内に存在する小領域についてとれば良い(例えば、半径6cmの円内)。以下の議論で現れる2重積分も、特に断らない限り、このような小領域を単位にした加算で計算を実行するものとする。
【0063】
このようにして得られた、工程の“大局的寸法変動のパターン依存特性”を表す関数g(x,y)を用いれば、任意のパターンの場所毎の寸法誤差は、前記(5)式によって計算することができる。積分は、上記同様に、各小領域毎に密度ρを求め、gの重みを付けて和を取れば良い。
【0064】
次に以上の結果を用いて、実際にLSIパターンを補正する手順を、図6〜図9を参照して説明する。図6は小領域毎のパターン面積密度の算出、図7は場所依存による寸法変動の補正、図8はパターン依存による寸法変動の補正、図9は寸法変動の補正(位置依存部とパターン依存部の総和)を示している。
【0065】
まず、製作したいLSIパターンが配置されるマスクを考える。これを、上記変動の距離の目安(ここでは簡単のため1cmとする)より充分小さな領域に切り分ける。ここで、そのサイズは500μm×500μmとする。
【0066】
次に、それぞれの小領域内部に存在するLSIパターンの面積を算出し、それをρ(x,y)とする。各小領域でのパターンの縮小量{△(x,y)}は次のように求める。
【0067】
△(x,y)
=-fp(x,y)-∫g(x-x’,y-y’)ρ(x’,y’)dx’dy’ …(8)
上で述べたように、第1項は密度非依存で場所のみに依存する寸法変動を補正し、第2項はパターン密度依存によって変化する寸法を補正する。
【0068】
以上の計算に要する時間は、充分短く問題とならない。面積密度を各小領域毎に求めるには、現状の200MHz程度のEWS(エンジニアリングワークステーション)で数10分から数時間もあれば充分である。面積密度の計算結果を用いる上記畳込み計算はこれよりも遥かに短い。何故なら、小領域の大きさは0.5mm×0.5mmなので、マスクサイズを10cm×10cmとしても、200×200領域しか存在せず、処理量が少ないからである。そのため、この畳み込み計算は1秒以下の時間で終了する。
【0069】
このようにして得られた、領域毎の縮小率を元にパターンを変形する処理は次のように行う。
(a)まず、LSIパターンを上記小領域に対応して分解する。
(b)各小領域毎に、対応する縮小量でパターンを縮小する。
(c)次に、上記処理の終わったパターンを合成した後、
(d)パターン間のギャップを埋める。
【0070】
ここで、(a)はパターンの切り取り処理であり、容易に実現できる。(b)(c)は、通常のCAD処理で行われる処理と同じであり、例えばスキャンライン法として知られる方法を用いることができる。(d)のギャップの埋め込みも、CADシステムにて行われる処理である。
【0071】
本実施形態の場合は、生じるギャップの大きさは大局的な寸法変動の最大値の2倍程度にすぎない。即ち、せいぜい20×2nm程度である。一方、マスクデザイン上の隙間の寸法は500〜300nm程度である。即ち、上記手順によって発生する隙間は、一般のデザインでは発生しえないサイズなので、このような隙間を特定し、それを埋める処理は容易に行うことができる。
【0072】
作製したパターンデータを用いるマスク製造工程の概略を、図10に示す。試料としては、図10(a)に示すように、マスク基板11上にCOG膜12を形成し、その上にレジスト13を塗布したものを用意する。先に説明したような大局的寸法補正を行ったパターンからマスク描画装置用のデータを作成し、このデータを用いて、マスク描画装置にてレジスト13に対するパターン描画を行う(図10(b))。ここで、用いるマスク描画装置は、最初に寸法変動の場所依存性など基礎データを取ったものと同じものを用いる。或いは、同様のマシン特性を持った描画装置を用いる。なお、このとき、マスク描画装置として、電子線露光装置を利用する場合には、近接効果補正など必要な補正を加える。
【0073】
描画後、現像を行うことによりレジスト13のパターンを形成する(図10(c))。この段階で得られた、マスク上のレジスト13は、電子線露光装置固有の問題で発生する大局的寸法変動は、ほぼ補正されている。
【0074】
次に、レジスト13をマスクにCOG膜12をRIE等で選択エッチングする(図10(d))。このときのエッチング方法と装置もやはり、基礎データを取ったエッチング装置を利用する。或いは、その特性がほぼ同じ装置を利用する。その後に、レジスト13を剥離する(図10(e))。
【0075】
以上の手順で作製したマスクは、自動的に各種補正が行われたものとなり高精度のマスクを得ることができる。
【0076】
このように本実施形態によれば、マスクの製造に使用する各装置,各工程で発生する大局的寸法誤差及び全工程を経て発生する大局的寸法誤差を、位置のみに依存する寄与とパターンに依存する寄与とに分離している。そして、これらの相関関係を基に、寸法変動が許容値以内となる小さな領域単位で設計パターンの寸法を補正し、この補正したパターン寸法に基づいてマスクに所望パターンを形成することにより、マスク製造時に総合的に発生する大局的寸法変動を精度良く補正することができ、より高精度なマスクを製造することができる。
【0077】
(第2の実施形態)
図11に、本発明を適用するLSI製造工程を略記する。図中の21は下地基板としてのウェハ、22は絶縁膜、23はレジスト、24は下地パターン、25はAl膜を示している。図11に示す工程自体は埋め込み配線形成のための周知の方法であるが、本実施形態は配線パターン形成に用いるマスクの製造に特徴を有する。
【0078】
まず最初に、LSI製造工程で使用される幾つかの工程での工程特性を計測し、位置依存部分とパターン依存部に切り分ける。これには、第1の実施形態と同様に前記図4,5のパターンを用い、マスクを作製する段階から始める。描画装置としては、電子線露光装置を利用する。現時点の測定技術では、レジスト段階での寸法測定は誤差が大きいので、クロムエッチングの後に測定を行い、マスク作製工程での特性評価を行う。
【0079】
前記図4、5のパターンを描画,現像,エッチングした後、場所毎の寸法測定を行い、その結果としてR1(x,y),r1(x,y)を得る。これらを利用して、第1の実施形態と同様に、2種のデータから場所依存部とパターン依存部とを切り分け、それぞれについて関数p1(x,y)及び1点関数g1(x,y)が得られる。
【0080】
次に、上記で作製されたマスクを使用し、ステッパを用いてウェハ上にパターンを転写、現像装置による現像、ドライエッチング装置を用いてエッチングの後、絶縁膜上に形成されたパターン寸法を測る。それぞれのパターンについて、得られた結果をR2(x,y),r2(x,y)とすれば、この工程で発生した前記図2のパターンの大局的寸法変動は、位置依存部については、
△R2_1(x,y)=R2(x,y) R1(x,y) …(9)
パターン依存部については、
△r2_1(x,y)=r2(x,y) r1(x,y) …(10)
が得られる。
【0081】
これら、△R2_1と△r2_1とから、この工程での位置依存部として△R2_1、パターン依存部として△r2_1−△R2_1が得られる。第1の実施形態と同様にして、これらから、この工程でのパターン依存項の核の関数g2(x,y)を求めることができる。さらにCMPプロセスについてみ、同様のプロセス,測定,解析を行えば、CMP工程での位置依存特性と場所依存特性を得ることができる。
【0082】
以上のような処理によって工程特性が得られた後、その後の処理は、ほぼ第1の実施形態と同じとなる。まず、全工程特性の中で最も寸法変動距離の短いものを選び(例えば1cm)、小領域のサイズとしてはそれよりも小さなものを選ぶ(例えば0.5mm×0.5mm)。
【0083】
次に計算機上で、各小領域毎の補正量を以下のように計算する。まず、第1の実施形態と同様に、マスク上での各小領域の中に存在するパターンの密度ρ(x,y)を算出する。各小領域内部の寸法補正量は、位置依存部については、
−p1(x,y)−p2(x,y)×p3(x,y) …(11)
パターン依存部については、
-∫{g1(x-x’,y-y’)+g2(x-x’,y-y’)×g3(x-x’,y-y’)}×ρ(x’,y’) …(12)
として計算できる。ここで、p1,p2,p3はマスク製作工程、ステッパ転写、エッチング工程、及びCMP工程の位置依存関数である。また、g1(x-x’,y-y’)+g2(x-x’,y-y’)×g3(x-x’,y-y’)は、それぞれのパターン依存関数の核である。
このように得られた補正量に従って寸法を補正し、第1の実施形態と同様に、もし必要があれば、図形間の重ね除去或いは隙間埋め込みを行ってパターンデータが得られる。このデータを基に、上記特性評価に利用した各装置を利用して、マスク製作を行う。そして、このマスクを用いて図11に示す手順で埋め込み配線を形成プロセスを行うことにより、各工程で発生する大局的寸法の劣化は自動的に補正され、高精度なLSIパターンを得ることができる。
【0084】
各工程で使用する装置が複数種存在する場合がある。例えば、数台のCMP装置があり、1台を適宜選択して使用する場合がある。もし、CMP装置間の特性に有意の差がなければ、上記の手順をそのまま利用すれば良い。逆に、有意の差がある場合には、各CMP装置毎に、それを利用した場合のその工程(CMP工程)の特性関数を求めておき、その装置を利用する場合には、例えば上記のg3に、その装置を利用した場合の特性関数、例えばg3’を利用して上記手順を踏めばよい。
【0085】
(変形例)
第2の実施形態では、ダマシンと呼ばれるLSI製造方法への適用方法を説明したが、本発明はこれに限られたものではない。図12は、CMPを行わないダマシンとは異なる製造工程であり、図中の31は下地基板としてのウェハ、32はAl膜、33はレジスト、34はした時パターンを示している。この場合にも、第2の実施形態を一部修正して、本発明は適用可能である。
【0086】
例えば、第2の実施形態において、マクロな工程を(マスク製造工程)と(ステッパによる転写からドライエッチング)との2種と考え、ドライエッチングで直接アルミパターンを加工すると考えればよい。発明方式の適用は直線的で、各マクロの工程毎に寸法変動特性を位置依存部とパターン依存部とに分けて求め、それを基に、場所毎の寸法補正量を求め、パターンを補正、これを用いてマスクを作製してLSIを製造すれば良い。
【0087】
また、第2の実施形態及び上記の例では、1層分のパターンの加工を幾つかの工程に分けて、それぞれの工程の特性と調べ、その特性の和として寸法を補正した。しかし、全工程をスルーした特性を調べておき、これに基づいて補正を行っても良い。即ち、前記図4、5のパターンを用いてマスクを2枚作製し、CMP工程までを終えた後に、ウェハ上に出来上がったパターンを測定して、寸法の位置依存特性とパターン依存特性を一括して求め、この特性データを用いて各種パターンを補正しても良い。
【0088】
このように本発明は、LSI製造工程やマスク製造工程の具体的手順や詳細に依存することなく利用することができる。
【0089】
第1と第2の実施形態では、簡単のため、密度依存関数の核を単一のガウシャンとした。しかし、これは2つ以上のガウシャンの和としても良いし、またガウシャンでなく他の関数を用いても良いし、そのような関数の和としても良い。また、上の例ではx方向のガウシャンのσ値とy方向のそれとを同じものとし、等方的な環境で説明したが、この等方性が装置特性等に起因してくずれている場合には、x方向とy方向のσ値を変えることで、その非等方性を反映することができる。
【0090】
本発明方式は、光近接効果補正など、局所的な寸法補正と併用することができる。予め、光近接効果や照明による寸法の異方的に現れる寸法誤差を補正したパターン(A)を用いて上記の手順を取るだけで良い。レチクル製作時には、Aのパターンが忠実にマスク上に再現される。また、LSI製造工程に適用した場合には、レチクル上のパターンはAのパターンを忠実に再現せず場所によって寸法の異なるものとなるか、その変化は、その後のステッパなどの誤差を補正するものであり、最終的には目指す寸法がウェハ上で得られることになる。
【0091】
さらに、以上の実施形態では、マスク描画装置としては電子線露光装置を使用したが、光ビームを用いる描画装置を利用してもよい。マスク製作工程、LSI製作工程を経た後、LSI上(或いはマスク上)寸法の設計値からのずれに、位置依存性とパターン依存性が存在することは上述の通りであり、またそれらが相互に独立であることは、上記作用に述べた通りである。
【0092】
即ち、本発明方式は、そこで使用する装置の詳細によらず適用可能であり、使用装置の詳細は、全工程或いはその装置を使用する工程について特徴付けられた“寸法変動の位置依存性、及びパターン依存性”の情報の中に吸収される。
【0093】
また、以上の実施形態では、マスク1枚の上にLSIが1チップのみ描画されている状態を念頭に議論したが、複数のチップがマスク1枚の上に描画される場合でも、本発明は適用可能である。マスク製造の場合には、マスク上の位置を基に位置依存の寸法変動補正を行い、これをパターン依存の補正と組み合わせれば良い。LSI製造の場合には、マスク上の複数のチップをひとまとめにして、これを仮想的にチップと見なして、その内部での位置依存の寸法変動補正を行い、これをパターン依存の補正と組み合わせれば良い。
【0094】
さらに、上の実施形態では、各小領域の内部のパターンはその小領域について算出された補正値で一律にその寸法を補正したが、図13に示すように、領域内の位置によって、寸法補正量を変えても良い。これによって、nmオーダ(或いはそれ以下)ではあるが、各小領域の間で寸法が急激に変化することを抑えることができる。
【0095】
さらに、上では位置特性とパターン特性を独立として処理したが、何らかの理由で一つの装置の中で、この相関の独立性が僅かにくずれた場合にも適用可能である。このような場合には、上記位置の特性とパターン特性との相互作用を入れ込めば良い。例えば、畳み込み関数g自身に場所依存性を加え、例えばθに位置依存性を加えること等で対処可能である。
【0096】
さらに上記実施形態では、密度を寸法のパターン依存性の特徴量として利用したが、近接効果補正で用いられるように、小領域内部でのパターンの重心と面積を特徴量としても良い。また、小領域内部に存在するパターン寸法に重みを付けて処理しそれを特徴量としても良い。例えば、面積1μm2 以下の寸法は重みを0.0、1μm2 から10μm2 までは重みを0.5、それ以上は1.0の重みを付けて累積しそれを特徴量としても良い。
【0097】
なお、上記実施形態では、寸法の補正量を位置依存の補正量と密度依存の補正量との和としたが、本発明はこれに限られるものではない。例えば、より高い精度にて補正するために、或いは位置依存と密度依存の相関が無視できない場合にそれを補正するために、次のようにしても良い。
【0098】
場所(x,y)での寸法補正量をΔL(x,y)とし、位置依存の寸法誤差,密度依存のそれをそれぞれΔf(x,y),Δg(x,y)としたとき、
ΔL(x,y)=1−{1+Δf(x,y)}×{1+Δg(x,y)}
としても良い。或いは、
ΔL(x,y)=aΔf(x,y)+bΔg(x,y)+cΔf(x,y)・Δg(x,y)
として、パラメータa,b,cを使用するプロセスや装置によって最適化しても良い。
【0099】
更には、次のようにして寸法補正することによって、元のパターンの密度が変化することを補正量にフィードバックすることもできる。即ち、上述の実施形態で述べたように、0.5mm×0.5mm毎に寸法補正を行い、重ね除去や隙間の埋め込みを行った後に、再度パターン密度を求め、領域毎の寸法補正量を求める。これが全ての領域で所定の値(例えば1nm)以下であれば、十分な補正精度が得られたものとして補正を終わらせる。逆にもし、所望の値以上となる領域があったら、寸法補正,重ね除去等の処理を進め、上記の手順を繰り返す。
【0100】
要するに本発明は、その要旨を逸脱しない範囲で、種々変形して実施することができる。
【0101】
【発明の効果】
以上詳述したように本発明によれば、マスクやウェハ等にパターンを形成するために使用する装置群を用いた時の大局的寸法変動の特性を予め調べておき、これらの装置群を用いて試料上にパターンを形成する際に、所定の寸法変動が生じる距離の目安よりも小さな領域毎に、寸法変動特性を利用してその領域内部のパターンの寸法を補正し、この補正情報に基づいて所望のパターンを形成することによって、マスクやウェハの内部上で生じる大局的に生じる寸法変動を補正することが可能となり、しかも従来法に比べてその補正精度を飛躍的に向上させることができる。
【図面の簡単な説明】
【図1】局所的な寸法変動と大局的な寸法変動の例を示す図。
【図2】パターン及び位置の各々に依存した大局的寸法変動の例を示す図。
【図3】寸法補正後に発生する隙間や重なりとその除去方法を説明するための図。
【図4】大局的寸法変動の位置依存性を調べるためのパターンを示す図。
【図5】大局的寸法変動のパターン依存性を調べるためのパターンを示す図。
【図6】小領域毎のパターンの面積密度の算出方法を説明するための図。
【図7】場所依存による寸法変動の補正方法を説明するための図。
【図8】パターン依存による寸法変動の補正方法を説明するための図。
【図9】寸法変動の補正方法(位置依存部とパターン依存部の総和)を説明するための図。
【図10】第1の実施形態におけるマスク製造工程の一例を示す断面図。
【図11】第2の実施形態におけるLSI製造工程の一例を示す断面図。
【図12】本発明の変形例におけるLSI製造工程の一例を示す断面図。
【図13】小領域内の位置に依存して寸法補正量を変える例を説明するための図。

Claims (2)

  1. 所定の設計パターンに基づいて、パターン露光に供されるマスクを製造するためのマスクの製造方法であって、
    パターンの局所領域の寸法変動の平均値が該局所領域よりも広い大局領域で変動するのを大局的寸法変動と定義し、
    マスクの製造に使用するエッチング装置によるエッチングむらを補正するために、マスク上での位置に依存してパターン寸法を変化させる第1の大局的寸法変動特性f p (x,y)と、ある場所(x,y)のパターン密度がその周辺のパターン寸法に及ぼす影響を距離についてガウシャン関数で近似した、パターン密度に依存してパターン寸法を変化させる第2の大局的寸法変動特性g(x,y)と、を予め求めておき、
    前記エッチング装置を用いてマスクを作製する際に、前記大局領域よりも小さい小領域の内部に存在するLSIパターンの密度をρ(x,y)とし、各小領域でのパターン縮小量Δ(x,y)を
    △(x,y)=−f p (x,y)−∫g( x-x ,y-y ’)ρ( x ,y ’) dx dy
    として求め、前記小領域毎に前記設計パターンの寸法を補正し、この補正したパターン寸法に基づいてマスクに所望パターンを形成することを特徴とするマスクの製造方法。
  2. 所定の設計パターンに基づいてマスクを作製し、このマスクを用いてウェハ上に所望のLSIパターンを形成するためのLSIの製造方法であって、
    パターンの局所領域の寸法変動の平均値が該局所領域よりも広い大局領域で変動するのを大局的寸法変動と定義し、
    LSIの製造に使用するエッチング装置によるエッチングむらを補正するために、ウェハ上のチップ或いはチップ群内での位置に依存してパターン寸法を変化させる第1の大局的寸法変動特性f p (x,y)と、ある場所(x,y)のパターン密度がその周辺のパターン寸法に及ぼす影響を距離についてガウシャン関数で近似した、パターン密度に依存してパターン寸法を変化させる第2の大局的寸法変動特性g(x,y)と、を予め求めておき、
    前記エッチング装置を用いてLSIを製造する際に、前記大局領域よりも小さい小領域の内部に存在するLSIパターンの密度をρ(x,y)とし、各小領域でのパターン縮小量Δ(x,y)を
    △(x,y)=−f p (x,y)−∫g( x-x ,y-y ’)ρ( x ,y ’) dx dy
    として求め、前記小領域毎に前記設計パターンの寸法を補正し、この補正したパターン寸法に基づいてマスクに所望パターンを形成することを特徴とするLSIの製造方法。
JP2001230312A 2001-07-30 2001-07-30 マスクの製造方法とlsiの製造方法 Expired - Fee Related JP4098502B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2001230312A JP4098502B2 (ja) 2001-07-30 2001-07-30 マスクの製造方法とlsiの製造方法
KR10-2002-0044649A KR100488828B1 (ko) 2001-07-30 2002-07-29 마스크의 제조 방법 및 lsi의 제조 방법
US10/207,167 US7346882B2 (en) 2001-07-30 2002-07-30 Pattern forming method, mask manufacturing method, and LSI manufacturing method
KR10-2005-0016123A KR100507253B1 (ko) 2001-07-30 2005-02-25 패턴 형성 방법, 마스크의 제조 방법 및 lsi의 제조 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001230312A JP4098502B2 (ja) 2001-07-30 2001-07-30 マスクの製造方法とlsiの製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2008030869A Division JP4551937B2 (ja) 2008-02-12 2008-02-12 パターン形成方法

Publications (2)

Publication Number Publication Date
JP2003043661A JP2003043661A (ja) 2003-02-13
JP4098502B2 true JP4098502B2 (ja) 2008-06-11

Family

ID=19062542

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001230312A Expired - Fee Related JP4098502B2 (ja) 2001-07-30 2001-07-30 マスクの製造方法とlsiの製造方法

Country Status (3)

Country Link
US (1) US7346882B2 (ja)
JP (1) JP4098502B2 (ja)
KR (2) KR100488828B1 (ja)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7444616B2 (en) * 1999-05-20 2008-10-28 Micronic Laser Systems Ab Method for error reduction in lithography
US6956348B2 (en) 2004-01-28 2005-10-18 Irobot Corporation Debris sensor for cleaning apparatus
AU2003268255A1 (en) * 2002-09-04 2004-03-29 Brooks Automation, Inc. Device and process for reading out identification information on reticles
JP2004200351A (ja) * 2002-12-18 2004-07-15 Hitachi Ltd 露光装置及び露光方法
JP4543614B2 (ja) * 2003-03-18 2010-09-15 凸版印刷株式会社 フォトマスクの製造方法および半導体集積回路の製造方法
JP4617650B2 (ja) * 2003-09-26 2011-01-26 セイコーエプソン株式会社 多面取り用フォトマスク、電気光学装置の製造方法
EP1732107A4 (en) * 2004-03-30 2009-05-13 Fujitsu Microelectronics Ltd METHOD FOR CORRECTING EXPOSURE DATA TO AN ELECTRON BEAM
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
JP2006171113A (ja) * 2004-12-13 2006-06-29 Toshiba Corp マスクデータ作成装置、マスクデータ作成方法、露光マスク、半導体装置の製造方法及びマスクデータ作成プログラム
JP4834310B2 (ja) * 2005-01-31 2011-12-14 株式会社東芝 パターン形成方法、フォトマスクの製造方法、半導体装置の製造方法およびプログラム
JP4852263B2 (ja) * 2005-06-03 2012-01-11 株式会社ニューフレアテクノロジー 半導体装置の製造方法と、半導体装置のチップパタンの補正プログラム
US20070098247A1 (en) * 2005-10-27 2007-05-03 Sanderson David I Method for checking the pattern density of a semiconductor chip design with variable checking box size and variable stepping distance
JP2008071928A (ja) 2006-09-14 2008-03-27 Nuflare Technology Inc 描画パターンのリサイズ方法及び荷電粒子ビーム描画方法
JP5133087B2 (ja) * 2007-02-23 2013-01-30 株式会社ニューフレアテクノロジー 半導体装置の製造方法
US8064726B1 (en) * 2007-03-08 2011-11-22 Nvidia Corporation Apparatus and method for approximating a convolution function utilizing a sum of gaussian functions
US8538183B1 (en) 2007-03-08 2013-09-17 Nvidia Corporation System and method for approximating a diffusion profile utilizing gathered lighting information associated with an occluded portion of an object
JP5079408B2 (ja) * 2007-07-02 2012-11-21 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP5020849B2 (ja) 2008-02-13 2012-09-05 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置、パターンの寸法誤差補正装置及びパターンの寸法誤差補正方法
NL1036750A1 (nl) 2008-04-14 2009-10-15 Brion Tech Inc A Method Of Performing Mask-Writer Tuning and Optimization.
JP5386109B2 (ja) * 2008-05-16 2014-01-15 株式会社ニューフレアテクノロジー データの検証方法および荷電粒子ビーム描画装置
JP2011064978A (ja) * 2009-09-17 2011-03-31 Toshiba Corp マスクパターン判定方法、マスク製造方法およびデバイス製造方法
JP5547567B2 (ja) * 2010-06-30 2014-07-16 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置およびその制御方法
EP2659260B1 (en) 2010-12-30 2019-11-20 iRobot Corporation Debris monitoring
DE102013209093A1 (de) * 2013-05-16 2014-11-20 Carl Zeiss Smt Gmbh Verfahren zum Herstellen einer Maske für ein lithographisches Beleuchtungssystem
US20160026079A1 (en) * 2014-07-25 2016-01-28 Kabushiki Kaisha Toshiba Mask pattern correcting method
KR102195588B1 (ko) 2014-09-05 2020-12-28 삼성전자주식회사 셀 컨택의 쉬프트값 산출 방법
JP2016184605A (ja) 2015-03-25 2016-10-20 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び描画データ作成方法
JP6575455B2 (ja) * 2016-07-29 2019-09-18 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画方法及び荷電粒子ビーム描画装置

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5642234A (en) 1979-09-14 1981-04-20 Dainippon Printing Co Ltd Photomask preparation
JPS60144743A (ja) 1984-01-09 1985-07-31 Nec Corp パタ−ン描画装置
JPH03121456A (ja) 1989-10-04 1991-05-23 Nec Corp フォトマスク
JP3192157B2 (ja) 1990-09-17 2001-07-23 株式会社東芝 電子ビーム描画方法及び描画装置
JPH06138643A (ja) 1992-10-30 1994-05-20 Toshiba Corp 半導体装置用ガラスマスクおよびその製造方法
JPH0743881A (ja) 1993-07-28 1995-02-14 Seiko Epson Corp フォトマスクの構造と半導体装置の製造方法
US5553273A (en) * 1995-04-17 1996-09-03 International Business Machines Corporation Vertex minimization in a smart optical proximity correction system
US5663893A (en) 1995-05-03 1997-09-02 Microunity Systems Engineering, Inc. Method for generating proximity correction features for a lithographic mask pattern
JP3331822B2 (ja) * 1995-07-17 2002-10-07 ソニー株式会社 マスクパターン補正方法とそれを用いたマスク、露光方法および半導体装置
KR970011998A (ko) * 1995-08-01 1997-03-29 원본미기재 포토마스크묘화용 패턴데이터보정방법과 보정장치
JP3904034B2 (ja) * 1995-11-17 2007-04-11 株式会社ニコン 露光装置
JPH09199391A (ja) 1996-01-16 1997-07-31 Fujitsu Ltd 電子ビーム露光方法
JP3469422B2 (ja) 1996-02-23 2003-11-25 株式会社東芝 荷電ビーム描画方法及び描画装置
JP3512954B2 (ja) * 1996-03-06 2004-03-31 富士通株式会社 パターン近接効果補正方法、プログラム、及び装置
US5801954A (en) * 1996-04-24 1998-09-01 Micron Technology, Inc. Process for designing and checking a mask layout
JPH1126360A (ja) 1997-07-09 1999-01-29 Sony Corp マスクパターンの作成方法およびマスクパターン作成装置並びにマスク作成装置
JP2000292906A (ja) 1999-04-12 2000-10-20 Hitachi Ltd マスクおよびパタン転写方法
KR100327343B1 (ko) * 2000-01-12 2002-03-06 윤종용 전자빔 리소그래피시 재산란된 전자빔에 의한 선폭변화를보정하는 방법 및 이를 기록한 기록매체
US6703169B2 (en) * 2001-07-23 2004-03-09 Applied Materials, Inc. Method of preparing optically imaged high performance photomasks
US6560766B2 (en) * 2001-07-26 2003-05-06 Numerical Technologies, Inc. Method and apparatus for analyzing a layout using an instance-based representation

Also Published As

Publication number Publication date
KR100488828B1 (ko) 2005-05-12
US7346882B2 (en) 2008-03-18
US20030026472A1 (en) 2003-02-06
KR100507253B1 (ko) 2005-08-10
KR20030012820A (ko) 2003-02-12
KR20050033872A (ko) 2005-04-13
JP2003043661A (ja) 2003-02-13

Similar Documents

Publication Publication Date Title
JP4098502B2 (ja) マスクの製造方法とlsiの製造方法
US7673281B2 (en) Pattern evaluation method and evaluation apparatus and pattern evaluation program
US5994009A (en) Interlayer method utilizing CAD for process-induced proximity effect correction
US8166424B2 (en) Method for constructing OPC model
US7716628B2 (en) System, method and program for generating mask data, exposure mask and semiconductor device in consideration of optical proximity effects
JP5787473B2 (ja) 半導体装置を基板上に製造するための方法、荷電粒子ビームリソグラフィのための断片化またはマスクデータ準備のための方法、複数の円形パターンを表面上に形成するための方法およびシステム、ならびに荷電粒子ビームリソグラフィで用いるための断片化またはマスクデータ準備のためのシステム
US7313781B2 (en) Image data correction method, lithography simulation method, image data correction system, program, mask and method of manufacturing a semiconductor device
US8316328B2 (en) Apparatus for manufacturing a photomask
EP1246010B1 (en) Photomask manufacturing method
US7600213B2 (en) Pattern data verification method, pattern data creation method, exposure mask manufacturing method, semiconductor device manufacturing method, and computer program product
US6221539B1 (en) Mask pattern correction method and a recording medium which records a mask pattern correction program
JP4460794B2 (ja) 露光マスクのパターン補正方法、パターン形成方法およびプログラム
US7648809B2 (en) Electron beam exposure method, hot spot detecting apparatus, semiconductor device manufacturing method, and computer program product
KR100429879B1 (ko) 포토마스크 제조시 현상 단계에서 발생하는 선폭 변화를보정하여 노광하는 방법 및 이를 기록한 기록매체
CN110716386A (zh) 一种光学临近效应的修正方法、修正装置及掩模
US6783905B2 (en) Electron beam exposure method using variable backward scattering coefficient and computer-readable recording medium having thereof
JP4551937B2 (ja) パターン形成方法
JPH1069056A (ja) 露光用設計パターンの修正方法
JP3595166B2 (ja) マスクパターン設計方法
JP7445003B2 (ja) マルチステッププロセス検査方法
JP4153678B2 (ja) マスクデータ生成方法、露光マスク作成方法およびパターン形成方法
US6800864B2 (en) Method and structure for reducing effects of noise and resonance associated with an e-beam lithography tool
JP2000124103A (ja) 露光方法および露光装置
KR20110001141A (ko) 광 근접효과 보정방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050406

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050419

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050620

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20060110

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060313

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20060426

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20070209

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080212

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080313

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110321

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120321

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130321

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130321

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140321

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees