JP4044637B2 - プラズマ励起cvd膜の界面品質改良のための方法 - Google Patents

プラズマ励起cvd膜の界面品質改良のための方法 Download PDF

Info

Publication number
JP4044637B2
JP4044637B2 JP02690897A JP2690897A JP4044637B2 JP 4044637 B2 JP4044637 B2 JP 4044637B2 JP 02690897 A JP02690897 A JP 02690897A JP 2690897 A JP2690897 A JP 2690897A JP 4044637 B2 JP4044637 B2 JP 4044637B2
Authority
JP
Japan
Prior art keywords
gas
power
chamber
oxygen
containing reactant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP02690897A
Other languages
English (en)
Other versions
JPH09260371A (ja
Inventor
グプタ アナンド
ヴィー. エス. ラナ ヴィレンドラ
ヴァーマ アムリタ
ケー. バーン モリン
スブラマンヤム スドゥハカー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH09260371A publication Critical patent/JPH09260371A/ja
Application granted granted Critical
Publication of JP4044637B2 publication Critical patent/JP4044637B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Chemical Vapour Deposition (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、ウエハ処理中における薄膜の堆積に関する。より具体的に本発明は、膜をプラズマ励起化学気相堆積法により堆積した場合に膜の界面を改善するための方法及び装置に関する。
【0002】
【従来の技術】
現在の半導体デバイス製造工程における基本的なステップの1つに、気体の化学反応による半導体基板上への膜の形成が挙げられる。この堆積プロセスは、化学気相堆積、あるいは「CVD」と称される。従来からの熱CVDプロセスでは、基板表面に反応性ガスを供給し、そこで化学反応を生じさせ所望の膜を堆積する。熱CVDによっては操作する温度が高く、これがメタル層を有する基板にダメージを与え得る。他方、プラズマ励起CVD(PECVD)プロセスでは、基板表面近くの反応領域に高周波(RF)エネルギーを印加することにより反応性ガスの励起や分解を促し、反応性の高いイオン種のプラズマを生成する。放出される種の反応性が高いため、化学反応に要するエネルギーが低減され、係るCVDプロセスに要する温度を低下する。PECVDプロセスの温度は比較的低いため、堆積したメタル層の上に絶縁層を形成する場合や、その他の絶縁層の形成の場合に対して、このプロセスは理想的である。
【0003】
半導体デバイスの幾何は、最初に半導体デバイスが表れた数十年前から、急速に小さくなってきた。それ以来、集積回路は一般に、「サイズが半減するのに2年」のルール(しばしば、Mooreの法則と称される)に従ってきており、このことは、1つのチップに入るデバイスの数が、2年毎に2倍になってきたことを意味する。今日のウエハ加工のプラントは、0.5ミクロンの表面形状サイズのデバイス、更には0.35ミクロンのデバイスをルーティン的に製造し、明日のプラントでは、これよりも更に小さなサイズの幾何を有するデバイスを製造しているだろう。
【0004】
【発明が解決しようとする課題】
デバイスのサイズが小さくなり集積密度が高くなるにつれて、以前は産業上重要だとは考えられていなかった事項が問題となる。このような問題の1つとして、アウトガス(ないしガス放出)等の現象により発生し得るクラック、ボイド形成等の欠陥に対する、堆積膜の耐性が挙げられる。アウトガス分子の顕著な発生源の1つに、膜が最初に堆積した界面がある。一般に、膜の界面には膜のバルク層に比べて多くの不純物及び欠陥が存在し、このため膜のバルクに比べて更に多孔質である。このように膜界面が多孔性であるため、シリコンの格子構造の中で原子がしっかりと結合しない不安定な状態となる。このように、膜界面はアウトガス分子又は原子の発生源となり得る。この多孔質な界面は、湿気を収集する源にもなり得る。
【0005】
従来技術のデバイスでは、膜界面の不純物源の1つに、RF電力がフルパワーまで上昇したときプラズマ中で発生する不完全反応がある。例えば、シリコン酸化物層の堆積工程では、RF電力をチャンバに印加し、処理チャンバ内に放出されたプロセスガスからプラズマを形成する。既知の従来技術では、チャンバ内にプロセスガスを導入してからRF電力を印加する。一般に、RF電力をオフの状態からフルパワーまで上げるためには数秒かかり、このように電力が部分的である期間中に生じる反応は不完全になり易く、このため、フルパワーの条件下で堆積する膜に比べれば、不純物のレベルが比較的高い膜が堆積する。
【0006】
上記から、新生の技術のペースを守るためにも、界面の膜品質を改善した膜が必要であることが理解されよう。また、シリコン酸化物及びその他の膜を安定化させ、膜の湿気の吸収を防止しアウトガス発生を防止する方法が必要であることが理解されよう。
【0007】
【課題を解決するための手段】
本発明は、向上した膜品質を界面に有する絶縁層を与えることにより、従来技術の上述の問題を解決する。また、本発明は、このような絶縁層を形成するための方法及び装置を提供する。
【0008】
特に、本発明では、プラズマがフルパワーに致った後まで反応性ガスの導入を遅らせることにより、上述のような不完全な反応を低減する方法を提供する。具体的には、本発明の方法の具体例の1つでは、処理チャンバに導入した不活性ガスからプラズマを形成する。プラズマ形成に用いるRF電力は、チャンバ内に不活性ガスを伴って、オフの状態からフルパワーの状態にされる。RF電力がフルパワーの状態に達してから初めて、反応性ガスが導入される。
【0009】
本発明の好ましい具体例では、RF電力がフルパワーになるまでは、それ自身では堆積の源として不十分である第1の反応性ガスが不活性ガスを伴って導入される。フルパワーに達した後、残りのその他の堆積ガスを導入して、プラズマ堆積を行わせる。
【0010】
本発明の別の具体例では、RF電力がフルパワーになるまでのチャンバに導入するガスの全流量は、バルク膜層を堆積するためにチャンバに導入するガスの全流量と実質的に等しい。
【0011】
本発明の具体例を利点及び特徴と共に、以下の説明及び添付の図面により詳細に説明することにする。
【0012】
【発明の実施の形態】
(1.代表的なCVDリアクタチャンバ)
図1は、本発明に従った誘電層をを堆積できる真空チャンバ15を有する平行平板プラズマ励起化学気相堆積(PECVD)システム10を例示する。リアクタ10は、堆積のためのガスを、サセプタ12に置かれるウエハ(図示せず)に散布するためのガス散布マニホールド11を有している。サセプタ12は、熱応答性が非常に高い。また、サセプタ12は支持フィンガ13上に載置され、サセプタ12(及びサセプタ12の上面で支持されるウエハ)が、下方の搬入出のポジションと、マニホールド11に近接した上方の処理のポジション14の間で、制御により移動できるようになっている。
【0013】
サセプタ12とウエハが処理のポジション14にあるときは、これらは、間隔をおいて配置される複数の穴ないしポート23を有するバッフル板によって包囲される。この穴23から、環状の真空マニホールド24の中へと排気される。堆積ガスとキャリアガスが、ガスライン18を通じてミキシングチャンバ19内に供給され、そこで、これらは混合されマニホールド11へと送られる。処理中に、マニホールド11に供給されたガスは、矢印21に示されるように、ウエハ表面全体に放射状に、均一に散布される。そして、ガスは真空ポンプシステム(図示せず)により、ポート23を介して円形の真空マニホールド24内へと排気され、更に、排気ライン31へと出て行く。排気ライン31を通じてガスが放出される速度は、スロットルバルブ32によって制御される。
【0014】
RF電源25からマニホールド11に印加されるRFエネルギーにより、プラズマがウエハに近隣に形成され制御される。ここでガス散布マニホールドはRF電極であり、サセプタ12は接地されている。RF電源は、チャンバ15内に導入される反応性の化学種の分解を促進するために、単一の周波数のRF電力又は混合周波数のRF電力(又はその他の変形)をマニホールド11に供給することができる。
【0015】
円形の外部ランプモジュール26により、クオーツウィンドウ28を介しサセプタ12の環状外縁部分の上に、光を、コリメートされた環状のパターン27で与える。この熱分布により、サセプタの自然の熱損失のパターンを補償し、且つ、堆積のを行うためにサセプタ及びウエハを迅速且つ均一に加熱する。
【0016】
モータ(図示せず)により、サセプタ12が処理のポジション14と下側のウエハ搬入のポジションとの間で昇降する。モータと、ガスライン18に接続するガス供給バルブと、スロットルバルブと、RF電源25とが、制御ライン36を介してプロセッサ34によって制御される。制御ライン36は、一部のみ図示される。プロセッサ34は、メモリ38に格納されたコンピュータプログラムによる制御を受けて動作する。ここでのコンピュータプログラムは、特定のプロセスに対してタイミング、ガスの混合、チャンバ圧力、チャンバ温度、RF電力レベル、サセプタのポジションその他のパラメータの命令を行う。
【0017】
典型的には、チャンバライニング、ガス流入マニホールドフェース板、支持フィンガ13その他のリアクタのハードウェアの一部又は全部が、アルミニウムや陽極酸化アルミニウム(アノーダイズドアルミニウム)等の材料でできている。このようなPECVDの装置の例が、標題 "Thermal CVD/PECVD Reactor and Use for Thermal Chemical Vapor Deposition of Silicon Dioxide and In-situ Multistep Planarized Process" (二酸化珪素の熱CVD及びインシチュウマルチステップ平坦化プロセスのための熱CVD/PECVDリアクタ及びその使用法)である米国特許第5,000,113号に記載されている。
【0018】
上述のリアクタの説明は主に例示のためのものであり、本発明には、電子サイクロトロン共鳴(ECR:electron cyclotron reasonance )プラズマCVD装置や、誘導結合RF高密度プラズマCVD装置等、その他のCVD装置を用いてもよい。上述のシステムの変形、例えばサセプタデザイン、ヒーターデザイン、RF電力接続部の配置その他に関する変形も可能である。例えば、抵抗加熱サセプタによりウエハを支持し加熱してもよい。本発明の誘電層やこの誘電層を形成する方法は、特定の装置や特定のプラズマ励起法に限定されるものではない。
【0019】
(2.プラズマ励起CVD法により堆積した膜の膜品質の向上)
本発明を用いて、プラズマ励起CVD法により堆積した膜の界面における膜品質を向上することができる。具体的には、プラズマが選ばれたフルパワーレベルに移行した後反応性ガスを導入する新規な手法により、膜界面での品質の向上が実現される。完全なプラズマに達するまで反応性ガスの導入を遅らせることにより、不完全反応が生じる量が減り、膜界面を向上させる。
【0020】
本発明の方法の1つの具体例では、 SiF4-FSG 膜の界面の膜質が向上する。この具体例に従い、真空ロックドアを介してウエハを真空チャンバ15へ搬入し、サセプタ12上に置く(図2、ステップ200)。次いで、サセプタを処理のポジション14へと移動させる(図205)処理のポジション14では、ウエハはガス散布マニホールド11から約200〜600mil(1mil=約25.4μm)に配置される。
【0021】
ウエハを適正に配置した後、ウエハとサセプタを200〜500℃の温度まで加熱し(ステップ210)、不活性ガスをガス散布マニホールドからリアクタチャンバに導入する(ステップ215)。ステップ215で導入するガスは、非反応性のガスであればよいが、好ましい具体例ではヘリウムを導入している。原子量に鑑みれば、ヘリウムは、これよりも重いアルゴン等の不活性ガスに比べて、ウエハへのスパッタ効果が小さくなるだろう。不活性ガスを導入した後は、スロットルバルブ32を真空ポンプシステムと協働し且つ不活性ガスを導入して、チャンバに選択した圧力(約1〜1000トール)を設定しこれを維持する(ステップ220)。
【0022】
そして、単一周波数又は混合周波数のRF電源を用いて、RF電力を供給しプラズマを発生させる(ステップ225)。一般に、RF電力がオフの状態からフルパワー(ステップ230でRF電源を駆動する電力レベル)に致るまで数秒以上かかる。無論、フルパワーに致るまでの実際の時間は、RF上昇速度及び採用するフルパワーレベルに依拠する。RF電力は50ワット/秒〜900ワット/秒の速度で上昇してもよい。RF電力を増加させる速度は、上昇の期間中一定であってもよく、あるいは、この期間中変化させてもよい。好ましくは、RF電力上昇速度は50ワット/秒〜600ワット/秒であり、最も好ましくは約200ワット/秒以下又は未満である。RF電力の上昇が低い速度で行われた場合は、粒子の発生が少なく、その結果、強い界面になる。混合周波数の電源を用いた場合は、電源は13.56MHzの高い周波数では0〜1200ワット、約350kHzの低い周波数では約0〜500ワットで駆動される。
【0023】
プラズマが完全な強度まで達した後、反応性ガスを含有するプロセスガスをチャンバに導入し且つ不活性ガスの流入を停止して(ステップ230)、FSG膜を堆積させる。この具体例でのプロセスガスは、弗素ソースとしてSiF4 と、珪素ソースとしてTEOS(テトラエトキシシラン)と、1つ以上の酸素ソースとを備える混合ガスを有している。TEOSは室温では液状であり、液体インジェクションバルブにより気化された後、プロセスガスと混合する前にヘリウム等の不活性ガスと混合される。気化したTEOSと混合する不活性ガスは、ステップ215で導入するガスと同じラインによる同じガスであってもよく、あるいは別のガスラインからであってもよい。
【0024】
プロセスガス中に種々の前駆体ガスを導入する流量は、所望の膜の性質、チャンバ容積その他の因子に依拠する。200mmウエハに適する上述の代表的なCVDシステムを用いる具体例の1つでは、インジェクションバルブへのTEOSの流入量は約400〜1500mgmである。SiF4 が100〜2500sccmの流量で導入され、酸素はO2 の形態又は同様のソースにより、流量約300〜3000sccmで導入される。ガス混合チャンバ内のガス及びガス散布マニホールドを通るガスの全流量は、約1000〜5000sccmである。
【0025】
上記の具体例の好ましい態様では、ステップ215においてO2 の形態での酸素が、50〜700sccm(あるいは酸素:ヘリウムの比が0.015〜1:1)等の所定の量で、不活性ガスに同伴してチャンバに導入される。次いで、ステップ230では、特定のプロセスに対して適切なレベルまで酸素の量を増加させる。ステップ215からステップ230まで少なくとも1つのガスの流入を継続させることにより、不活性ガスが停止したときでもプラズマは消失しないことが確保され、且つ、更に安定なプラズマを生成する。無論、採用するプロセスによって、これら2つのステップに共通のガスは、O2 以外の酸素ソース、例えばN2O 、オゾン又は同様のガスの如きであってもよく、あるいは、全く別のガスを用いてもよい。しかし、ステップ215で導入するガスが基板上の堆積材料と反応しないことが重要である。従って、例えば、TEOSは、珪素と酸素の両方を含んでおりこれらは共にプラズマ環境下で反応を生じ得るため、ステップ215では不活性ガスと共に導入すべきでない。
【0026】
別の具体例では、ステップ215での不活性ガスの導入流量又は不活性ガスと酸素その他のガスの導入混合流量は、ステップ230でのプロセスガスの導入流量と実質的に等しい。例えば、特定のプロセスでSiF4 を流量800sccmでチャンバに導入する場合は、導入しようとするTEOS流量を600mgmとし、導入しようとする酸素の流量を700sccmとし、次いで、ステップ220で不活性ガスの導入流量(あるいは不活性ガスと酸素その他のガスの導入混合流量)を約2100sccmとすべきである。ステップ220とステップ230の間でこのような均一なガスの流れを維持することにより、堆積が更に均一になる。
【0027】
また別の具体例では、弗素その他をドープしたシリコン酸化物膜を堆積するが、ここではステップ230を別々のステップに分割し、それらのステップでは、珪素源の後、弗素その他のドーパント源を含有する前駆体ガスを導入する。即ち、上記の Si 4-FSG の例では、SiF4 を導入する約1〜10秒前、ステップ230でTEOSをチャンバに導入する。このようなシーケンスにより、界面にシリコン酸化物の非常に薄い層を堆積し、この界面で弗素の結合が緩まないことを確保する。
【0028】
無論、本発明の方法は、上記の具体例に限定されるものではない。例えば、上記の具体例ではSiF4 を弗素源として用いているが、C26やTEFS等の他の弗素源を用いてもよい。また、O2 以外の酸素源及びTEOS以外の珪素源を用いてもよい。別の具体例では、SiH4 やその他の珪素源を用いることができ、また、N2O 、O3 又は同様の酸素源を用いてもよい。
【0029】
更に、上記で弗素ドープシリコン酸化物膜に関して本発明の方法を例示してきたが、本発明は、プラズマ環境下で形成したその他のシリコン酸化物膜、例えばノンドープシリケートガラス(USG)、リンシリケートガラス(PSG)やホウ素リンシリケートガラス(BPSG)その他のシリコン酸化物膜の膜質を向上させるために用いてもよい。これらの具体例では例えば、弗素源ではなくリン源を用いてPSG膜を堆積し、あるいは、プロセスガスに珪素と酸素を含有させるが弗素を含有させないでUSGを堆積してもよい。また、本発明はシリコンナイトライドや、シリコンオキシナイトライドその他の、プラズマ励起堆積層のプラズマ堆積に用いることもできる。
【0030】
従って、上記のプロセスで挙げたパラメータは、ここに記載した特許請求の範囲を制限するべきではないことが理解されよう。当業者には、その他の化学品、処理パラメータ、処理条件を用いて本発明を行うことが可能である。
【0031】
(3.代表的な構造体)
図3は、本発明に従った集積回路300の簡略化いた断面を例示する。図示の如く、集積回路300は、NMOSトランジスタ303とPMOSトランジスタ306を有しており、これらは別々に離れておりフィールド酸化物領域320により相互に電気的に絶縁されている。トランジスタ303及び306のそれぞれは、ソース領域312と、ドレイン領域315と、ゲート領域318とを有している。
【0032】
プレメタル誘電層321により、トランジスタ303及び306はメタル層M1から隔てられつつも、メタル層M1とこれらトランジスタの間の接続はコンタクト324によりなされている。メタル層M1は、集積回路300内に含まれる4つのメタル層M1〜M4の中の1つである。メタル層M1〜M4のそれぞれは隣接し合うメタル層とは、それぞれのメタル間誘電層327(IMD1、IMD2及びIMD3)によって隔てられている。隣接し合うメタル層は、バイア326により、選択された開口のところで接続されている。メタル層M4の上には、平坦化されたパッシベーション層330が堆積している。
【0033】
本発明の層は、集積回路300に示される誘電層のそれぞれに用いられることが見出されてもよい。本発明の層はまた、集積回路によっては含まれることのあるダマシーン層(damascene layer) に用いられてもよい。ダマシーン層では、ブランケットを基板上に堆積し、これを基板に到達するまでエッチングし、次いで、メタルを充填し、そして、これをエッチバック又は研磨して、M1のようなメタルコンタクトを形成する。メタル層を堆積した後、第2のブランケットを堆積してこれを選択的にエッチングする。エッチングした領域をその後メタルで充填し、エッチバック又は研磨してバイア326を形成する。
【0034】
この単純化した集積回路300は例示の目的のみのものであることが理解されよう。当業者には、マイクロプロセッサや特定用途集積回路(ASICS)、メモリーデバイス等の他の集積回路の製造のために本発明を実施することができるだろう。
【0035】
【実施例】
(4.試験結果及び測定)
本発明の有効性を立証するため、本発明の方法の利益を有しない場合の弗素ドープシリコン酸化物膜の堆積と、本発明の方法に従った場合の弗素ドープシリコン酸化物膜の堆積とを行った。これら実験は、アプライドマテリアルズ社の200mmチャンバ用の、ランプ加熱式のDCVDプレシジョン5000処理チャンバにおいて行った。それぞれの実験では、低抵抗シリコンウエハの上に、フルオロシリケートガラス(FSG)層を堆積した。
【0036】
第1の実験では、本発明の方法の利益を有しないでSiF4-FSG膜を堆積した。具体的には、ウエハを処理チャンバに搬入しガス散布マニホールドから250milの処理のポジションまで移動させた。ウエハを適正に配置した後、400℃まで加熱した。そして、SiF4 、TEOS及びO2 を含むプロセスガスをチャンバに導入した。TEOSの導入流量は915mgm、酸素(O2 )の導入流量は700sccm、SiF4 の導入流量は725sccmであった。チャンバ内の圧力を5トールに設定しこれを維持し、110ワット、13.56MHzの高周波数と340ワット350kHzの低周波数を用いてプラズマを形成した。FSG層が2000オングストローム堆積するまでプラズマを維持した。
【0037】
上記のプロセス条件により得られたFSG膜は、フーリエ変換赤外(FTIR)分光分析によるSiF結合と(SiF+SiO)結合のピーク比の測定で、SiFを2.5%有していた。堆積膜の安定性を評価するため、熱吸着データ(TDS)測定を従来技術で知られると同様に行った。図4は、上述のように堆積したSiF4-FSG膜から放出されるH2O とH2 を示すグラフである。このグラフでは、約380℃で膜の中からH2 がアウトガスされることが示される。このH2 の源は膜界面であると考えられる。
【0038】
第2の実験では、本発明に従ってSiF4-FSG膜を堆積した。この堆積プロセスでは、ウエハを処理チャンバに搬入しガス散布マニホールドから250milの処理のポジションまで移動させた。ウエハを適正に配置した後、400℃まで加熱した。そして、ヘリウムを含む不活性ガスと酸素をチャンバに導入した。ガスの導入流量は1400sccm、そのうち流量700sccmはO2 、流量700sccmはヘリウムであった。
【0039】
チャンバ内の圧力を5トールに設定しこれを維持し、110ワット、13.56MHzの高周波数と340ワット350kHzの低周波数を用いてプラズマを形成した。プラズマの形成にあたり、RF電力を、100ワット/秒の速度で印加した。RF電力がフルパワーに達した(約3.5秒)後、酸素の流量を700sccmに上げ、TEOSを流量915mgmで導入し、SiF4 を流量725sccmで導入し、ヘリウムを停止した。FSG層が2458オングストローム堆積するまでプラズマを維持した。
【0040】
上記のプロセスにより、SiFを2.5%有するFSG膜が堆積した。上述のように堆積したSiF4-FSG膜から放出されるH2O とH2 を示す熱吸着グラフである図5に示されるように、この膜からアウトガスにより放出されるH2 は、第1の実験の膜に比べて少なかった。
【0041】
他の一組の実験では、本発明の利益を有する場合と有しない場合とで、ガスクロマトグラフィー質量分析(GCMS)測定を行った。これらの実験の結果は図6(a)と図6(b)に示され、これらの図は、SiF3 イオンのGCMSにより測定したSiF4 のアウトガスを例示する。
【0042】
図6(a)の試験結果では、低抵抗シリコンウエハの上に、SiF4-FSG膜を堆積した。ウエハを処理チャンバに搬入しガス散布マニホールドから250milの処理のポジションに配置し、400℃まで加熱した。SiF4 、TEOS及びO2 を有するプロセスガスをチャンバに導入した。TEOSの導入流量は915mgm、酸素(O2 )の導入流量は700sccm、SiF4 の導入流量は1700sccmであった。チャンバ内の圧力を5トールに設定しこれを維持し、110ワット、13.56MHzの高周波数と340ワット350kHzの低周波数を用いてプラズマを形成し、5000オングストロームのFSG層を堆積した。
【0043】
図6(b)の試験結果では、プラズマを最初はヘリウムと酸素から形成してRFフルパワーに移行させる点を除いて、同じプロセスを用いた。RFフルパワーに致った(約3.5秒)後、TEOSとSiF4 をプロセスガスに導入し、プラズマを維持して、5000オングストロームのFSG層を堆積した。
【0044】
上記のプロセスにより、SiFを4.5%有するFSG膜が堆積した。この一組の実験では、膜を堆積したウエハは摂氏400度に30分間加熱された。この30分の間にGCMS測定を行い、膜からアウトガスにより放出されるSiF4 の量を測定した。このアウトガスによる放出分子の量は任意の単位で測定されているが、この測定結果は、図6(b)の膜中のアウトガスSiF4 分子の量と比較した図6(a)の膜中のアウトガスSiF4 分子の量を例示している。このように、図6(a)と図6(b)に示されるように、従来技術の方法に従って堆積した膜からは、本発明の方法に従って堆積した膜からよりも多くの量のアウトガスが検出された。試験結果を図6(b)で示した、ウエハ上に堆積した膜が良好な品質を有していたのは、膜の界面がより強かったからであると考えられる。
【0045】
本発明の具体例をいくつか詳細に説明してきたが、本発明に従って低誘電定数の酸化物層を堆積する別の等価又は代替的な方法が当業者には可能であろう。例えば、ここい例示した具体例は、TEOSを珪素源としO2 を酸素源として用いるプロセスに関するものであるが、シラン等の別の珪素源や、N2O 、CO等の別の酸素源を用いることも可能である。このような変形物や同等物は本発明の範囲に含まれると考えられる。
【0046】
【発明の効果】
以上詳細に説明したように、本発明によれば、シリコン酸化物及びその他の膜を安定化させアウトガス発生を防止する方法及び装置が提供される。
【図面の簡単な説明】
【図1】本発明に従った化学気相堆積装置の単純化した具体例の断面図である。
【図2】本発明の方法の1つの具体例を例示するフローチャートである。
【図3】本発明の方法に従って製造した半導体デバイスの断面図である。
【図4】本発明の方法に従って堆積しなかった2.5% SiF4-FSG 膜のH2O 及びHe放出を示すグラフである。
【図5】本発明の方法に従って堆積した2.5% SiF4-FSG 膜のH2O 及びHe放出を示すグラフである。
【図6】(a)及び(b)はそれぞれ、本発明の利益を有して堆積した場合と有しないで堆積した場合での、膜のSiF4 放出を示すグラフである。
【符号の説明】
10…化学気相堆積システム、11…ガス散布マニホールド、12…サセプタ、13…支持フィンガ、14…処理のポジション、15…真空チャンバ、21…矢印、23…ポート、24…真空マニホールド、25…RF電源、26…ランプモジュール、31…排気ライン、32…スロットルバルブ、34…プロセッサ、36…制御ライン、38…メモリ、
300…集積回路、303…NMOSトランジスタ、306…PMOSトランジスタ、312…ソース領域、315…ドレイン領域、318…ゲート領域、320…フィールド酸化物領域、324…コンタクト、326…ビア、330…パッシベーション層。

Claims (10)

  1. 処理チャンバ内に配置した基板の上に堆積した層の界面における膜品質を向上させる方法であって、
    (a)不活性ガスと酸素含有反応物ガスを備えた第1のガスを前記処理チャンバに導入するステップと、
    (b)RF電力を印加し、フルパワーまで前記RF電力を上昇させることにより前記第1のガスからプラズマを発生させるステップと、
    (c)前記印加RF電力が実質的にフルパワーまで致った後、前記酸素含有反応物ガスと珪素含有反応物ガスを備えたプロセスガスを前記プロセスチャンバに導入して、前記層を堆積するステップと、を有する方法。
  2. 更に、前記印加RF電力がフルパワーに実質的に致った後、前記チャンバに前記第1のガスを導入する速度を低下させるステップを有する請求項1に記載の方法。
  3. 前記酸素含有反応物ガスが酸素を備え、前記珪素含有反応物ガスがテトラエトキシシラン(TEOS)を備える請求項1に記載の方法。
  4. 前記プロセスガスが更に、弗素含有反応物ガスを備える請求項1に記載の方法。
  5. 前記珪素含有反応物ガスの1〜10秒後、前記弗素含有反応物ガスが前記チャンバに導入される請求項4に記載の方法。
  6. 前記酸素含有反応物ガスが酸素を備え、前記珪素含有反応物ガスがTEOSを備え、前記弗素含有反応物ガスがSiF4を備える請求項4に記載の方法。
  7. 前記不活性ガスと、前記第1のガス中の前記珪素含有反応物ガスとの比が、0.015〜1:1である請求項6に記載の方法。
  8. 前記ステップ(a)で導入する前記酸素含有反応物ガスが、前記ステップ(c)で増加する請求項1に記載の方法。
  9. 前記プロセスガスが、前記第1のガスを導入する流量と実質的に同じ流量で、前記チャンバに導入される請求項1に記載の方法。
  10. 処理チャンバ内に配置した基板の上に堆積した層の界面における膜品質を向上させる方法であって、
    (a)不活性ガス及び酸素含有ガスを備えた第1のガスを前記処理チャンバに導入するステップと、
    (b)RF電力を印加し、200ワット/秒以下の上昇速度以下でフルパワーまで前記RF電力を上昇させることにより前記第1のガスからプラズマを発生させるステップと、
    (c)前記印加RF電力が実質的にフルパワーまで致った後、反応物ガスを備えたプロセスガスを前記プロセスチャンバに導入して、前記層を堆積するステップと、
    を有する方法。
JP02690897A 1996-02-09 1997-02-10 プラズマ励起cvd膜の界面品質改良のための方法 Expired - Fee Related JP4044637B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/599,270 US6121163A (en) 1996-02-09 1996-02-09 Method and apparatus for improving the film quality of plasma enhanced CVD films at the interface
US08/599270 1996-02-09

Publications (2)

Publication Number Publication Date
JPH09260371A JPH09260371A (ja) 1997-10-03
JP4044637B2 true JP4044637B2 (ja) 2008-02-06

Family

ID=24398954

Family Applications (1)

Application Number Title Priority Date Filing Date
JP02690897A Expired - Fee Related JP4044637B2 (ja) 1996-02-09 1997-02-10 プラズマ励起cvd膜の界面品質改良のための方法

Country Status (2)

Country Link
US (3) US6121163A (ja)
JP (1) JP4044637B2 (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5824375A (en) * 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
KR100560049B1 (ko) * 1997-05-10 2006-05-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 성막방법
US6727190B2 (en) * 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
US6208030B1 (en) * 1998-10-27 2001-03-27 Advanced Micro Devices, Inc. Semiconductor device having a low dielectric constant material
US6583057B1 (en) * 1998-12-14 2003-06-24 Motorola, Inc. Method of forming a semiconductor device having a layer deposited by varying flow of reactants
US6936310B1 (en) * 1999-04-02 2005-08-30 Sharp Kabushiki Kaisha Plasma processing method
JP2001284347A (ja) * 2000-03-31 2001-10-12 Canon Sales Co Inc 成膜方法及び半導体装置の製造方法
JP4133333B2 (ja) * 2001-02-15 2008-08-13 東京エレクトロン株式会社 被処理体の処理方法及びその処理装置
US6511922B2 (en) 2001-03-26 2003-01-28 Applied Materials, Inc. Methods and apparatus for producing stable low k FSG film for HDP-CVD
US6815007B1 (en) * 2002-03-04 2004-11-09 Taiwan Semiconductor Manufacturing Company Method to solve IMD-FSG particle and increase Cp yield by using a new tougher UFUN season film
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
FR2842388B1 (fr) * 2002-07-11 2004-09-24 Cit Alcatel Procede et dispositif pour la gravure de substrat par plasma inductif a tres forte puissance
US6953608B2 (en) * 2003-04-23 2005-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Solution for FSG induced metal corrosion & metal peeling defects with extra bias liner and smooth RF bias ramp up
JP2015201646A (ja) * 2014-04-07 2015-11-12 ラム リサーチ コーポレーションLam Research Corporation 構成独立型のガス供給システム
TWI670768B (zh) * 2014-10-30 2019-09-01 日商日本瑞翁股份有限公司 電漿蝕刻方法
US10971357B2 (en) * 2018-10-04 2021-04-06 Applied Materials, Inc. Thin film treatment process

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6042831A (ja) 1983-08-19 1985-03-07 Toshiba Corp 半導体製造装置
JPS61196538A (ja) * 1985-02-27 1986-08-30 Hitachi Ltd 真空処理方法及び装置
JPH0783011B2 (ja) 1986-06-20 1995-09-06 株式会社日立製作所 減圧処理方法及び装置
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPS63183181A (ja) * 1987-01-23 1988-07-28 Anelva Corp マグネトロンスパツタエツチング装置
US4985112A (en) * 1987-02-09 1991-01-15 International Business Machines Corporation Enhanced plasma etching
JPH01134932A (ja) * 1987-11-19 1989-05-26 Oki Electric Ind Co Ltd 基板清浄化方法及び基板清浄化装置
JPH0622218B2 (ja) * 1988-08-06 1994-03-23 富士通株式会社 エッチング方法
US4985372A (en) * 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
US5102496A (en) * 1989-09-26 1992-04-07 Applied Materials, Inc. Particulate contamination prevention using low power plasma
US5367139A (en) * 1989-10-23 1994-11-22 International Business Machines Corporation Methods and apparatus for contamination control in plasma processing
US5089441A (en) * 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5043300A (en) * 1990-04-16 1991-08-27 Applied Materials, Inc. Single anneal step process for forming titanium silicide on semiconductor wafer
US5298720A (en) * 1990-04-25 1994-03-29 International Business Machines Corporation Method and apparatus for contamination control in processing apparatus containing voltage driven electrode
JP2727532B2 (ja) * 1990-09-14 1998-03-11 カシオ計算機株式会社 シリコン系薄膜の成膜方法
US5083865A (en) * 1990-05-11 1992-01-28 Applied Materials, Inc. Particle monitor system and method
JPH04111313A (ja) * 1990-08-31 1992-04-13 Mitsubishi Electric Corp 微細加工装置及び方法
SG63578A1 (en) 1990-11-16 1999-03-30 Seiko Epson Corp Thin film semiconductor device process for fabricating the same and silicon film
JP2543642B2 (ja) * 1991-01-18 1996-10-16 アプライド マテリアルズ インコーポレイテッド 高周波交流電気エネルギ―と相対的に低い周波数の交流電気的エネルギ―を有する、工作物を処理するためのシステムおよび方法
JP3126420B2 (ja) * 1991-07-23 2001-01-22 東芝キヤリア株式会社 空気調和機
US5328555A (en) * 1992-11-24 1994-07-12 Applied Materials, Inc. Reducing particulate contamination during semiconductor device processing
US5456796A (en) * 1993-06-02 1995-10-10 Applied Materials, Inc. Control of particle generation within a reaction chamber
US5427621A (en) * 1993-10-29 1995-06-27 Applied Materials, Inc. Method for removing particulate contaminants by magnetic field spiking
JP3204836B2 (ja) * 1994-03-25 2001-09-04 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
JPH07254592A (ja) * 1994-03-16 1995-10-03 Fujitsu Ltd 半導体装置の製造方法
US5441596A (en) 1994-07-27 1995-08-15 Cypress Semiconductor Corporation Method for forming a stable plasma
US5563105A (en) * 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition

Also Published As

Publication number Publication date
US6121163A (en) 2000-09-19
US6291028B1 (en) 2001-09-18
US6289843B1 (en) 2001-09-18
JPH09260371A (ja) 1997-10-03

Similar Documents

Publication Publication Date Title
US6090167A (en) Method and apparatus for improving film stability of halogen-doped silicon oxide films
US6103601A (en) Method and apparatus for improving film stability of halogen-doped silicon oxide films
JP4044637B2 (ja) プラズマ励起cvd膜の界面品質改良のための方法
US5648175A (en) Chemical vapor deposition reactor system and integrated circuit
US7888273B1 (en) Density gradient-free gap fill
US6191026B1 (en) Method for submicron gap filling on a semiconductor substrate
US5763010A (en) Thermal post-deposition treatment of halogen-doped films to improve film stability and reduce halogen migration to interconnect layers
US6465043B1 (en) Method and apparatus for reducing particle contamination in a substrate processing chamber
US7435684B1 (en) Resolving of fluorine loading effect in the vacuum chamber
US7312524B2 (en) Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
JP3607438B2 (ja) Sacvd酸化物膜とpecvd酸化物膜との間に良好な界面を形成する方法及び装置
KR100550419B1 (ko) 빠른증착속도로할로겐이도핑된실리콘산화물층을증착하는방법및장치
US6583497B2 (en) Surface treatment of c-doped SiO2 film to enhance film stability during O2 ashing
CN110828346B (zh) 具有气体分布及单独泵送的批量固化腔室
US7727906B1 (en) H2-based plasma treatment to eliminate within-batch and batch-to-batch etch drift
US20030077857A1 (en) Post-deposition treatment to enhance properties of SI-O-C low films
JPH10199873A (ja) フッ化ケイ酸ガラス膜の膜安定性向上のための方法及び装置
KR20010062663A (ko) 고밀도 플라즈마 반응로내의 질화 실리콘의 인시튜 증착및 집적화
KR100778947B1 (ko) 성막 방법 및 성막 장치
US6345589B1 (en) Method and apparatus for forming a borophosphosilicate film
KR20050091780A (ko) 저-k 유전체 재료의 크랙 한계 및 기계적 특성 개선 방법및 장치
US20040266216A1 (en) Method for improving uniformity in deposited low k dielectric material
EP1670049A1 (en) Production of insulating film with low dielectric constant
US6911403B2 (en) Methods of reducing plasma-induced damage for advanced plasma CVD dielectrics
JP2013522907A (ja) 低水分誘電体膜を形成する方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040127

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060328

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20060628

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20060703

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060921

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061031

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070131

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070205

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070410

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070522

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070822

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20070822

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070827

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070914

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20071030

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20071116

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101122

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101122

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111122

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111122

Year of fee payment: 4

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121122

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121122

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131122

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees