JP3962111B2 - Rtp炉のサセプタ - Google Patents

Rtp炉のサセプタ Download PDF

Info

Publication number
JP3962111B2
JP3962111B2 JP15755396A JP15755396A JP3962111B2 JP 3962111 B2 JP3962111 B2 JP 3962111B2 JP 15755396 A JP15755396 A JP 15755396A JP 15755396 A JP15755396 A JP 15755396A JP 3962111 B2 JP3962111 B2 JP 3962111B2
Authority
JP
Japan
Prior art keywords
susceptor
surrounding ring
substrate
substrate surrounding
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP15755396A
Other languages
English (en)
Other versions
JPH09129714A (ja
Inventor
ゲイリー・エム・ムーア
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Moore Epitaxial Inc
Original Assignee
Moore Epitaxial Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Moore Epitaxial Inc filed Critical Moore Epitaxial Inc
Publication of JPH09129714A publication Critical patent/JPH09129714A/ja
Application granted granted Critical
Publication of JP3962111B2 publication Critical patent/JP3962111B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/12Heating of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/14Substrate holders or susceptors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/0033Heating devices using lamps
    • H05B3/0038Heating devices using lamps for industrial applications
    • H05B3/0047Heating devices using lamps for industrial applications for semiconductor manufacture

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、基板表面に膜を形成するために用いられる基板の支持構造に関し、より詳しくは、RTP炉( rapid thermal process reactor で処理される基板の支持構造に関する。
【0002】
【従来の技術】
半導体ウェハ若しくはその他の基板の表面に膜を形成する過程は、半導体製造方法及びフラットパネル型電子ディスプレイデバイスの製造方法における共通の過程である。典型的には、半導体ウェハを含む反応炉内で、選択された化学ガスが混合される。通常は、反応炉内のガスの化学的な反応を促進し、かつ膜がその表面に形成される半導体ウェハの表面を加熱するために熱が供給される。膜形成過程において、均一な膜を形成しつつウェハのスループット(即ち単位時間当たりに処理されるウェハの枚数)を最大にすることが望ましい。典型的には、均一な膜を形成するためには、半導体ウェハを均一な温度に保つことが重要である。
【0003】
様々な種類の反応炉が開発されてきた。一般的には、各反応炉は、反応チャンバと、半導体ウェハ搬送装置と、熱源及び温度制御部と、ガス伝達装置(吸気、排気、及び流量調節)とを有する。
【0004】
図1は、水平型反応炉として知られている1つの形式の従来技術の反応炉100の簡略化された断面を表しており、この反応炉内にはその内部が反応チャンバとなっている水平な円筒部102(通常は長方形の断面を有する)にサセプタ101が配置されている。半導体ウェハ、例えばウェハ103a、103b及び103cがサセプタ101の表面101aに取り付けられている。熱源104がウェハを加熱し、反応ガス105が円筒部102内を流れ、ウェハの近傍を通過する。サセプタ101は図1に例示されているように傾けられて配置され、かつ回転せず、表面101aが反応ガス105の流れに面し、反応ガス105の流れの下流側の半導体ウェハの部分において反応ガスが減少する問題を最小にする。
【0005】
図2は、シリンダ型反応炉として知られている、他の形式の従来の反応炉110の簡略化された斜視図であり、この反応炉内においてサセプタ111は、反応炉を画定するベルジャー112の内部に懸架されている。半導体ウェハ、即ちウェハ113は、サセプタ111の側面、即ち側面111aに概ね垂直方向に沿って取り付けられている。熱源114がウェハを加熱し、反応ガスが吸気口115を通してベルジャー112の上部に供給されている。反応ガスはサセプタ111の長手方向に沿って下向きに流れ、半導体ウェハの表面を通過し、ベルジャー112の底部に設けられたガス排気口(図示されていない)を通して反応炉から排気される。
【0006】
図3は、縦型反応炉として知られている、他の形式の従来の真空蒸着反応炉120の簡略化された断面図であり、この反応炉内において垂直方向に沿って固定されたサセプタ121は反応炉を画定するベルジャー122の底部から支持されている。半導体ウェハ、即ちウェハ123は、サセプタ121の表面121aに水平方向に取り付けられている。半導体ウェハはRF熱源(図示されていない)によって加熱され、反応ガスはサセプタ支持部125を通してウェハの上部の反応炉内に供給される。反応ガスはウェハの上を通過して流れ、ベルジャー122の底部に設けられたガス排気口(図示されていない)を通して排気される。
【0007】
これらの従来の反応炉において、サセプタは熱的なフリーホイール(thermal flywheel)として働く。より詳しくは、サセプタの熱的質量(thermal mass)は、サセプタの上に置かれたウェハの熱的質量よりも概ね大きく、サセプタは、熱源のエネルギーを吸収しかつ熱を伝える材料から製造されていた。従って、サセプタが動作温度に加熱されたとき、サセプタの大きな熱的質量によって、サセプタの各部分が特定の温度に保持された。半導体ウェハはサセプタによって保持されているので、サセプタの温度が一定であることにより半導体ウェハの好ましくない温度勾配が最小にされた。
【0008】
サセプタの大きな熱的質量が均一な膜を形成するために必要である一方、大きな熱的質量によって反応炉のスループットが制限されていた。より詳しくは、サセプタは処理される半導体ウェハのバッチ毎に加熱されそして冷却されなければならない。特に、加熱及び冷却時間は、ウェハの各バッチを処理するために必要なトータルの時間を制限する要因となっている。従来の反応炉では、ウェハの各バッチの完全なプロセスサイクルに40分から90分を要した。
【0009】
一方、RTP炉は、ウェハの処理時間として2分から15分のみを要する。即ち、RTP炉は、従来の反応炉のプロセスサイクル時間と較べ非常に短いプロセスサイクル時間を要することを特徴とする。更に、サセプタの熱的フリーホイールの特性は、RTP反応炉に望ましいものであるが、大きな熱的質量に関連する時間遅れは望ましいものではない。
【0010】
例えば、1992年3月24日にナルマン(Nulman)らに付与された米国特許第5,098,198号明細書「Wafer Heating and Monitor Module and Method of Operation」は、サセプタ213とサセプタ213によって支持された半導体ウェハ214との間の迅速な熱的応答を提供するための薄型の一定の反射率を備えたサセプタ213(図4)について記載している。サセプタ213は、シリコンカーバイド若しくは個体シリコンカーバイドによってコーティングされたグラファイトによって形成されている。サセプタ213は、非常に迅速な熱的応答時間を提供するべく、典型的には0.5mmから8mm、好ましくは、2mmの非常に薄型のサセプタとして記載されている。サセプタの直径及びウェハの直径については記載されていないが、サセプタは一枚の半導体ウェハを支持するものとして記載されている。
【0011】
1990年12月18日に付与されたM.ミラーによる米国特許第4,978,567号明細書「Wafer Holding Fixture for Chemical Reaction Processes in Rapid Thermal Processing Equipment and Method For Making Same」は、RTP反応炉の更に異なる形式の一枚のウェハを支持するサセプタについて記載している。第1のサセプタ300(図5)はシリコンカーバイトから形成されており、ウェハを支持するための凹部304を含む平坦な上側面302と、側壁303とを有する。平坦な上側面302及び側壁303は約0.38mm(0.015インチ)から約0.64mm(0.025インチ)の範囲の厚みを有する。しかしながら、そのような薄いサセプタは、その熱的応答が早いが、必要な安定性及び剛性を提供する点に関して問題がある。
【0012】
続いて、シリコンカーバイドからなる第2のサセプタ310(図6)は凹部317を備えた平坦な上側面312と、側壁313と、中空の環状部分314とを有する。環状部314が支持されている半導体ウェハの近傍から十分に隔てられ、加熱されたときにウェハの熱的応答を抑制することのないように、内側の側壁320から側壁313までの中空の環状部分314の幅は、平坦な上側面312の内側のリム部315から外側のリム部316までの長さの50%の以下となっている。しかしながら、中空の環状部分314と平坦な上側面312との熱的質量が異なることによって、これら2つの部分が加熱されたときに異なる温度となり、サセプタ310に温度勾配が生ずる。このような温度勾配によって、サセプタの曲がり、そり、若しくは亀裂が生じ、半導体ウェハの各バッチにおける均一な膜の形成が抑制されることになる。特に、サセプタ310にそりが生じた場合、サセプタ310の不規則な表面によって、半導体基板の表面が反応炉内の異なる処理条件に曝されることになる。更に、サセプタがシリコンカーバイドから形成されている場合、サセプタにはそりが生ずるよりも亀裂が生ずることになる。
【0013】
1992年4月28日にアンダーソンらに付与された米国特許第5,108,792号明細書「Double−Dome Reactor For Semiconductor Processing」に記載された他の型式のRTP反応炉では、サセプタは、熱的質量を低くするための薄型プレートと、剛性を高めるためのリム部とからなるものとして記載されている。しかしながら、この特許明細書には、1枚の半導体ウェハを支持する支持部若しくはサセプタの寸法が記載されていない。提供された唯一の教示内容は、サセプタが低い熱的質量を有するということであり、ウェハ、リム部及び薄型プレートの間の関係については何ら記載されていない。この特許明細書はまた、そのRTP反応炉システムが、加熱時間及び冷却時間がウェハの熱的質量によって主に制限されるように、サセプタを含まないものであることが企図されていることを記載している。
【0014】
多くの従来のCVD反応炉は、複数のウェハのバッチを処理するものである。大きな熱的質量を有するサセプタは、複数の半導体ウェハを支持するように適合されている。しかし、多くのRTP反応炉は1枚の半導体ウェハを処理するために用いられてきた。上述されたように、RTP反応炉のサセプタに対する主要なアプローチは、より大きい質量を備えた外側リムによって支持された薄型の平坦なプレートを用いるものである。残念ながら、この薄型の平坦なプレートがより大きくなるにつれ、この平坦なプレートは1枚の大型の半導体ウェハ若しくは複数の半導体ウェハを支持するための十分な安定性及び剛性を有しないものとなる。RTP反応炉のサイクル時間に関する特性を保持することが重要な場合、1枚の大型な半導体ウェハ若しくは複数の半導体ウェハを支持することのできる高い安定性及び剛性を備えたグラファイト若しくはシリコンカーバイトによってコーティングされたグラファイトからなるサセプタは、RTP反応炉において用いるには大きすぎる熱的質量を有する。
【0015】
【発明が解決しようとする課題】
本発明の目的は、RTP反応炉においてスループットを向上させるのに適した熱的質量を有すると共に、1枚の大型の半導体ウェハ若しくは複数の半導体ウェハを支持することのできる安定性及び剛性を備えたサセプタを提供することである。
【0016】
【課題を解決するための手段】
本発明は、RTP炉のサセプタであって、基板包囲リングと、前記基板包囲リングの周りに隣接して配置された複数の熱分配要素とからなる複数の構成要素を有する第1の層と、第1の表面と前記第1の表面の反対側の第2の表面とを有する第2の層とを有し、前記第1の層の前記複数の構成要素が、前記第2の層が前記第1の層を支持するように前記第2の層の前記第1の表面の上に配置されていることを特徴とするRTP炉のサセプタを提供することによって達成される。
【0017】
本発明の基本理念に基づけば、RTP反応炉のサセプタは複数の層からなる構造を有する。このRTP反応炉用のサセプタの第1の層は、シリコンカーバイド、グラファイト、若しくはグラファイをコーティングされたシリコンカーバイドからなり、かつ約6mm以下の厚さを有する複数の薄い構成要素を含み、この第1の層は、熱を放射する放射率を備え、かつサセプタによって支持されている1枚若しくは2枚以上の半導体基板の温度を均一に保つことを容易にするとともに半導体基板全体に亘って反応ガスの特性を均一に保つことを容易にするような熱伝達特性を備えている。このサセプタの第2の層は、RTP反応炉の熱源からの熱を吸収することなく(transparent)伝達し、第1の層に対する硬いかつ安定したプラットホームを提供する。熱を吸収することなく伝達する第2の層によって提供された支持力及び剛性によって、それ自体は十分な剛性を備えていない薄い第1の層を1枚の大型の半導体基板、例えば300mmの直径の半導体ウェハ、若しくは複数の半導体基板を支持するために用いることができる。即ち、第1の層と第2の層の合計の厚さによって、1枚の大型の半導体基板を支持するための若しくは同時に複数の半導体基板を支持するための十分な安定性と剛性が提供され、かつ第2の層が熱を吸収しないために、サセプタの熱的質量は第1の層によって決定され、かつRTP反応炉のプロセスサイクルにも適合できるものとなる。
【0018】
好ましくは、第1の層は、半導体基板包囲リングと、複数の熱分配要素を含む。この複数の熱分配要素によって、サセプタの曲がり、そり、亀裂の発生といった問題が低減される。加えて、この第1の層は均一な温度特性を有するので、従来技術のRTP反応炉のサセプタにおけるように薄い中心部分とこの薄い中心部分の外周に沿って配置されたより大きな重量の支持構造部との間の熱特性の差によって生ずる問題が低減される。また、第1の層が均一な形状を有することによって、この第1の層の製造が容易となり、かつプロセスガスの特性を均一にすることが容易となる。本発明の2つの層からなるサセプタは、任意の熱源と共に、若しくはRTP反応炉において用いられているように複数の熱源の組み合わせと共に用いることができる。
【0019】
即ち、本発明の基本理念に基づけば、RTP炉のサセプタは、(i)半導体基板包囲リングと、(ii)前記半導体基板包囲リングに隣接してかつ前記半導体包囲リングの上に配置された複数の熱分配要素とを含む複数の構成要素を有する。また、本発明に基づくサセプタの第2の層は、第1の表面と、前記第1の表面の反対側の第2の表面とを有し、前記第2の層が前記第1の層を支持するように前記第2の層の第1の表面には前記第1の複数の構成要素が取り付けられている。この実施例では、第2の層は、RTP反応炉の熱源の熱を概ね吸収することなく伝達するので、サセプタの熱的質量は、第1の層の特性によって決定される。
【0020】
第1の層の複数の構成要素はまた、円周エッジ面を備えた半導体基板包囲リングインサートを含み、この半導体基板包囲リングインサートの円周エッジ面は、半導体基板包囲リングの内側の円周エッジ面と隣接している。好ましくは、半導体基板包囲リングインサートを動かさずに半導体基板包囲リングを半導体基板包囲リングインサートから持ち上げることのできるように、半導体基板包囲リングインサートの円周エッジ面と半導体基板包囲リングの内側円周エッジ面とは面取りされている。
【0021】
ある実施例では、半導体基板包囲リングには、半導体基板包囲リングの内側円周エッジ面から外側円周エッジ面に向けて予め決められた距離に亘って延在する棚部が設けられており、半導体基板包囲リングに配置された半導体基板がこの棚部によって支持される。半導体基板包囲リングはまた、半導体基板包囲リングの外側エッジ面の上にリム部を備え、このリム部の内側円周エッジ面と棚部との交差部分によって棚部の外側エッジが画定される。即ち、リム部の内側円周エッジ面が、棚部からリム部の上側部分まで延在する壁を形成する。この壁は、基板の厚さのおよそ2倍の高さを有する。更に、棚部の外側エッジは、壁と基板のエッジとの間隔が約0.13cm(0.05インチ)から0.51cm(0.2インチ)の範囲にあるように、ある実施例では約0.16cm(0.0625インチ)となるように配置されている。
【0022】
他の実施例では、サセプタの第1の層は、内側円周エッジ面から延在する複数のリフトタブを備えた内側円周エッジ面を有する半導体基板包囲リングと、外側円周エッジ面に設けられた複数のノッチを有する半導体基板包囲リングインサートとを有し、半導体基板包囲リングインサートが半導体基板包囲リングと嵌合されかつはめ合わされるようになっている。
【0023】
複数の熱分配要素が、半導体基板包囲リングの周りに半導体基板包囲リングに隣接して配置されており、熱分配要素同士の隣接するエッジ面の間に第1のギャップが形成され、熱分配要素と半導体基板包囲リングの外側円周エッジ面との間に第2のギャップが形成される。複数の熱分配要素の各々は、外側円周エッジ面にノッチを有する。第2の層は、第2の層の表面から延在し、かつ第2の層の外側円周エッジに隣接して配置された複数のピンを有する。熱分配要素に設けられたノッチは、前記複数のピンの対応するピンに嵌合される。
【0024】
【発明の実施の形態】
本発明の基本理念に基づけば、半導体ウェハ若しくはフラットパネル型ディスプレイなどの1枚の基板、若しくは複数の基板を支持するためのRTP炉( rapid thermal process reactor の新規なサセプタが、RTPサイクロタイムに適合する熱的な質量を有し、かつ基板上に均一な被膜を形成するために必要な剛性及び安定性を有する。
【0025】
本発明のサセプタは、RTP炉内において1枚の基板を処理するのみでなく、更に複数の基板をも処理することができる。一方、RTP反応炉は、従来の反応炉における同様の過程のサイクルタイムと比較してより短いサイクルタイムを有する。このRTP反応炉は、典型的には10℃/秒から400℃/秒の間の加熱速度で1枚若しくは2枚以上の半導体ウェハを加熱することができる。ある実施例では、RTP反応炉は、20℃/秒の加熱速度で1枚若しくは2枚以上のウェハを加熱する。他の実施例は、RTP反応炉は、10℃/秒の加熱速度で1枚にウェハ若しくは2枚以上のウェハを加熱する。ウェハの迅速な加熱は本発明のRTP反応炉を特徴づけるより短いプロセスサイクル時間の必須d条件の1つである。本発明の新規なサセプタにより、単一の熱源若しくは2つの熱源を用いて、複数のウェハ若しくは1枚の大型のウェハ、例えば、250mm(10インチ)、300mm(12インチ)、400mm(16インチ)の直径のウェハを処理することが可能となる(以下の説明において、ウェハの寸法は特に明示のない限りウェハの直径を表している)。
【0026】
本発明に用いるのに適した1つのRTP反応炉は、本件出願と同一の出願人による1994年1月21日に出願されたガリ・M・ムーア及びカツヒコ・ニシカワによる米国特許出願第08/185,691号明細書「A RAPID THERMAL PROCESSING APPARATUS FOR PROCESSING SEMICONDUCTOR WAFER」と、1993年1月21日に米国特許出願第08/007,981号として出願されたガリ・M・ムーア及びカツヒコ・ニシカワによる米国特許第5,444,217号明細書「A RAPID THERMAL PROCSSING APPARATUSTUS FOR PROCESSING SEMICONDUCTOR WAFER」に記載されており、この2つの特許明細書はここで言及されたことによって本出願の一部とされたい。
る)。
【0027】
処理される1枚の半導体ウェハ若しくは2枚以上の半導体ウェハがサセプタの凹部内に配置されるので、凹部の個数及び寸法が異なるり、異なるサセプタが、若しくは以下により詳しく説明される少なくとも1つのサセプタの異なる第1の層が、異なる各々の半導体ウェハの寸法に対して必要となる。異なる本数のウェハ支持ピンが、寸法の異なるウェハをサセプタの本体の上に持ち上げるために用いられるので、異なる1枚のサセプタ、またはこのサセプタの少なくとも1つの異なる第1の層が必要とされる。典型的には、ある特定の寸法の半導体基板のバッチが通常は連続して処理され、サセプタの交換回数を最小にすることが要求されるので、他のサセプタによって若しくは少なくとも1つの異なるサセプタの第1の層によって半導体基板の高いスループットを達成するための障害がもたらされることはない。ある実施例では、各サセプタは、直径が35.6cm(14インチ)であり、(ウェハの凹部が形成されている部分以外では)約0.95cmから1.27cm(0.357インチから0.5インチ)の厚さを有する。他の実施例では、サセプタは直径が45.7cm(18インチ)であり、更に他の実施例では、直径が45.7cm(18インチ)であり、更に他の実施例では、直径が50.8cm(20インチ)である。
【0028】
本発明のサセプタは、水晶から形成されているか、代わりにサセプタの支持層が水晶から形成されている。サセプタが水晶から形成されている場合、放射熱源に面するサセプタの表面は、ビードブラスト(bead blast)され、保温力が増加される。その一方、抵抗加熱器若しくは自動熱分配要素に面するサセプタの表面は、例えば、炎洗浄(flame polishing)若しくは機械洗浄(mechanical polishing)によって洗浄され、サセプタを通過して半導体基板により多くの熱が伝えられるようにされている。代わりに、一方の表面がビードブラストされ、他方の表面が洗浄され、若しくは任意の表面が選択的にビードブラストされて選択された位置での保温力が増加される。この選択は、サセプタが使用されているRTP反応炉に対する所望のある特定の加熱効果に基づいてなされる。
【0029】
基板全体に亘るほぼ均一な温度と、基板の表面全体に亘る均一な反応ガスの流れとを保持することは、半導体基板の正確な処理にとって肝要な事柄となっている。特に、半導体基板のエッジ部分において、半導体基板から反応チャンバ内のより低温の周囲環境への熱の放散は、エピタキシャル処理過程における「スリップ(slip)」として知られている好ましくない現象を生み出すエッジ部分における大きな温度勾配を形成することにもなる。即ち、半導体ウェハのエッジ部分における温度を制御するための手段が特に必要とされている。
【0030】
図7及び図8は、本発明の実施例に基づくポケット43内にウェハ包囲リング401及びウェハ404が配置されたサセプタ400の平面図及び側面図を各々表している。ウェハ包囲リングの1つの働きは、ウェハのエッジ部分における均一な温度を保持することである。
【0031】
ウェハ包囲リング401の中心部分には貫通孔が形成されている。凹部を形成する棚部が、この貫通孔の周りに形成されており、かつウェハエッジ接触面401fとウェハ裏側接触面401eとによって画定されている。ウェハ包囲リング401はスピンドル402を取り囲むように配置されており、ウェハ包囲リング401のウェハ裏側接触面401eは、スピンドル402のウェハ裏側接触面402aとほぼ同一平面上に配置されている。
【0032】
スピンドル402はサセプタ402と一体的に形成されてもよく、またスピンドル402は、ポケット403内に配置される別個の構成部品として形成されてもよい。以下で、本発明の以下の説明において、「スピンドル」は、サセプタのポケット内の中心に配置された構成要素を表すために用いられ、このスピンドルはサセプタと一体的に形成されてもよく、若しくは別個の構成要素として形成されてもよい。「サセプタインサート」は、サセプタのポケット内の中心に配置されかつサセプタとは別個に形成された構成要素を表すために用いられる。更に、本発明明細書中で用いられるように、「スピンドル」は、「サセプタインサート」よりも厚い構成要素を表すが、しかしながらこれは必ずしも必須要件ではなくある場合にはスピンドルとサセプタインサートが等しい厚さを有してもよい。一般的に、「スピンドル」と「サセプタインサート」は、概ね等しい構成要素を表し、かつ一方の用語若しくはもう一方の用語を用いることは、サセプタと一体的に形成された構成要素若しくはサセプタと別個に形成された構成要素と、任意の厚さの構成要素とを表すものである。ウェハ404は、ウェハ包囲リング401のウェハ裏側接触面401eとスピンドル402のウェハ裏側接触面402aとの上に配置されており、ウェハ404の上側面404aはウェハ包囲リング401の環状上側面401dよりもわずかに低い位置に配置されている。ウェハ包囲リング401はアメリカ合衆国ミシガン州のミッドランド・マテリアルズ・リサーチ社から入手することができる。ウェハ包囲リング401は、比較的低い熱伝導率を有する材料、例えばグラファイト若しくはシリコンカーバイドからなる。グラファイトが用いられた場合、ウェハ包囲リング401はシリコンカーバイドによってコーティングされている。シリコンカーバイドの被膜は、グラファイトの薄片がウェハの上にはがれ落ちることによるグラファイトからの炭素による汚染を防止するので、シリコンカーバイドの被膜によって粒子の汚染が最小となる。シリコンカーバイドの被膜は、グラファイトからの炭素の薄片によるウェハ404の汚染を防止するために十分な厚みをもって形成される。
【0033】
しかしながら、シリコンカーバイドの被膜が形成されるグラファイトのある部分が薄い場合、その薄い部分の一方の表面にシリコンカーバイドの被膜を真空蒸着法によって形成することによりその部分にそりが生ずることになる。このそりを解消するために、その薄いグラファイトの部分のもう一方の表面に形成される真空蒸着法による被膜の厚さはそりを相殺しシリコンカーバイドの被膜によって覆われた平坦な部分を形成するような値に選択される。即ち、薄いグラファイトの部分の両側の表面に形成されたシリコンカーバイドの厚さは異なる値となってもよい。シリコンカーバイドの被膜の正確な厚さ及び両側の面における厚さの違いは、ミッドランド・マテリアルス・リサーチ社の特許情報である。
【0034】
以下で、本発明のこれから説明される実施例では、様々な構成要素、例えばウェハ包囲リング、スピンドル、及びサセプタインサートは、グラファイトから形成されている。これらの構成要素のうちの1つがグラファイトから形成されている場合、この構成要素を、上述されたようにかつ上述された理由によりシリコンカーバイドによってコーティングすることが望ましい。例えば、200mm(8インチ)のウェハを保持するために十分な大きさのポケット403を備えた直径356mm(14インチ)のサセプタ400に対して、ウェハ包囲リング401の環状上側面401dは3.18mm(0.125インチ)の幅401aを有し、ウェハ包囲リング401のウェハ404の下の部分401bの厚みは0.254mm(0.10インチ)であり、ウェハ包囲リング401の外側エッジ面401gから内側エッジ面401hまでの幅401cは15.2mm(0.60インチ)となっている。サセプタの寸法が異なる場合、幅401a、厚さ401b、及び幅401cの値は上述されたものと異なる値となる。スピンドル402は、例えばグラファイト、シリコンカーバイド、若しくは水晶から形成される。グラファイト及びシリコンカーバイドは、ウェハ404の裏側面404bへより多くの熱を伝達し、かつ/またはウェハ404の裏側面404bへの熱の伝達を阻止するために望ましい。水晶は、ウェハ404の裏側面404bへごくわずかの熱を伝達するかまたは熱を伝達せず、ウェハ404の裏側面404bからの熱の伝達をわずかに阻止するか全く阻止しない。しかしながら、熱の損失はウェハ404のエッジ404cにおいて主に問題となるので、水晶をスピンドル402、即ちウェハ404の中心部分の下に用い、グラファイト若しくはシリコンカーバイドをウェハ包囲リング401、即ちウェハ404のエッジ404cの下及びエッジ404cの周りに用いることは、ウェハ404の望ましい温度の均一性を提供する。
【0035】
ウェハ包囲リング401(以下に説明される本発明の他の実施例に基づくウェハ包囲リング)は、サセプタ400のポケット403内に配置されたウェハ404を所定の位置に保持する。特に、ウェハ404のエッジ404cの周りのウェハ包囲リング401によって、ウェハ404のエッジ404cの近傍の温度は、ウェハ404のその他の部分の温度と概ね等しく保持される。
【0036】
図9は、本発明の他の実施例に基づくウェハ包囲リング401、サセプタインサート417、スピンドル412、及び半導体ウェハ404が、ポケット403内に配置されたサセプタ400の断面図である。ウェハ包囲リング401がポケット403内に配置された後に、ウェハ包囲リング401を貫通してその中心に形成された開孔内のポケット403にサセプタインサート417が配置される。スピンドル412は、サセプタインサート417の厚さの1倍から3倍の厚さを有し、このスピンドル412はウェハ包囲リング401の開孔内のサセプタインサート417の上に配置され、スピンドル412のウェハ接触面412aは、ウェハ包囲リング401のウェハ接触面401eと概ね等しい平面内に配置される。ウェハ404は、ウェハ包囲リング401内のウェハ包囲リング401のウェハ接触面401e及びスピンドル412のウェハ接触面412aの上に配置される。
【0037】
スピンドル412及びサセプタインサート417は、例えば、水晶、シリコンカーバイド若しくはグラファイトから形成される。典型的には、スピンドル412及びサセプタインサート417の一方が水晶から形成され、もう一方がグラファイト若しくはシリコンカーバイトから形成される。
【0038】
代わりに、サセプタインサート417は硬いプレート若しくは柔軟な布から形成されていても良い。本発明のある実施例では、サセプタインサート417は全体の厚さがおよそ3.18mm(0.125インチ)のシリコンカーバイドによってコーティングされたグラファイト製のプレートからなる。
【0039】
本発明の他の実施例では、サセプタインサート417は、0.0025mm(0.0001インチ)から3.18mm(0.125インチ)の厚さを有するシリコンカーバイド製のプレートからなる。シリコンカーバイド製のプレートは、上述されたグラファイト製のプレートよりもその厚みを小さくできるが、その理由は通常RTP反応炉に対して用いられるプロセスの温度を超える温度に曝されたときシリコンカーバイド製のプレートはグラファイト製のプレートよりもそりに対する抵抗が大きいからである。より薄いシリコンカーバイド製のプレートによって、ウェハ404をより迅速に加熱及び冷却することができる。しかしながら、グラファイト製のプレートは、シリコンカーバイド製のプレートよりも製造コストが低い。
【0040】
本発明の更に他の実施例では、サセプタインサート417は、シリコンカーバイドの布からなる。シリコンカーバイドの布は、シリコンカーバイド製のプレートよりも製造コストが低いが、しかしシリコンカーバイド製のプレートにくらべ粒子の汚染を生じやすい。
【0041】
図10は、本発明の他の実施例に基づくウェハ包囲リング421、スピンドル422及びウェハ404がサセプタ400のポケット403内に配置されたサセプタ400の断面図である。ウェハ包囲リング421は、サセプタ400のポケット403内のスピンドル422の周りに配置されている。(図7から図9の)ウェハ包囲リング401とは異なり、ウェハ包囲リング421はその中心部分を貫通する開孔部を備えていない。その代わり、ウェハ包囲リング421の内側エッジ面421cと外側エッジ面421dが、ウェハ包囲リング421の上側面421aから下側面421bまで各々延在している。ウェハ404はスピンドル422の裏側接触面422aの上に配置され、そのエッジ404cがウェハ包囲リング421の内側エッジ面421cと接触することによって横方向に保持されている。
【0042】
スピンドル422はサセプタ400と一体的に形成されてもよいし、またスピンドル422はサセプタ400とは別個の構成部品として形成され、ポケット403内に配置されてもよい。スピンドル422は例えばグラファイト、シリコンカーバイド、若しくは水晶から形成される。スピンドル422がサセプタ400と一体的に形成されている場合、スピンドル422はサセプタ400と同じ材料から形成される。ウェハ包囲リング421は例えばシリコンカーバイド若しくはグラファイトから形成される。
【0043】
図11は、本発明の他の実施例に基づくウェハ包囲リング421、サセプタインサート437、スピンドル432及びウェハ404がサセプタ400のポケット403内に配置されたサセプタ400の断面図である。ウェハ包囲リング421はポケット403内に配置されている。サセプタインサート437はウェハ包囲リング421を貫通して形成された開孔内に配置されている。スピンドル432はウェハ包囲リング421の中心に形成された開孔内のサセプタインサート437の上に配置されている。ウェハ404は、スピンドル432のウェハ裏側接触面432aの上に配置され、そのエッジ404cがウェハ包囲リング421の内側エッジ面421cと接触することによって横方向に保持されている。
【0044】
ウェハ包囲リング421、サセプタインサート437、及びスピンドル432は、(図9の)ウェハ包囲リング401、サセプタインサート417、及びスピンドル412と等しい材料から形成されている。更に、サセプタインサート417と同様に、サセプタインサート437は柔軟な布または硬いプレートの何れかから形成される。
【0045】
図12は、本発明の他の実施例に基づくウェハ包囲リング441、ウェハ404がサセプタ400のポケット403内に配置されたサセプタ400の断面図である。ウェハ裏側接触面441aとウェハエッジ接触面441bとによってウェハ包囲リング441内に凹部が画定されている。ウェハ404はウェハ包囲リング441の凹部内に配置され、ウェハ404の上側面404aが、ウェハ包囲リング441の上側面441cよりもわずかに低い位置に配置されている。ウェハ包囲リング441は、例えばシリコンカーバイド若しくはグラファイトから形成される。
【0046】
図13は、本発明の他の実施例に基づくサセプタインサート457、ウェハ包囲リング451、及びウェハ404がサセプタ400のポケット403内に配置されたサセプタ400の断面図である。サセプタインサート457は、ポケット403の底部表面の全体を覆うようにポケット403内に配置されている。ウェハ包囲リング451はポケット403内のサセプタインサート457の上に配置されている。凹部が、ウェハ裏側接触面451aとウェハエッジ接触面451bとによってウェハ包囲リング451内に画定されている。ウェハ404は、ウェハ包囲リング451の凹部内に配置され、ウェハ404の上側面404aは、ウェハ包囲リング451の上側面451cよりもわずかに低い位置に配置されている。ウェハ包囲リング451は、(図12)のウェハ包囲リング441と等しい材料から形成される。サセプタインサート457は例えばグラファイトから形成される。上述したように、サセプタインサート457は柔軟な布若しくは硬いプレートの何れかから形成される。図7から図13に例示された実施例において、ウェハ包囲リング、スピンドル及びサセプタインサートの特定の寸法は、スリップを最小にしかつウェハ404の温度を概ね均一に保つべく実験により決定される。更に、シリコンカーバイド若しくはグラファイトの代わりに水晶が用いられた場合、この選択は、グラファイト若しくはシリコンカーバイドの望ましい保温力とこれらの物質の好ましくない熱的慣性(thermal inertia)とを比較考量した結果なされたものである。更に、スピンドル若しくはウェハ包囲リングが水晶から形成されている場合、この水晶の表面はビードブラスト若しくは洗浄される。ビードブラストによって水晶はより多くの熱を保持するようになる。最終的に、図7から図13では本発明の実施例はサセプタの上に1枚の半導体ウェハが配置されるものとして説明されてきたが、ウェハが配置される上記の構造のうちの1つを各ポケット内に配置することによって1つ若しくはそれ以上の半導体ウェハが取り付けられるサセプタに、上述された構造が用いられることは容易に理解される。
【0047】
図14及び図15は、本発明のある実施例に基づくウェハ包囲リングのC型部分495の平面図及び側断面図を各々表している。本発明に基づくウェハ包囲リングは2つの部分、即ちC型部分495と、このC型部分495とはめ合わされることによって円環状のウェハ包囲リングを形成するはめあい部分(図示されていない)から形成されている。ウェハは、ウェハ包囲リングの上側面495gよりわずかに低い位置に形成されているウェハ裏側接触面495fの上に載せられる。C型部分495のサセプタ接触面495eには凹部495a、495b、495c及び495dが形成されている。
【0048】
サセプタが下降されたときに、ウェハ支持ピンがこの凹部495a、495b、495c及び495dと接触し、C型部分495をサセプタから持ち上げる。ウェハ裏側接触面495fの上に載せられたウェハは、C型部分495と共に持ち上げられる。しかし、C型部分495が持ち上げられたとき、はめあい部分はサセプタの上に載せられたまま残る。即ち、はめあい部分が占めていた部分に開かれた部分が形成され、この開かれた部分を通してロボットアーム若しくはウェハ取り扱いシステムが容易に入り込み、C型部分495からウェハを持ち上げかつウェハを取り除く。
【0049】
C型部分495の内側エッジ面495hと外側エッジ面495iとは各々面取りされているので、サセプタ接触面495eはウェハ裏側接触面495fと上側面495gとの合計の幅よりも短い幅を有する。サセプタのポケット部の側面は、面取りされており、C型部分495の内側エッジ面495hと外側エッジ面495iとにはめあ合わされるようになっている。C型部分495とサセプタとの間の境界面を各々面取りすることによって、C型部分495がサセプタの上に配置されたときC型部分495が良好に気密され、C型部分495とサセプタとの間を通してガスが漏洩せず、ウェハの裏側面が汚染されない。更に、面取りされた面を用いることによってウェハ包囲リングをサセプタに載せること及びウェハ包囲リングをサセプタから取り除くことがより容易となる。はめあい部分もまた同様な面取りされた面を有する。
【0050】
C型部分495の開いた端部におけるエッジ面495j及び495kもまた、ウェハ裏側接触面495f及び上側面495gからサセプタ接触面495eにいくに従って先細りとなるように面取りされている。ウェハ包囲リングのはめあい部分もまた、対応する面取りされたエッジ面を有する。ここでも同様にC型部分495とはめあい部分との間の境界面を面取りすることによって、C型部分とはめあい部分との間に良好な気密が形成される。エッジ面495j及び495kは、ウェハのローディング若しくはアンローディングのためにサセプタが下降されたときに、C型部分495がはめあい部分を持ち上げることのないように、内向きに(ウェハ裏側接触面495fからサセプタ接触面495eに行くに従って先細りとなるように)面取りされていなければならない。このはめあい部分は、はめあい部分及びサセプタに形成された対応する開孔部内に嵌合されたピンによってサセプタ上の横方向に固定して保持される。
【0051】
他の実施例では、C型部分495、サセプタのポケットの側面、及びはめあい部分のエッジ面は面取りされずに直線状に形成されている。
【0052】
図16は、C型部分498及びはめあい部分499を含む本発明の他の実施例に基づくウェハ包囲リング497の平面図である。C型部分498はリム部498a及びフロア部498bを含む。同様に、はめあい部分499は、リム部499a及びフロア部499bを含む。ウェハ包囲リング497は、図14及び図15に関して上述されたウェハ包囲リングと概ね等しいが、図14及び図15のウェハ包囲リングのごく一部分がウェハの下に配置されているのに対し、フロア498b及び499bがウェハの下側に完全に延在している点が異なる。ウェハ包囲リング497は、図14及び図15のウェハ包囲リングに関して上述された材料と等しい材料から形成される。
【0053】
本発明の他の実施例では、図17に例示されているように、新規な複数の層からなるサセプタ500は、基板支持リングと、基板包囲リングインサートと、複数の熱分配要素503a〜503fとを含む複数の構成要素から形成された第1の層501を含む。第1の層501は第2の層502によって支持されている。第1の層を構成するこれらの複数の構成要素は、グラファイト及びシリコンカーバイドと同等の熱伝達特性及び放射率を備えた材料から形成される。この第1の層501の働きは、反応ガスがサセプタ500による温度勾配の影響を受けることがないように、第1の層501によって支持された基板530(図18)の温度勾配を最小にし、かつ基板530を取り囲む周囲の温度を概ね均一に保つことである。
【0054】
第1の層501を形成する複数の構成要素の厚さは、この第1の層501の熱的な質量がRTP反応炉のサイクルタイムに影響を及ぼさないように最小化されなければならないが、一方では温度勾配を最小にしかつプロセスガスへの影響を最小にするために十分な厚さが保たれていなければならない。加えて、第1の層501は以下により詳しく説明されるように、そり及び亀裂に関する問題を最小にするようにその形状が構成されている。第1の層501は第2の層502によって支持されることによってその剛性及び安定性が確保されているので必要に応じてできるだけ大きい横方向の寸法を有することができる。
【0055】
第2の層を構成する材料は、吸収される熱量が最小となるように、即ち第2の層502がRTP反応炉内の熱源からの熱を概ね吸収することなく伝達するように決定されている。従って、第2の層500には熱的質量に関与しないが、第2の層502は第1の層501に対する剛性及び支持を提供する。しかし、第2の層は、選択された部分において保温力を増すように形成されてもよい。
【0056】
第1の層501と第2の層502とを組み合わせることによって、第1の層501によってもたらされた低い熱的質量と、基板の近傍における概ね均一な温度分布とを有し、その一方で1枚の大型の半導体基板若しくは複数の半導体基板を支持するための安定性及び剛性を備えたサセプタ500が形成される。更に、従来技術のRTP反応炉用のサセプタに関する薄型の内側のプレートとより大きな重量の外側のリム部に関する上述された問題が解消されるが、その理由は第1の層501を構成する各構成要素が均一な熱的質量の特性を有し、従って、個々の構成要素の熱的質量の相違を原因とする温度勾配が発生しないためである。
【0057】
この実施例では、サセプタ500はその上にペデスタルプレート511が取り付けられたペデスタル支持シャフト510によって支持されている。ペデスタルプレート511は複数のコラム512〜514を、この実施例では3本のコラムを有し、このコラムは第2の層502を支持している。受動熱分配器515、若しくはその代わりとなるセラミック抵抗加熱器が、ペデスタル支持プレート511の上側面511aの上に配置されており、ペデスタルプレート511の上側面511aから延在する複数のピンによって所定の位置に保持されている。カバー516が、受動熱分配器515の上に配置されている。基板支持ピン520aから520dは、第2の層502の面取りされた面との間で気密を形成する第1の面取りされた表面と、基板包囲リング540の対応する面取りされた開孔部に嵌合される第2の面取りされた表面とを有する。以下により詳しく説明されるように、基板支持ピン520aから520dは、基板530が基板取り扱いシステムによって処理されるように基板包囲リング540を、従って、基板530を第1の層501の上側面の上に持ち上げるために用いられる。
【0058】
図18は、サセプタ500の上面図を表している。この実施例では、サセプタ500は約50.8cm(20インチ)の直径を有する。破線510aは、ペデスタル支持シャフト510の頭部の円周を表している。ペデスタル支持プレート511の底面の放射状リブ及び支持コラム512〜14もまた破線によって表されている。その他の隠れた構成要素は図面を明瞭にするために図示されていない。
【0059】
熱分配要素503aから503fは複数の円筒形ピン505a1から505f2によって所定の位置に保持されており、例えば熱分配要素503aは、ピン505a1に対応する部分に第1の半円形ノッチ、ピン505a2に対応する部分に第2の半円形ノッチを各々有する。ピン505a1から505fは、第2の層502の上側面502aの周縁部に形成されている。各熱分配要素は、対応する円筒形ピンと嵌合する外側の円周のエッジ面に設けられた半円形ノッチを有する。
【0060】
図18では、熱分配要素503aから503fの隣接する熱分配要素のエッジ面の間に小さいギャップ506aから506fが描かれている。また、基板包囲リング504の外側円周エッジ面541と、熱分配要素503aから503fの内側エッジ面との間の小さい円周ギャップ507も描かれている。これらの種々のギャップの寸法は、動作温度において熱分配要素が互いに接触し、かつ基板包囲リング540と接触するような値に設定されている。即ち、動作温度において、熱分配要素503aから503fは、加熱された反応ガスの流れが基板530の上全体に亘って適切に保持されるように基板530の上で加熱された表面を形成する。
【0061】
基板包囲リング540は、切欠き部分を備えた円環状構造を有し、基板包囲リング540は図18に例示されているように文字Cと類似した形状を有する。基板包囲リング540は、その上に基板530が置かれる内側円周エッジ面542に隣接して設けられた棚部544を有する。基板包囲リング540のリム部545は棚部544の上に延在し、かつ基板530の外側円周エッジ面を取り囲む。内側円周エッジ面542は面取りされており、かつ基板包囲リングインサート550の面取りされた外側円周エッジ面とはめあわされる。以下に詳しく説明されるように、基板包囲リングインサート550は、概ね円形であり、かつ棚部554と概ね等しい高さを有し、基板530の裏側面もまた基板包囲リングインサート550の上側面と接するようになっている。加えて、基板包囲リングインサート550は、その中心線に沿った外向きに延在する突出部を有する。基板包囲リングインサート550のリム部は、基板包囲リング540のリム部545の切欠き部分を完全に補完し、サセプタ550が処理位置に置かれている時、基板530の外側円周エッジ面がリム部によって完全に取り囲まれるようになっている。好ましくは、棚部544からリム部の頭部までの距離は、基板の厚みのおよそ2倍である。1つの重要な点は、リム部の高さが、処理中に基板の外側エッジがリム部の頭部より低い位置に保たれる様な値に設定されているということである。従って、図17では基板530は見えない位置に配置されている。
【0062】
基板包囲リング540は、基板包囲リング540を貫通するリム部545に形成された複数の孔548Aから548Dを含む。孔548Aから548Dは先細りとなった部分を有し、以下に説明されるように、基板支持ピン520Aから520Dの第2の先細りとなった面とはめあわされる。サセプタ500が処理位置から下降された時、先細りとなった端部と反対側の基板支持ピン520aから520dの端部が、RTP反応炉の反応チャンバ内の面と接触し、サセプタ500が更に下降されると、基板支持ピン520aから520dによって基板包囲リング540が固定位置に留められる。即ち、基板包囲リング540と組み合わされた基板530は、図19に示されたようにサセプタ500の上に保持される。基板包囲リングインサート550は第2の層520の上に置かれている。即ち、この下降位置では、基板取り扱い手段が基板包囲リングのギャップを通して基板の下側面の下に配置され、基板535をRTP反応炉から移動させることができる。この基板が反応炉から取り除かれた後に、他の基板が基板包囲リング540に配置される。
【0063】
図20は、本発明のこの実施例における熱分配要素503aから503fをより詳しく表している。熱分配要素503aから503fは、シリコンカーバイドでコーティングされているグラファイトからなり、この実施例では、約0.36cm(0.14インチ)の厚さを有する。円形の切欠き部分601は、中心線602に沿ってサセプタ500の中心601Sから距離「COFF」だけ離れて配置された中心601Cを有する。この実施例では、円形切欠き部分601の中心から中心601Cからの直径は約34.3cm(13.5インチ)である。距離「COFF」はこの実施例では約5.7cm(2.44インチ)である。
【0064】
熱分配要素503a、503b及び503cは、中心線602に関して熱分配要素503f、503e及び503dと各々線対称の形状を有する。熱分配要素が線対称の形状を有するので、図20ではノッチ601b2から601e1の位置のみが示されている。各半円形ノッチは、中心601Sを通って半径方向に延在する第1の中心線と、熱分配要素の外側円周エッジ面に接しかつ前記第1の中心線と直交する第2の中心線とを有する。各半円形ノッジの半径は約0.7cm(0.28インチ)である。
【0065】
ノッチ601c1及び601d2の第1の中心線の各々は、中心線602との間で角度βをなす。ノッチ601c2及び60d1の第1の中心線は、中心線602との間で角度β/2をなす。ノッチ601b2及び601e1の第1の中心線は、中心線602との間で角度1.5×βをなす。この実施例では角度βは45度である。
【0066】
ギャップ506a及び506bの各々に沿った直線はサセプタ500の中心601Sで交差し、この実施例では、各ギャップはおよそ0.25cm(0.1インチ)の幅を有する。ギャップ506aは、各々が熱分配要素の外側円周エッジ面から内側円周エッジ面まで延在する熱分配要素503aと503fの隣接するエッジ面によって形成されている。ギャップ506bは、各々が熱分配要素の外側円周エッジ面から内側円周エッジ面まで延在する熱分配要素503aと503bとの隣接するエッジ面によって形成されている。ギャップ506cは、各々が熱分配要素の外側円周エッジ面から内側円周エッジ面まで延在する熱分配要素503bと503cとの隣接するエッジ面によって形成されている。ギャップ506dは、熱分配要素の外側円周エッジ面から内側円周エッジ面まで延在する熱分配要素503cと503dとの隣接するエッジ面によって形成されている。ギャップ506eは、各々が熱分配要素の外側円周エッジ面から内側円周エッジ面まで延在する熱分配要素503dと503eとの隣接するエッジ面によって形成されている。ギャップ506fは、各々が熱分配要素の外側円周エッジから内側円周エッジ面まで延在する熱分配要素503eと503fとの隣接するエッジとによって形成されている。
【0067】
隣接する2つのギャップの中心線は角度αを画定する。この実施例では角度αは60度となっている。熱分配要素の数が増加若しくは減少された場合、角度αの熱分配要素の数に応じて変わることは当業者には容易に理解される。更に、この実施例では熱分配要素503aから503fは、サセプタの中心線に関して対応する熱分配要素と互いに線対称の形状を有するが、他の実施例では、第1の層501の様々の構成要素は、その製造及び使用が容易となるような任意の形状を有するものであってよい。構成要素の寸法を選択する重要な条件は、そり、曲がり、亀裂を最小にするような寸法を選択するということである。
【0068】
図21は、基板包囲リング540のより詳細な上面である。図22は、切欠き部分750を通して眺めた基板包囲リング540の端面図である。図23は基板支持ピン孔を含む基板包囲リング540のエッジ部分の拡大図である。この実施例では、基板包囲リング540はシリコンカーバイドから形成されている。
【0069】
図21では、基板包囲リング540は中心701Cを有する。基板包囲リング540が面502の上に配置された時、中心501Cは中心601Cと重なる。基板包囲リング540の内側円周エッジ面542は、約28.83cm(11.35インチ)の直径を有する。リム部545の内側円周エッジ面543によって確定される円形部分は、約30.35cm(11.95インチ)の直径を有し、R1は約1.52cm(0.60インチ)となる。
【0070】
エピタキシャルプロセスにおいて、リム部545の内側円周エッジ面543の位置が重要である。基板とエッジ面543(壁543)との間のギャップが大きい場合、スリップが発生する。従って、壁543は基板のエッジ部分からの熱の損失を最小にするように基板のエッジ部分にできる限り近づけて配置される。特に、壁543の直径は、できる限り基板の直径に近い値に設定され、しかし基板が基板包囲リング540内に置かれた時、基板は壁543に触れずに棚部544にその全体が配置されなければならない。基板のエッジ部分と壁543との間のギャップは約0.13cm(0.05インチ)から0.51cm(0.2インチ)の範囲にあり、ある実施例では約0.16cm(0.0625インチ)となっている。同様に、棚部544の幅R1は、基板包囲リング540と基板包囲リングインサート550との間の境界面の効果を最小にするように設定することができる。内側円周エッジ面542は、図23に示された角度β1によって画定されるように面取りされている。この実施例では角度β1は約15度となっている。
【0071】
基板ピン支持孔548A〜548Dはリム部545の中心線に沿って配置されている。基板支持ピン孔548A及び548Dは、基板包囲リング540の中心線702から距離d1だけ離れたところに形成されている。この実施例では距離d1は約13.97cm(5.5インチ)となっている。基板包囲リング540の一方の端部751からもう一方の端部752までの開孔部750は、約5.18cm(2.04インチ)の距離を有する。即ち、基板包囲リング540は、ギャップ750だけ隔てられた第1の端部751と第2の端部752とを有し、この基板包囲リング540は文字Cと類似した形状を有する。基板支持ピン孔540Aから540Dは中心線703からおよそ距離d4だけ離れた位置に設けられている。距離d4はこの実施例では約8.13cm(3.2インチ)となっている。
【0072】
基板支持ピン孔548Bと548Cは、中心線702から距離d2だけ離れかつ中心線703から距離d3だけ離れた位置に設けられている。この実施例では距離d2は7.62cm(3.0インチ)であり、距離d3は13.4cm(5.63インチ)である。外円周エッジ面541の直径は約33.78cm(13.3インチ)となっている。外円周エッジ面541における包囲リングの厚みは、約0.64cm(0.25インチ)であり、内側円周エッジ面542での基板包囲リングの厚みは約0.51cm(0.20インチ)となっている。即ち、棚部542はリム部545の頭部から約0.13cm(0.05インチ)の深さを有し、即ち、壁543の高さは図22の垂直な破線によって表されているように約0.13cm(0.05インチ)となっている。
【0073】
図23は基板包囲リング540の基板支持ピン孔を表すための切欠き図である。基板支持ピン孔は基板包囲リングのリム部545を貫通し、約20度の角度のβ2を画定するように面取りされている。
【0074】
図24は基板包囲リング540とはめ合わされる基板包囲リングインサートの550の上面図である。図25は、基板包囲リングインサート550の側面図であり、図26は基板包囲リングインサート550の突出部850の切欠き図である。
【0075】
基板包囲リングインサート550はその上側面801での外側円周部の直径が28.7cm(11.3インチ)であり、その下側面802での外側円周の直径が28.96cm(11.4インチ)であり、これによって基板包囲リング540の面取りされた内側円周エッジ面542とはめ合わされる。この実施例では、基板包囲リングインサート550は厚さ0.51cm(0.2インチ)のシリコンカーバイドから形成されている。
【0076】
突出部850は、基板包囲リング540の棚部544とリム部545と類似の形状を有するその中心線803に沿った棚部及びリム部を有し、かつ基板包囲リング540ギャップ750を補完する。図26は、基板包囲リングインサート550を所定の位置に保持するように表面502のリップ部とはめ合わされる基板包囲リングインサート550のリム部845に設けられた溝を表している。
【0077】
図27は、サセプタ500の第2の層502の上面図である。図28は第2の層502の側面図である。図29は基板支持ピン孔948Aから948Dの側断面図である。図30はコラム512から513を受容する第2の層502の下側面911に設けられた凹部949Aから949Cを表す側断面図である。
【0078】
この実施例では、第2の層502は透明な(clear)水晶から形成されており、上側面910及び下側面911は炎洗浄されている。外側円周エッジ面912はビードブラストされている。他の実施例では、基板包囲リングの下の部分がビードブラストされていてもよい。この実施例では、層502は約50.8cm(20インチ)の直径と、1.11cm(0.437インチ)の厚さを有する。基板支持ピン孔948Aから948Dの位置は、図32に示された基板支持ピン孔1148Aから1148Dに各々等しい。しかし、第2の層502が複数の第1の層501と共に用いられる場合、第2の層502に取り付けられた各基板包囲リングに対する複数の基板支持ピン孔を有する。
【0079】
12個のピン505A1から505F2の各々を通る中心線914は、この実施例では50.17cm(19.75インチ)の直径を有し、基板支持ピン505A1から505F2は外側円周エッジ面912に隣接して設けられている。各々の基板支持ピンは約0.36cm(0.14インチ)の高さと約0.61cm(0.24インチ)の直径を有する。
【0080】
第2の層502は基板包囲リングインサート550のリム部845の下側面の対応する凹部と係合するように配置された上側面910のリップ部951を有する。リップ部951は中心線902と直交して設けられておりかつ中心線902に関して線対称に設けられている。リップ部951は中心線902に沿って中心線903から距離d9だけ離れて配置されており、この実施例では距離d9は約21.59cm(8.5インチ)となっている。
【0081】
図29は、基板支持ピン孔948Aから948Bの各々の側断面図を表している。各々の基板支持ピン孔は下側面911において直径d91を有し、上側面910において直径d92を有する。上側面910における基板支持ピン孔の開孔は下側面911に向かうに従って先細りとなっており、下側面911における開孔は、上側面910から距離d93だけ離れた位置まで直径d91を有する。サセプタ500が処理位置にある時、基板支持ピンの対応する先細りの形状を有する部分との間で気密状態が形成されるように、この先細りの形状は正確に選択されている。この実施例では、距離d91は約0.51cm(0.2インチ)であり、距離d92は約0.76cm(0.3インチ)であり、距離d93は約0.58cm(0.23インチ)であり、角度β9は約30度である。この実施例では、第2の層502は約11.2cm(0.44インチ)の厚さを有し、リップ部951は0.02cm(0.08インチ)の高さを有する。図30では、凹部949は0.32cm(0.125インチ)の深さを有する。
【0082】
基板支持ピン1000(図31)は、基板支持ピン520Aから520Dの各々を表したものである。基板支持ピン1000は、その全長が8.26cm(3.25インチ)であり、その直径は、面取りされた部分以外は0.32cm(0.125インチ)である。円筒形部分1002に続いて第1の面取りされた部分1003が設けられており、この面取りされた部分1003は円筒形部分1002から離れるに従ってその直径が増加している。第1の面取りされた部分1003の次に設けられた第2の面取りされた部分1004は、第1の面取りされた部分から離れるに従ってその直径が減少しその端部において円筒形部分1002と等しい直径を有する。
【0083】
図32は、カバー516の上面図である。この実施例では、カバー516は炎洗浄された透明な水晶からなり、厚さ0.33cm(0.131インチ)及び直径50.8cm(20インチ)である。開孔1101Aから1101Cは直径1.91cm(0.75インチ)であり、基板支持ピン孔1148Aから1148Dは直径0.97cm(0.38インチ)である。距離d1及びd2は、図2に示された対応する距離と等しい。その他の距離はこの実施例では以下の表に表された値となっている。
【0084】
【表1】
Figure 0003962111
【0085】
図33は、グラファイトでコーティングされたシリコンカーバイドから形成された受動熱分配器515のより詳細な図である。受動熱分配器515は、コラム512から514に対する開孔部を備えていること以外は熱分配要素と類似の形状を有する。受動熱分配器515の開孔の位置は、カバー516に設けられた開孔の位置と等しい。円形の開孔部分は直径21.21cm(11.5インチ)を有する。角度及びギャップの寸法は、図20の熱分配要素の角度及びギャップの寸法と等しく、熱分配要素に関する説明をここで引用する。
【0086】
図34及び図35は、各々、ペデスタルプレート511の上面図と側面図である。コラム512から514は直径1.60cm(0.63インチ)と高さ0.24cm(0.88インチ)である。基板支持ピン孔1348Aから1348Dは、他の構成要素に関して説明された位置と等しい位置に設けられている。ペデスタルプレート511の上側面1301に設けられたピンは、第2の層502の外側円周部分に設けられたピンと等しい位置に設けられており、かつ等しい寸法を有する。ペデスタルプレート511は炎洗浄された不透明な(opaque)水晶からなる。
【0087】
図36及び図37は各々ペデスタルシャフト510の上面図と側面図である。上側部分1401は不透明な水晶から構成されており、一方底部1402は透明な水晶から構成されている。
【0088】
熱分配要素及び基板包囲リングを備えたサセプタ500は1枚の大型の基板用として構成されている。サセプタ500は固定されているかまたは回転するように設けられている。同様に、サセプタ500は一枚の基板ではなく複数の基板を支持するように変形される。図38では、サセプタ1500は、複数の基板用に用いられること以外はサセプ500と等しい基本理念を用いている。
【0089】
図38には示されていないが、サセプタ1500は2つの層を有する。第1の層1501は、複数の基板包囲リングと、複数の基板包囲リングの各々に対する基板包囲リングインサートと、複数の熱分配要素1503Aから1503Eを含む複数の構成要素から形成されている。第1の層1501を形成する複数の構成要素は、第2の層1502によって支持されている。各々の基板包囲リング及び基板包囲リングインサートは、より小さい寸法の基板を支持するように適した寸法を備えていること以外は上述された対応する基板包囲リング及び基板包囲リングインサートと等しく、これらの構成要素に関する説明はここでは省略する。
【0090】
第1の層1501を形成する複数の構成要素は、グラファイト及びシリコンカーバイドと同様の熱伝達特性及び放射率を有する材料から形成されている。この第1の層1501の働きは、第1の層1501によって支持されている基板内の温度勾配を最小にすること、及び基板を取り囲む空間の温度を概ね均一に保持して反応ガスがサセプタ1500によって形成された温度差の影響を受けないようにすることである。同様に、第2の層1502を形成する材料は、吸収される熱エネルギーが最小となるよう、即ちこの第2の層1502がRTP反応炉の熱源からの熱をほとんど吸収することなくこの熱を伝達するような材料が選択されている。従って、第2の層1502は、実質的な熱的質量を有しないが、この第2の層1502によって第1の層1501に対する剛性及び支持が提供される。
【0091】
第1の層1501と第2の層1502とを組み合わせて用いることにより、第1の層1501による低い熱的質量と、基板の近傍の概ね均一な温度分布と、複数の基板を支持するための安定性及び剛性とを備えたサセプタ1500が達成される。更に、第1の層1501の各構成要素は均一な熱的質量の特性を有し、構成要素毎の熱的質量の差によって生ずる温度勾配が発生しないので、薄型の内側プレートとより質量の大きい外側リム部とを備えた一枚の基板のみを支持する従来技術のRTP反応炉で用いられるサセプタに関する問題点は生じない。
【0092】
この実施例では、サセプタ1500は約36.2cm(14.25インチ)の直径を有する。熱分配要素1503Aから1503Cは、複数の円筒形ピン1505A1から1505C2によって所定の位置に保持されており、例えば、熱分配要素1503Aは円筒形ピン1505A1に対応する第1の半円形ノッチと円筒形ピン1505Aに対応する第2の半円形ノッチとを有する。円筒形ピン1505A1から1505C2は、第2の層1502の上側面1502Aの周縁部分に設けられている。各熱分配要素1503Aから1503Cは対応する円筒形ピンと嵌合される外側円形エッジ面に設けられた半円形ノッチを有する。熱分配要素1503Dは、上述された3つの熱分配要素とは異なり、4個のコラムを備えたペデスタルプレートのポストの頭部に嵌合される。
【0093】
図38では、小さいギャップ1506Aから1506Cが各々、熱分配要素1503Aから1503Cの隣接するエッジ面の間に描かれている。基板包囲リング1540Aから1540Cの外側円周エッジ面1541A〜1541Cの各々と、熱分配要素1503A〜1503Cの隣接する内側円周エッジ面との間の小型のギャップ1507A〜1507Cもまた図示されている。更に、熱分配要素1503Dの外側エッジ面と、基板包囲リング1540A〜1540Cの外側円周エッジ面との間の小型のギャップが形成されている。これらのギャップの寸法は動作温度に於て熱分配要素が互いに接触し、かつ基板包囲リング1540Cと接触するように決定されている。従って、動作温度では、熱分配要素1503Aから1500Dは、複数の基板に対して加熱された表面を形成し、これら複数の基板の上で加熱された反応ガスの流れが適切に保持される。
【0094】
図39は、本発明の実施例に用いるペデスタルプレート及びペデスタルシャフトを表す図である。図は、第2の層1502を貫通して延在し、その上に熱分配要素1503Dが取り付けられたコラム1601を表している。この特別なコラムが、基板の枚数を変えた場合について、及び基板包囲リングの関連する特徴について考慮されたとき、サセプタ500のための上述された他の構成要素は、図38に例示された構成の観点から、サセプタ1500に用いるために当業者によって容易に変更される。従って、様々な構成要素についての説明は省略される。
【0095】
本発明の上述された実施例では、基板包囲リングはその上に基板が配置される棚部を有し、基板包囲リングインサートは基板包囲リングの棚部と等しい高さの平坦面を有する。他の実施例では、基板を取り囲むリム部が基板包囲リングインサートに配置されていること以外には、同様の構成が用いられる。図40は、本発明のこの実施例の上面図である。
【0096】
図40において、基板包囲リング1740と、基板包囲リングインサート1750と、基板包囲リングギャップインサート1760のみが描かれかている。これらの構成要素は、サセプタ500Aを構成するために、例えば、基板包囲リング540と、サセプタ500の上の基板包囲リングインサート550との代わりに用いられている。基板支持ピンの本数の変更によるこの変更及び変形は、サセプタ500のその他の特徴を変更せずに保持する。同様に、図40に例示された構成要素はサセプタ1500に用いることができる。従って、図40に例示されたこれら3つの構成要素のみについてその特徴を以下により詳しく説明する。
【0097】
基板包囲リング740は、切欠き部分を有する円環状の形状を有し、図40に表されているようにC型の形状を有する。基板包囲リング1740は、その内側円周エッジ面1742に設けられた複数のリフトタブ1745Aから1745Cを有する。各リフトタブはこの実施例では概ね長方形の形状を有する。各リフトタブは上面に沿って除去された部分を有し、内側円周エッジ面1742から除去されたリフトタブの端部に棚部を形成する。更に、上述されたように、内側円周エッジ面1742及び各リフトタブの垂直エッジ面は面取りされており、基板包囲リングインサート1750の対応する面取りされた外側円周エッジ面とはめあわされる。
【0098】
以下により詳しく説明されるように、基板包囲リングインサート1750はほぼ円形の形状を有し、かつリフトタブ1745Aから1745Cの棚部の高さとほぼ等しい高さを有し、基板の裏側面が、基板包囲リングインサート1750の上側面と各リフトタブ1745Aから1745Cとに接する。
【0099】
基板包囲リングインサート1750は、外側円周エッジ面に設けられた複数のノッチを有し、各ノッチは基板包囲リング1740の内側円周エッジ面1742の対応するリフトタブとはめ合わされる。更に、基板包囲リング1750はリム部1751を有し、このリム部1751は、その上側面1750Aの外側円周部分にノッチが設けられていること以外は、上側面1750Aの外側円周部において基板包囲リング1740と等しい高さを有する。
【0100】
基板包囲リングギャップインサート1760は、基板包囲リング1740の切欠き部分を補完し、サセプタ500Aが処理位置に配置されているとき、基板の外側円周エッジ面がリム部1751と基板包囲リング1740とによって形成されたリム部によって完全に取り囲まれる。
【0101】
基板包囲リング1740は、基板包囲リング1740を貫通して延在する複数の開孔1748Aから1748Cを有する。開孔1748Aから1748Cには上述されたように先細り部分が設けられており、基板支持ピンの第2の先細り部分とはめ合わされる。サセプタ500Aが処理位置から下降されたとき、先細り部分が設けられた端部とは反対側の基板支持ピンの端部がRTP反応炉の反応チャンバ内の表面に接触し、一方サセプタ500Aが引き続いて下降されたとき、基板支持ピンは基板包囲リング1745を制止した状態に留める。即ち、基板は基板包囲リング1740の複数のリフトタブによって支持されており、それにより基板がサセプタ500Aの上に保持されることになる。この位置では、基板取り扱い装置が基板の下側面の下に配置され、RTP反応炉から基板を取り除く。
【0102】
図41は、基板包囲リング1740のこの実施例のより詳細な図面である。上述されたように、基板包囲リングの本体部分は、切欠き部分1850を有する円環状部分1805からなる。円環状部分1805の内側円周エッジ面1742は面取りされており、内側円周エッジ面1742から円環状部分1805の中心1801Cへ向けて延出する複数のリフトタブを有する。この実施例では、円環状部分1805はリフトタブ1745Aから1745Cによって等しい寸法の3つの部分に区分けされる、即ちリフトタブは120度ごとに配置されている。更に、基板支持ピン孔が対応するリフトタブの中心線上の環状部分1805に形成されている。各リフトタブの上側面は円環上部分1805から最も離れた部分においてその一部が切欠き部分となっており、これによって棚部即ち棚部1845Aから1845Cが形成されており、これらの棚部は基板を支持する。図40は、リフトタブ1745Aの上側面に形成された棚部1845Aを備えた各リフトタブ1745Aの拡大図である。
【0103】
この実施例では、基板包囲リング1740は3個のリフトタブを有し、この3個のリフトタブは内側円周エッジ面に等間隔に設けられている。しかし、リフトタブの個数を変更することは可能であり、リフトタブの間隔は、任意の物理的な必要性及びプロセスの特性の必要性に応じて変更できる。即ち、本発明の実施例は本発明の基本理念を例示提示するものであり、この実施例の特別な特徴への本発明の限定を意図するものではない。
【0104】
図43は、基板包囲リングインサート1750のこの実施例のより詳細な図である。上述されたように、基板包囲リングインサート1750の本体は上側面1902の外側円周部に形成されたリム部1751と、面取りされた外側円周エッジ面1905に形成された複数のノッチ1945Aから1945Cとを有する平坦な円筒部からなる。面取りされた外側円周エッジ面1905は、ノッチ1945Aから1945Cによって3つの等しい寸法の部分に区分され、即ちノッチは120度の間隔で配置されている。各ノッチは、基板包囲リング1740のリフトタブがノッチ内に配置されたとき、即ちノッチがリフトタブとはめ合わされたとき、連続した基板支持面と基板包囲リム部とが形成されるように形成されている。
【0105】
図44は基板包囲リングインサート1750の外側円周エッジ面1905の拡大断面図であり、リム部1751と基板を支持する表面1802をより明瞭に表している。リフトタブの棚部は、表面1802と等しい高さを有し、リフトタブの上側面は、基板包囲リングインサート1750のノッチとはめ合わされてリム部1751を補完する。
【0106】
図45から図47は基板包囲リングギャップインサート1760の上面図と断面図とを各々表している。基板包囲リングギャップインサート1760の内側エッジ面2001は基板包囲リングインサート1750とはめ合わされるように面取りされている。同様に端部エッジ面2002及び2003は基板包囲リング1740の面取りされた端部とはめ合わされるように面取りされている。更に、基板包囲リングギャップインサート1760は、その裏側面にノッチ2005が形成されており、上述されたようにサセプタの第2の層のリップ部951と係合する。
【0107】
これまで2つの層からなるサセプタの様々な実施例が説明されてきた。これらの様々な実施例は本発明の基本理念を例示するためのものであり、これまで記載された特定の特徴及び寸法に本発明を限定することを意図するものではない。例えば、1つの基板包囲リング若しくは複数の基板包囲リングと、第1の層を形成する熱分配要素と、基板を支持するための第2の層とを備えた2つの層からなるサセプタを、固定された長方形若しくはその他の形状のサセプタとして用いることができる。
【0108】
【発明の効果】
本発明によれば、RTP反応炉においてスループットを向上させる熱的質量を有すると共に、1枚の大型の半導体ウェハ若しくは複数の半導体ウェハを支持することのできる安定性及び剛性を備えたサセプタが提供される。
【図面の簡単な説明】
【図1】 その内部にサセプタが配置された、水平型反応炉として知られている従来技術の反応炉の簡略化された断面図。
【図2】 その内部に反応炉を画定するベルジャーの内部にサセプタが懸架された、シリンダ型反応炉として知られている他の形式の従来技術の反応炉の簡略化された斜視図。
【図3】 その内部に垂直方向に関して固定されたサセプタが反応炉を画定するベルジャーの底部から支持された、縦型反応炉として知られている更に他の形式の従来の化学蒸着反応炉の簡略化された断面図。
【図4】 従来技術のサセプタを表す図。
【図5】 RTP炉において用いられる従来技術の他の形式のサセプタを表す図。
【図6】 RTP炉において用いられる更に他の形式の従来技術のサセプタを表す図。
【図7】 本発明のある実施例に基づくサセプタのポケット部内に配置されたウェハ包囲リング、スピンドル、及びウェハの平面図。
【図8】 本発明のある実施例に基づくサセプタのポケット部内に配置されたウェハ包囲リング、スピンドル、及びウェハの側面図。
【図9】 本発明の他の実施例に基づくサセプタのポケット部内に配置されたウェハ包囲リング、サセプタインサート、スピンドル、及びウェハの断面図。
【図10】 本発明の他の実施例に基づくサセプタのポケット部内に配置されたウェハ包囲リング、スピンドル、及びウェハの断面図。
【図11】 本発明の他の実施例に基づくサセプタのポケット部内に配置されたウェハ包囲リング、サセプタインサート、スピンドル、及びウェハの断面図。
【図12】 本発明の他の実施例に基づくサセプタのポケット部内に配置されたウェハ包囲リング、及びウェハの断面図。
【図13】 本発明の他の実施例に基づくサセプタのポケット部内に配置されたサセプタインサート、ウェハ包囲リング、及びウェハの断面図。
【図14】 本発明のある実施例に基づくウェハ包囲リングのC型部分の平面図。
【図15】 本発明のある実施例に基づくウェハ包囲リングのC型部分の側断面図。
【図16】 C型部分とはめ合い部分とを含む本発明の他の実施例に基づくウェハ包囲リングの平面図。
【図17】 処理位置における本発明の基本理念に基づく2つの層からなるRTP反応炉用サセプタを表す図。
【図18】 図17に例示されたサセプタの上面図。
【図19】 上昇位置における半導体基板及び半導体基板包囲リングを含む本発明の基本理念に基づく2つの層からなるRTP反応炉用サセプタを表す図。
【図20】 本発明に基づくサセプタの第1の層の複数の熱分配要素の上面図であり、本発明のこの実施例における各熱分配要素の形状及び相互の位置を例示する図。
【図21】 ウェハ包囲リングのある実施例をより詳細に表した上面図。
【図22】 切り欠き部分を通して眺めた図21のウェハ包囲リングの端面図。
【図23】 本発明の基本理念に基づく基板支持ピン孔を含む基板包囲リングのエッジ部分の拡大図。
【図24】 図21に例示されたウェハ包囲リングとはめ合わされるウェハ包囲リングインサートの上面図。
【図25】 図24に例示されたウェハ包囲リングインサートの側面図。
【図26】 図24及び図25に例示されたウェハ包囲リングインサートの突出部の断面図。
【図27】 本発明のサセプタの第2の層の上面図。
【図28】 図27に例示された第2の層の側面図。
【図29】 サセプタの第2の層の基板支持ピン孔の側断面図。
【図30】 サセプタの第2の層の円筒形凹部の側断面図。
【図31】 本発明の2つの層からなるサセプタに用いられる基板支持ピンを表す図。
【図32】 本発明の2つの層からなるサセプタのある実施例で用いられる受動熱分配器用のカバーの上面図。
【図33】 本発明の2つの層からなるサセプタのある実施例で用いられる受動熱分配器のより詳細な上面図。
【図34】 本発明の2つの層からなるサセプタのある実施例で用いられるペデスタルプレートの上面図。
【図35】 本発明の2つの層からなるサセプタのある実施例で用いられるペデスタルプレートの側面図。
【図36】 本発明の2つの層からなるサセプタのある実施例で用いられるペデスタルシャフトの上面図。
【図37】 本発明の2つの層からなるサセプタのある実施例で用いられるペデスタルプレートの側断面図。
【図38】 複数の半導体基板を支持する本発明の基本理念に基づく2つの層からなるサセプタの上面図。
【図39】 図38の2つの層からなるサセプタに用いられるために適したペデスタルプレートとペデスタルシャフトを表す図。
【図40】 本発明の2つの層からなるサセプタで用いられる基板包囲リング、基板包囲リングインサート、及び基板包囲リングギャップインサートのある実施例の上面図。
【図41】 図40に例示された基板包囲リングのより詳細な上面図。
【図42】 図40に例示された基板支持リングのリフトタブの拡大図。
【図43】 図40に例示された基板包囲リングインサートのより詳細な上面図。
【図44】 図40に例示された基板包囲リングインサートの拡大断面図。
【図45】 図40に例示された基板包囲リングギャップインサートの上面図。
【図46】 図40に例示された基板包囲リングギャップインサートの断面図。
【図47】 図40に例示された基板包囲リングギャップインサートの断面図。
【符号の説明】
100 従来技術の反応炉
101 サセプタ
101a サセプタ101の表面
102 水平な円筒部
103a、103b、103c 半導体ウェハ
104 熱源
105 反応ガス
110 従来の反応炉
111 サセプタ
111a サセプタ111の側面
112 ベルジャー
113 半導体ウェハ
114 熱源
115 吸気口
120 従来の真空蒸着反応炉
121 サセプタ
121a サセプタ121の表面
122 ベルジャー
123 半導体ウェハ
125 サセプタ支持部
213 サセプタ
214 半導体ウェハ
300 第1のサセプタ
304 凹部
302 上側面
303 側壁
310 第2のサセプタ
312 上側面
313 側壁
314 中空の環状部分
315 内側のリム部
316 外側のリム部
317 凹部
320 内側の側壁
400 サセプタ
401 ウェハ包囲リング
401a ウェハ404の上側面
401b ウェハ包囲リング401の厚み
401c ウェハ包囲リングの幅
401d ウェハ包囲リング401の環状上側面
401e ウェハ裏側接触面
401f ウェハエッジ接触面
402 スピンドル
402a ウェハ裏側接触面
403 サセプタ400のポケット
404 ウエハ
404a ウェハの上側面
417 サセプタインサート
421c ウェハ包囲リング421の内側エッジ面
421d ウェハ包囲リング421の外側エッジ面
422a スピンドル422の裏側接触面
437 サセプタインサート
441 ウェハ包囲リング
441a ウェハ裏側接触面
441b ウェハエッジ接触面
441c ウェハ包囲リング441の上側面
451 ウェハ包囲リング
451a ウェハ裏側接触面
451b ウェハエッジ接触面
451c ウェハ包囲リング451の上側面
457 サセプタインサート
495 ウェハ包囲リングのC型部分
495a〜495d 凹部
495e C型部分495のサセプタ接触面
495f ウェハ裏側接触面
495h 内側エッジ面
495g 上側面
495i 外側エッジ面
497 ウェハ包囲リング
498 C型部分
499 めあい部分
498a C型部分のリム部
498b C型部分のフロア部
499a はめあい部分のリム部
499b はめあい部分のフロア部
500 サセプタ
501 サセプタの第1の層
502 サセプタの第2の層
503A〜503F 熱分配要素
504 ウェハ包囲リング
505A1、505A2〜505F1、505F2 ピン
506A〜506F ギャップ
507 円周ギャップ
510 ペデスタルシャフト
510A ペデスタルシャフトの輪郭
511 ペデスタルプレート
512〜514 コラム
515 受動熱分配器
516 カバー
520A〜520D 基板支持ピン
530 基板
541 基板包囲リング504の外側円周エッジ面
542 内側円周エッジ面
543 リム部545の内側円周エッジ面
544 棚部
545 リム部
548A〜548D 孔
550 インサート
601 円形の切欠き部分
601A1、601B1〜601F1、601F2 熱分配要素のノッチ
601C 円形の切欠き部分601の中心
601S 熱分配要素全体の中心
750 基板包囲リング540の切欠き部分
751、752 基板包囲リング540の端部
850 基板包囲リングインサート550の突出部
845 基板包囲リングインサート550のリム部
801 基板包囲リングインサート550の上側面
802 基板包囲リングインサート550の下側面
910 第2の層502の上側面
911 第2の層502の下側面
912 外側円周エッジ面
914 中心線
948 基板支持ピン孔
948A〜948D 基板支持ピン孔
949 サセプタの第2の層の凹部
949A〜949C サセプタの第2の層の凹部
951 リップ部
1000 基板支持ピン
1002 基板支持ピンの本体部分
1003 基板支持ピンの面取りされた部分
1004 基板支持ピンの面取りされた部分
1101A〜1001C 開孔
1148A〜1148D 基板支持ピン孔
1301 ペデスタルプレート511の上側面
1348A〜1348D 基板支持ピン孔
1401 ペデスタルシャフト510の上側部分
1402 ペデスタルシャフト510の下側部分
1503A〜1503D 熱分配要素
1505A1、1505A2〜1505C1、1505C2 円筒形ピン
1506A〜1506C ギャップ
1507A〜1507C ギャップ
1540A〜1504C 基板包囲リング
1541A〜1541C 基板包囲リングの外側円周エッジ面
1601 コラム
1740 ウェハ包囲リング
1742 基板包囲リングの内側円周エッジ面
1745A〜1745C リフトタブ
1748A〜1748C 開孔
1750 ウェハ包囲リングインサート
1751 ウェハ包囲リングインサート1750のリム部
1751A ウェハ包囲リング1750の上側面
1760 ウェハ包囲リングギャップインサート
1801C 円環状部分1805の中心
1802 表面
1805 円環状部分
1845A〜1845C 棚部
1850 切欠き部分
1902 ウェハ包囲リングインサート1750の上側面
1905 ウェハ包囲リングインサート1750の外側円周エッジ面
1945A〜1945C ノッチ
2001 基板包囲リングギャップインサート1760の内側エッジ面
2002 基板包囲リングギャップインサート1760の端部エッジ面
2003 基板包囲リングギャップインサート1760の端部エッジ面
2005 ノッチ

Claims (15)

  1. RTP炉のサセプタであって、
    基板包囲リングと、前記基板包囲リングの周りに隣接して配置された複数の熱分配要素とからなる複数の構成要素を有する第1の層と、
    第1の表面と前記第1の表面の反対側の第2の表面とを有する第2の層とを有し、
    前記第1の層の前記複数の構成要素が、前記第2の層が前記第1の層を支持するように前記第2の層の前記第1の表面の上に配置され、
    第1のギャップが前記熱分配要素の隣接するエッジ面の間に形成され、第2のギャップが前記熱分配要素のエッジ面と前記基板包囲リングの外側円周エッジ面との間に形成されるように、前記複数の熱分配要素が前記基板包囲リングの周りに前記包囲リングと隣接して配置され、これら第1及び第2のギャップの寸法は、動作温度において前記熱分配要素が互いに接触し、かつ前記基板包囲リングと接触するように設定されていることを特徴とするRTP炉のサセプタ。
  2. 前記第2の層が、前記第1の層と比較して熱的質量が小さいことにより、前記サセプタの熱的質量が前記第1の層の特性のみによって決定されることを特徴とする請求項1に記載のサセプタ。
  3. 前記複数の構成要素が、前記基板包囲リングの内側円周エッジ面に隣接する円周エッジ面を備えた基板包囲リングインサートを更に有することを特徴とする請求項1に記載のサセプタ。
  4. 前記基板包囲リングインサートを変位させずに前記基板包囲リングが前記基板包囲リングインサートから持ち上げられるように、前記基板包囲リングインサートの前記円周エッジ面と前記基板包囲リングの前記内側円周エッジ面とが面取りされたことを特徴とする請求項3に記載のサセプタ。
  5. 前記基板包囲リングが、前記基板包囲リングに配置された基板を支持するための、前記基板包囲リングの前記内側円周エッジ面から前記基板包囲リングの外側円周エッジ面に向けて所定の長さに亘って延在するように前記内側円周エッジ面の周りに設けられた棚部を更に有することを特徴とする請求項1に記載のサセプタ。
  6. 前記基板包囲リングが、前記基板包囲リングの前記外側円周エッジ面に沿ったリム部を更に有し、
    前記リム部の内側円周エッジ面と前記棚部との交差部分が前記棚部の外側エッジを画定し、前記リム部の前記内側円周エッジ面が前記棚部から前記リム部の頭部まで延在する壁を形成することを特徴とする請求項5に記載のサセプタ。
  7. 前記基板包囲リングがギャップによって互いに隔てられた第1の端部と第2の端部とを含み、前記基板包囲リングがC字形をなすことを特徴とする請求項1に記載のサセプタ。
  8. 前記複数の構成要素が前記基板包囲リングの内側円周エッジ面に隣接した円周エッジ面を備えた基板包囲リングインサートを更に有することを特徴とする請求項7に記載のサセプタ。
  9. 前記基板包囲リングインサートを変位させずに前記基板包囲リングが前記基板包囲リングインサートから持ち上げられるように、前記基板包囲リングインサートの円周エッジ面と前記基板包囲リングの前記内側円周エッジ面とが面取りされたことを特徴とする請求項8に記載のサセプタ。
  10. 前記基板包囲リングが、前記基板包囲リング内に配置された基板を支持するための、前記基板包囲リングの前記内側円周エッジ面から前記基板包囲リングの外側円周エッジ面に向かって所定の距離に亘って延在し前記基板包囲リングの前記内側円周エッジ面に沿って設けられた棚部を更に有することを特徴とする請求項7に記載のサセプタ。
  11. 前記基板包囲リングが、前記基板包囲リングの前記外側円周エッジ面に沿って設けられたリム部を更に有し、
    前記リム部の内側円周エッジ面と前記棚部との交差部分が前記棚部の外側エッジを画定し、前記内側円周エッジ面が前記棚部から前記リム部の頭部まで延在する壁を画定することを特徴とする請求項10に記載のサセプタ。
  12. 前記基板包囲リングインサートが、棚部と、前記基板包囲リングの前記ギャップ内に嵌合されるリム部とを備えた突出部を更に有することを特徴とする請求項11に記載のサセプタ。
  13. 前記基板包囲リングが、前記基板包囲リングの前記内側円周エッジ面から延出する複数のリフトタブを更に有することを特徴とする請求項1に記載のサセプタ。
  14. 前記複数の熱分配要素の各々が外側円周エッジ面に設けられたノッチを有することを特徴とする請求項1に記載のサセプタ。
  15. 前記第2の層が、前記第2の層の表面から延出しかつ前記第2の層の外側円周エッジ面に隣接して配置された複数のピンを更に有し、
    前記熱分配要素の前記ノッチが前記複数のピンの対応するピンと嵌合されることを特徴とする請求項14に記載のサセプタ。
JP15755396A 1995-05-30 1996-05-29 Rtp炉のサセプタ Expired - Fee Related JP3962111B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/453,419 US5580388A (en) 1993-01-21 1995-05-30 Multi-layer susceptor for rapid thermal process reactors
US08/453,419 1995-05-30

Publications (2)

Publication Number Publication Date
JPH09129714A JPH09129714A (ja) 1997-05-16
JP3962111B2 true JP3962111B2 (ja) 2007-08-22

Family

ID=23800504

Family Applications (1)

Application Number Title Priority Date Filing Date
JP15755396A Expired - Fee Related JP3962111B2 (ja) 1995-05-30 1996-05-29 Rtp炉のサセプタ

Country Status (4)

Country Link
US (1) US5580388A (ja)
EP (1) EP0746009B1 (ja)
JP (1) JP3962111B2 (ja)
DE (1) DE69625545T2 (ja)

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5820686A (en) * 1993-01-21 1998-10-13 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
JP2701767B2 (ja) * 1995-01-27 1998-01-21 日本電気株式会社 気相成長装置
JP3420655B2 (ja) * 1995-05-23 2003-06-30 株式会社アドバンテスト Icテスタ用ハンドラの恒温槽
US5881208A (en) * 1995-12-20 1999-03-09 Sematech, Inc. Heater and temperature sensor array for rapid thermal processing thermal core
US5863843A (en) * 1996-07-31 1999-01-26 Lucent Technologies Inc. Wafer holder for thermal processing apparatus
US6110289A (en) * 1997-02-25 2000-08-29 Moore Epitaxial, Inc. Rapid thermal processing barrel reactor for processing substrates
US6214122B1 (en) * 1997-03-17 2001-04-10 Motorola, Inc. Rapid thermal processing susceptor
US6293749B1 (en) * 1997-11-21 2001-09-25 Asm America, Inc. Substrate transfer system for semiconductor processing equipment
US6118100A (en) * 1997-11-26 2000-09-12 Mattson Technology, Inc. Susceptor hold-down mechanism
US6592661B1 (en) 1998-02-25 2003-07-15 Micron Technology, Inc. Method for processing wafers in a semiconductor fabrication system
US6348679B1 (en) * 1998-03-17 2002-02-19 Ameritherm, Inc. RF active compositions for use in adhesion, bonding and coating
US6188044B1 (en) 1998-04-27 2001-02-13 Cvc Products, Inc. High-performance energy transfer system and method for thermal processing applications
DE19821007A1 (de) * 1998-05-11 1999-11-25 Steag Rtp Systems Gmbh Verfahren und Vorrichtung zum thermischen Behandeln von Substraten
US6303411B1 (en) 1999-05-03 2001-10-16 Vortek Industries Ltd. Spatially resolved temperature measurement and irradiance control
US6169244B1 (en) 1999-05-21 2001-01-02 Moore Epitaxial, Inc. Thermocouple sheath cover
US6799603B1 (en) 1999-09-20 2004-10-05 Moore Epitaxial, Inc. Gas flow controller system
US6475284B1 (en) 1999-09-20 2002-11-05 Moore Epitaxial, Inc. Gas dispersion head
US6328221B1 (en) 2000-02-09 2001-12-11 Moore Epitaxial, Inc. Method for controlling a gas injector in a semiconductor processing reactor
US6347749B1 (en) 2000-02-09 2002-02-19 Moore Epitaxial, Inc. Semiconductor processing reactor controllable gas jet assembly
US6537011B1 (en) * 2000-03-10 2003-03-25 Applied Materials, Inc. Method and apparatus for transferring and supporting a substrate
EP1184894B1 (en) * 2000-08-29 2007-11-21 Qimonda Dresden GmbH & Co. oHG Method of operating a susceptor for semiconductor wafers
DE10055033A1 (de) * 2000-11-07 2002-05-08 Aixtron Ag CVD-Reaktor mit grafitschaum-isoliertem, rohrförmigen Suszeptor
WO2002095795A2 (de) * 2001-05-18 2002-11-28 Mattson Thermal Products Gmbh Vorrichtung zur aufnahme von scheibenförmigen objekten
US6592675B2 (en) * 2001-08-09 2003-07-15 Moore Epitaxial, Inc. Rotating susceptor
US20030114016A1 (en) * 2001-12-18 2003-06-19 Tischler Michael A. Wafer carrier for semiconductor process tool
US20030160044A1 (en) 2002-02-25 2003-08-28 Besmann Theodore M. High efficiency, oxidation resistant radio frequency susceptor
US7122844B2 (en) * 2002-05-13 2006-10-17 Cree, Inc. Susceptor for MOCVD reactor
DE10393962B4 (de) 2002-12-20 2019-03-14 Mattson Technology Inc. Verfahren und Vorrichtung zum Stützen eines Werkstücks und zur Wärmebehandlung des Werkstücks
US8366830B2 (en) * 2003-03-04 2013-02-05 Cree, Inc. Susceptor apparatus for inverted type MOCVD reactor
US7077973B2 (en) * 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
US7128806B2 (en) * 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
US20050133166A1 (en) * 2003-12-19 2005-06-23 Applied Materials, Inc. Tuned potential pedestal for mask etch processing apparatus
US20050133158A1 (en) * 2003-12-19 2005-06-23 Applied Materials, Inc. Mask handler apparatus
JP4348542B2 (ja) * 2004-08-24 2009-10-21 信越半導体株式会社 石英治具及び半導体製造装置
US7238623B2 (en) 2004-10-06 2007-07-03 Texas Instruments Incorporated Versatile system for self-aligning deposition equipment
US7794667B2 (en) * 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
WO2008058397A1 (en) 2006-11-15 2008-05-22 Mattson Technology Canada, Inc. Systems and methods for supporting a workpiece during heat-treating
US8610033B1 (en) 2007-03-29 2013-12-17 Moore Epitaxial, Inc. Rapid thermal process reactor utilizing a low profile dome
JP4436893B2 (ja) * 2007-05-16 2010-03-24 キヤノンアネルバ株式会社 加熱処理装置
US20080314319A1 (en) * 2007-06-19 2008-12-25 Memc Electronic Materials, Inc. Susceptor for improving throughput and reducing wafer damage
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
US8404049B2 (en) * 2007-12-27 2013-03-26 Memc Electronic Materials, Inc. Epitaxial barrel susceptor having improved thickness uniformity
CN102089873A (zh) 2008-05-16 2011-06-08 加拿大马特森技术有限公司 工件破损防止方法及设备
US20100098519A1 (en) * 2008-10-17 2010-04-22 Memc Electronic Materials, Inc. Support for a semiconductor wafer in a high temperature environment
KR101021372B1 (ko) 2008-12-29 2011-03-14 주식회사 케이씨텍 원자층 증착장치
JP5359698B2 (ja) * 2009-08-31 2013-12-04 豊田合成株式会社 化合物半導体の製造装置、化合物半導体の製造方法及び化合物半導体
KR20110136583A (ko) * 2010-06-15 2011-12-21 삼성엘이디 주식회사 서셉터 및 이를 구비하는 화학 기상 증착 장치
US9890455B2 (en) * 2010-10-29 2018-02-13 Applied Materials, Inc. Pre-heat ring designs to increase deposition uniformity and substrate throughput
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
DE102011007682A1 (de) * 2011-04-19 2012-10-25 Siltronic Ag Suszeptor zum Abstützen einer Halbleiterscheibe und Verfahren zum Abscheiden einer Schicht auf einer Vorderseite einer Halbleiterscheibe
JP5915026B2 (ja) * 2011-08-26 2016-05-11 住友大阪セメント株式会社 温度測定用板状体及びそれを備えた温度測定装置
WO2013099063A1 (ja) * 2011-12-27 2013-07-04 キヤノンアネルバ株式会社 基板熱処理装置
US9835388B2 (en) 2012-01-06 2017-12-05 Novellus Systems, Inc. Systems for uniform heat transfer including adaptive portions
CN103077917A (zh) * 2012-06-29 2013-05-01 光达光电设备科技(嘉兴)有限公司 衬底支撑座及应用所述衬底支撑座的半导体处理设备
WO2015033740A1 (ja) * 2013-09-05 2015-03-12 富士電機株式会社 炭化珪素半導体素子および炭化珪素半導体素子の製造方法
ITCO20130041A1 (it) * 2013-09-27 2015-03-28 Lpe Spa Suscettore con elemento di supporto
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
CN107636211B (zh) * 2015-05-27 2021-07-09 应用材料公司 用于高生长速率外延腔室的热屏蔽环
DE102015113962A1 (de) * 2015-08-24 2017-03-02 Meyer Burger (Germany) Ag Substratbehandlungsvorrichtung
CN108140606B (zh) * 2015-10-21 2022-05-24 住友大阪水泥股份有限公司 静电卡盘装置
JP6976725B2 (ja) 2016-06-07 2021-12-08 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated ウエハ均一性のための輪郭ポケット及びハイブリッドサセプタ
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
JP7336369B2 (ja) * 2019-11-25 2023-08-31 株式会社Screenホールディングス 基板支持装置、熱処理装置、基板支持方法、熱処理方法
US11581213B2 (en) 2020-09-23 2023-02-14 Applied Materials, Inc. Susceptor wafer chucks for bowed wafers
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
US20220157572A1 (en) * 2020-11-18 2022-05-19 Applied Materials, Inc. Deposition ring for thin substrate handling via edge clamping
CN215757604U (zh) * 2021-01-25 2022-02-08 苏州晶湛半导体有限公司 石墨盘

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3862397A (en) * 1972-03-24 1975-01-21 Applied Materials Tech Cool wall radiantly heated reactor
US3783822A (en) * 1972-05-10 1974-01-08 J Wollam Apparatus for use in deposition of films from a vapor phase
US3836751A (en) * 1973-07-26 1974-09-17 Applied Materials Inc Temperature controlled profiling heater
US3916822A (en) * 1974-04-26 1975-11-04 Bell Telephone Labor Inc Chemical vapor deposition reactor
US4047496A (en) * 1974-05-31 1977-09-13 Applied Materials, Inc. Epitaxial radiation heated reactor
US4081313A (en) * 1975-01-24 1978-03-28 Applied Materials, Inc. Process for preparing semiconductor wafers with substantially no crystallographic slip
SE7710800L (sv) * 1976-10-05 1978-04-06 Western Electric Co Forfarande for astadkommande av ett epitaxiellt skikt pa ett substrat
US4101759A (en) * 1976-10-26 1978-07-18 General Electric Company Semiconductor body heater
US4497683A (en) * 1982-05-03 1985-02-05 At&T Bell Laboratories Process for producing dielectrically isolated silicon devices
JPS59928A (ja) * 1982-06-25 1984-01-06 Ushio Inc 光加熱装置
US4545327A (en) * 1982-08-27 1985-10-08 Anicon, Inc. Chemical vapor deposition apparatus
JPS5959876A (ja) * 1982-09-30 1984-04-05 Ushio Inc 光照射炉の運転方法
JPS5977289A (ja) * 1982-10-26 1984-05-02 ウシオ電機株式会社 光照射炉
US4511788A (en) * 1983-02-09 1985-04-16 Ushio Denki Kabushiki Kaisha Light-radiant heating furnace
GB2136937A (en) * 1983-03-18 1984-09-26 Philips Electronic Associated A furnace for rapidly heating semiconductor bodies
US4649261A (en) * 1984-02-28 1987-03-10 Tamarack Scientific Co., Inc. Apparatus for heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
US4560420A (en) * 1984-06-13 1985-12-24 At&T Technologies, Inc. Method for reducing temperature variations across a semiconductor wafer during heating
US4680451A (en) * 1985-07-29 1987-07-14 A. G. Associates Apparatus using high intensity CW lamps for improved heat treating of semiconductor wafers
US4789771A (en) * 1985-10-07 1988-12-06 Epsilon Limited Partnership Method and apparatus for substrate heating in an axially symmetric epitaxial deposition apparatus
US4798165A (en) * 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
US4796562A (en) * 1985-12-03 1989-01-10 Varian Associates, Inc. Rapid thermal cvd apparatus
JPS62279624A (ja) * 1986-05-28 1987-12-04 Hitachi Ltd 分子線エピタキシ用基板ホルダ
US4924807A (en) * 1986-07-26 1990-05-15 Nihon Shinku Gijutsu Kabushiki Kaisha Apparatus for chemical vapor deposition
JPS6396912A (ja) * 1986-10-14 1988-04-27 Toshiba Ceramics Co Ltd 基板ホルダ−
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5228501A (en) * 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4755654A (en) * 1987-03-26 1988-07-05 Crowley John L Semiconductor wafer heating chamber
US4821674A (en) * 1987-03-31 1989-04-18 Deboer Wiebe B Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4823735A (en) * 1987-05-12 1989-04-25 Gemini Research, Inc. Reflector apparatus for chemical vapor deposition reactors
US4836138A (en) * 1987-06-18 1989-06-06 Epsilon Technology, Inc. Heating system for reaction chamber of chemical vapor deposition equipment
US4828224A (en) * 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US5034199A (en) * 1987-11-13 1991-07-23 Kopin Corporation Zone melt recrystallization apparatus
US4851358A (en) * 1988-02-11 1989-07-25 Dns Electronic Materials, Inc. Semiconductor wafer fabrication with improved control of internal gettering sites using rapid thermal annealing
US4857689A (en) * 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
US4978567A (en) * 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
JP2654996B2 (ja) * 1988-08-17 1997-09-17 東京エレクトロン株式会社 縦型熱処理装置
JPH02174116A (ja) * 1988-12-26 1990-07-05 Toshiba Ceramics Co Ltd サセプタ
JPH0834187B2 (ja) * 1989-01-13 1996-03-29 東芝セラミックス株式会社 サセプタ
US5053247A (en) * 1989-02-28 1991-10-01 Moore Epitaxial, Inc. Method for increasing the batch size of a barrel epitaxial reactor and reactor produced thereby
US5169684A (en) * 1989-03-20 1992-12-08 Toyoko Kagaku Co., Ltd. Wafer supporting jig and a decompressed gas phase growth method using such a jig
US4920918A (en) * 1989-04-18 1990-05-01 Applied Materials, Inc. Pressure-resistant thermal reactor system for semiconductor processing
US5011794A (en) * 1989-05-01 1991-04-30 At&T Bell Laboratories Procedure for rapid thermal annealing of implanted semiconductors
US5104276A (en) * 1989-05-19 1992-04-14 Applied Materials, Inc. Robotically loaded epitaxial deposition apparatus
US4986838A (en) * 1989-06-14 1991-01-22 Airgard, Inc. Inlet system for gas scrubber
JPH03201429A (ja) * 1989-12-28 1991-09-03 Mitsubishi Electric Corp 縦型cvd装置用ウエハホルダー
US5077875A (en) * 1990-01-31 1992-01-07 Raytheon Company Reactor vessel for the growth of heterojunction devices
US5108792A (en) * 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
EP0448346B1 (en) * 1990-03-19 1997-07-09 Kabushiki Kaisha Toshiba Vapor-phase deposition apparatus
US5098198A (en) * 1990-04-19 1992-03-24 Applied Materials, Inc. Wafer heating and monitor module and method of operation
JPH046826A (ja) * 1990-04-24 1992-01-10 Tokyo Electron Ltd 熱処理装置
US5252807A (en) * 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5044943A (en) * 1990-08-16 1991-09-03 Applied Materials, Inc. Spoked susceptor support for enhanced thermal uniformity of susceptor in semiconductor wafer processing apparatus
US5106200A (en) * 1990-12-20 1992-04-21 Applied Materials, Inc. Apparatus for measuring temperature of wafer
US5192371A (en) * 1991-05-21 1993-03-09 Asm Japan K.K. Substrate supporting apparatus for a CVD apparatus
US5387557A (en) * 1991-10-23 1995-02-07 F. T. L. Co., Ltd. Method for manufacturing semiconductor devices using heat-treatment vertical reactor with temperature zones
DE4140387C2 (de) * 1991-12-07 1998-10-15 Inst Halbleiterphysik Gmbh Vorrichtung und Verfahren zur verformungsfreien Bearbeitung von Halbleitermaterialscheiben in schnellen thermischen Prozessen
JP3100252B2 (ja) * 1992-05-26 2000-10-16 東京エレクトロン株式会社 被処理体用ボート及びそれを用いた被処理体の移し換え方法ならびに熱処理装置
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5366002A (en) * 1993-05-05 1994-11-22 Applied Materials, Inc. Apparatus and method to ensure heat transfer to and from an entire substrate during semiconductor processing
US5439850A (en) * 1993-09-08 1995-08-08 North Carolina State University Method for forming a layer of uniform thickness on a semiconductor wafer during rapid thermal processing

Also Published As

Publication number Publication date
DE69625545D1 (de) 2003-02-06
EP0746009B1 (en) 2003-01-02
US5580388A (en) 1996-12-03
DE69625545T2 (de) 2003-10-02
JPH09129714A (ja) 1997-05-16
EP0746009A1 (en) 1996-12-04

Similar Documents

Publication Publication Date Title
JP3962111B2 (ja) Rtp炉のサセプタ
US5820686A (en) Multi-layer susceptor for rapid thermal process reactors
EP0448346B1 (en) Vapor-phase deposition apparatus
KR100893909B1 (ko) 기판 홀더의 제조 방법
KR100883285B1 (ko) 열 분산 플레이트 및 에지 지지대를 구비하는 어셈블리
US7070660B2 (en) Wafer holder with stiffening rib
US6709267B1 (en) Substrate holder with deep annular groove to prevent edge heat loss
KR100444756B1 (ko) 저질량서스셉터
US20050092439A1 (en) Low/high temperature substrate holder to reduce edge rolloff and backside damage
US20050011458A1 (en) Wafer holder with peripheral lift ring
US20070148607A1 (en) Vertical boat and vertical heat processing apparatus for semiconductor process
KR20200090119A (ko) 통기형 서셉터
JP2010147350A (ja) エピタキシャルウェーハの製造方法及び製造装置
US6799940B2 (en) Removable semiconductor wafer susceptor
KR20170048578A (ko) 분위기 에피택셜 퇴적 챔버
KR20150110207A (ko) 보트
JP3004846B2 (ja) 気相成長装置用サセプタ
US20030190823A1 (en) Method of loading a wafer onto a wafer holder to reduce thermal shock
WO2012172920A1 (ja) 基板支持装置及び気相成長装置
JPH09237781A (ja) 熱処理用ボ−ト
JP3868933B2 (ja) 常圧cvd装置
JPH0727870B2 (ja) 減圧気相成長方法
JP2000049098A (ja) エピタキシャル成長炉
US20020062792A1 (en) Wafer support device and reactor system for epitaxial layer growth
US20110146578A1 (en) Substrate processing apparatus

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20051011

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20060111

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20060116

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060410

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20060704

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061026

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20061115

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070116

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070412

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070508

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070518

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees