JPH09129714A - 高速熱処理炉のサセプタ - Google Patents

高速熱処理炉のサセプタ

Info

Publication number
JPH09129714A
JPH09129714A JP15755396A JP15755396A JPH09129714A JP H09129714 A JPH09129714 A JP H09129714A JP 15755396 A JP15755396 A JP 15755396A JP 15755396 A JP15755396 A JP 15755396A JP H09129714 A JPH09129714 A JP H09129714A
Authority
JP
Japan
Prior art keywords
susceptor
substrate
surrounding ring
ring
edge surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP15755396A
Other languages
English (en)
Other versions
JP3962111B2 (ja
Inventor
Gary M Moore
ゲイリー・エム・ムーア
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Moore Epitaxial Inc
Original Assignee
Moore Epitaxial Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Moore Epitaxial Inc filed Critical Moore Epitaxial Inc
Publication of JPH09129714A publication Critical patent/JPH09129714A/ja
Application granted granted Critical
Publication of JP3962111B2 publication Critical patent/JP3962111B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/12Heating of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/14Substrate holders or susceptors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/0033Heating devices using lamps
    • H05B3/0038Heating devices using lamps for industrial applications
    • H05B3/0047Heating devices using lamps for industrial applications for semiconductor manufacture

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

(57)【要約】 【課題】 RTP反応炉においてスループットを向上
させるのに適した熱的質量を有すると共に、1枚の大型
の半導体ウェハ若しくは複数の半導体ウェハを支持する
ことのできる安定性及び剛性を備えたサセプタを提供す
ることを目的とする。 【解決手段】 高速熱処理炉(RTP炉)のサセプタ
(500)であって、基板包囲リング(540)と、前
記基板包囲リングの周りに隣接して配置された複数の熱
分配要素(503A〜503F)とからなる複数の構成
要素を有する第1の層(501)と、第1の表面と前記
第1の表面の反対側の第2の表面とを有する第2の層
(502)とを有し、前記第1の層の前記複数の構成要
素が、前記第2の層が前記第1の層を支持するように前
記第2の層の前記第1の表面の上に配置されていること
を特徴とする。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、基板表面に膜を形
成するために用いられる基板の支持構造に関し、より詳
しくは、高速熱処理炉で処理される基板の支持構造に関
する。
【0002】
【従来の技術】半導体ウェハ若しくはその他の基板の表
面に膜を形成する過程は、半導体製造方法及びフラット
パネル型電子ディスプレイデバイスの製造方法における
共通の過程である。典型的には、半導体ウェハを含む反
応炉内で、選択された化学ガスが混合される。通常は、
反応炉内のガスの化学的な反応を促進し、かつ膜がその
表面に形成される半導体ウェハの表面を加熱するために
熱が供給される。膜形成過程において、均一な膜を形成
しつつウェハのスループット(即ち単位時間当たりに処
理されるウェハの枚数)を最大にすることが望ましい。
典型的には、均一な膜を形成するためには、半導体ウェ
ハを均一な温度に保つことが重要である。
【0003】様々な種類の反応炉が開発されてきた。一
般的には、各反応炉は、反応チャンバと、半導体ウェハ
搬送装置と、熱源及び温度制御部と、ガス伝達装置(吸
気、排気、及び流量調節)とを有する。
【0004】図1は、水平型反応炉として知られている
1つの形式の従来技術の反応炉100の簡略化された断
面を表しており、この反応炉内にはその内部が反応チャ
ンバとなっている水平な円筒部102(通常は長方形の
断面を有する)にサセプタ101が配置されている。半
導体ウェハ、例えばウェハ103a、103b及び10
3cがサセプタ101の表面101aに取り付けられて
いる。熱源104がウェハを加熱し、反応ガス105が
円筒部102内を流れ、ウェハの近傍を通過する。サセ
プタ101は図1に例示されているように傾けられて配
置され、かつ回転せず、表面101aが反応ガス105
の流れに面し、反応ガス105の流れの下流側の半導体
ウェハの部分において反応ガスが減少する問題を最小に
する。
【0005】図2は、シリンダ型反応炉として知られて
いる、他の形式の従来の反応炉110の簡略化された斜
視図であり、この反応炉内においてサセプタ111は、
反応炉を画定するベルジャー112の内部に懸架されて
いる。半導体ウェハ、即ちウェハ113は、サセプタ1
11の側面、即ち側面111aに概ね垂直方向に沿って
取り付けられている。熱源114がウェハを加熱し、反
応ガスが吸気口115を通してベルジャー112の上部
に供給されている。反応ガスはサセプタ111の長手方
向に沿って下向きに流れ、半導体ウェハの表面を通過
し、ベルジャー112の底部に設けられたガス排気口
(図示されていない)を通して反応炉から排気される。
【0006】図3は、縦型反応炉として知られている、
他の形式の従来の真空蒸着反応炉120の簡略化された
断面図であり、この反応炉内において垂直方向に沿って
固定されたサセプタ121は反応炉を画定するベルジャ
ー122の底部から支持されている。半導体ウェハ、即
ちウェハ123は、サセプタ121の表面121aに水
平方向に取り付けられている。半導体ウェハはRF熱源
(図示されていない)によって加熱され、反応ガスはサ
セプタ支持部125を通してウェハの上部の反応炉内に
供給される。反応ガスはウェハの上を通過して流れ、ベ
ルジャー122の底部に設けられたガス排気口(図示さ
れていない)を通して排気される。
【0007】これらの従来の反応炉において、サセプタ
は熱的なフリーホイール(thermal flywh
eel)として働く。より詳しくは、サセプタの熱的質
量(thermal mass)は、サセプタの上に置
かれたウェハの熱的質量よりも概ね大きく、サセプタ
は、熱源のエネルギーを吸収しかつ熱を伝える材料から
製造されていた。従って、サセプタが動作温度に加熱さ
れたとき、サセプタの大きな熱的質量によって、サセプ
タの各部分が特定の温度に保持された。半導体ウェハは
サセプタによって保持されているので、サセプタの温度
が一定であることにより半導体ウェハの好ましくない温
度勾配が最小にされた。
【0008】サセプタの大きな熱的質量が均一な膜を形
成するために必要である一方、大きな熱的質量によって
反応炉のスループットが制限されていた。より詳しく
は、サセプタは処理される半導体ウェハのバッチ毎に加
熱されそして冷却されなければならない。特に、加熱及
び冷却時間は、ウェハの各バッチを処理するために必要
なトータルの時間を制限する要因となっている。従来の
反応炉では、ウェハの各バッチの完全なプロセスサイク
ルに40分から90分を要した。
【0009】一方、高速熱処理炉(RTP:rapid
thermal processreactor)
は、ウェハの処理時間として2分から15分のみを要す
る。即ち、高速熱処理炉は、従来の反応炉のプロセスサ
イクル時間と較べ非常に短いプロセスサイクル時間を要
することを特徴とする。更に、サセプタの熱的フリーホ
イールの特性は、RTP反応炉に望ましいものである
が、大きな熱的質量に関連する時間遅れは望ましいもの
ではない。
【0010】例えば、1992年3月24日にナルマン
(Nulman)らに付与された米国特許第5,09
8,198号明細書「Wafer Heating a
ndMonitor Module and Meth
od of Operation」は、サセプタ213
とサセプタ213によって支持された半導体ウェハ21
4との間の迅速な熱的応答を提供するための薄型の一定
の反射率を備えたサセプタ213(図4)について記載
している。サセプタ213は、シリコンカーバイド若し
くは個体シリコンカーバイドによってコーティングされ
たグラファイトによって形成されている。サセプタ21
3は、非常に迅速な熱的応答時間を提供するべく、典型
的には0.5mmから8mm、好ましくは、2mmの非
常に薄型のサセプタとして記載されている。サセプタの
直径及びウェハの直径については記載されていないが、
サセプタは一枚の半導体ウェハを支持するものとして記
載されている。
【0011】1990年12月18日に付与されたM.
ミラーによる米国特許第4,978,567号明細書
「Wafer Holding Fixture fo
r Chemical Reaction Proce
sses in RapidThermal Proc
essing Equipment and Meth
od For Making Same」は、RTP反
応炉の更に異なる形式の一枚のウェハを支持するサセプ
タについて記載している。第1のサセプタ300(図
5)はシリコンカーバイトから形成されており、ウェハ
を支持するための凹部304を含む平坦な上側面302
と、側壁303とを有する。平坦な上側面302及び側
壁303は約0.38mm(0.015インチ)から約
0.64mm(0.025インチ)の範囲の厚みを有す
る。しかしながら、そのような薄いサセプタは、その熱
的応答が早いが、必要な安定性及び剛性を提供する点に
関して問題がある。
【0012】続いて、シリコンカーバイドからなる第2
のサセプタ310(図6)は凹部317を備えた平坦な
上側面312と、側壁313と、中空の環状部分314
とを有する。環状部314が支持されている半導体ウェ
ハの近傍から十分に隔てられ、加熱されたときにウェハ
の熱的応答を抑制することのないように、内側の側壁3
20から側壁313までの中空の環状部分314の幅
は、平坦な上側面312の内側のリム部315から外側
のリム部316までの長さの50%の以下となってい
る。しかしながら、中空の環状部分314と平坦な上側
面312との熱的質量が異なることによって、これら2
つの部分が加熱されたときに異なる温度となり、サセプ
タ310に温度勾配が生ずる。このような温度勾配によ
って、サセプタの曲がり、そり、若しくは亀裂が生じ、
半導体ウェハの各バッチにおける均一な膜の形成が抑制
されることになる。特に、サセプタ310にそりが生じ
た場合、サセプタ310の不規則な表面によって、半導
体基板の表面が反応炉内の異なる処理条件に曝されるこ
とになる。更に、サセプタがシリコンカーバイドから形
成されている場合、サセプタにはそりが生ずるよりも亀
裂が生ずることになる。
【0013】1992年4月28日にアンダーソンらに
付与された米国特許第5,108,792号明細書「D
ouble−Dome Reactor For Se
miconductor Processing」に記
載された他の型式のRTP反応炉では、サセプタは、熱
的質量を低くするための薄型プレートと、剛性を高める
ためのリム部とからなるものとして記載されている。し
かしながら、この特許明細書には、1枚の半導体ウェハ
を支持する支持部若しくはサセプタの寸法が記載されて
いない。提供された唯一の教示内容は、サセプタが低い
熱的質量を有するということであり、ウェハ、リム部及
び薄型プレートの間の関係については何ら記載されてい
ない。この特許明細書はまた、そのRTP反応炉システ
ムが、加熱時間及び冷却時間がウェハの熱的質量によっ
て主に制限されるように、サセプタを含まないものであ
ることが企図されていることを記載している。
【0014】多くの従来のCVD反応炉は、複数のウェ
ハのバッチを処理するものである。大きな熱的質量を有
するサセプタは、複数の半導体ウェハを支持するように
適合されている。しかし、多くのRTP反応炉は1枚の
半導体ウェハを処理するために用いられてきた。上述さ
れたように、RTP反応炉のサセプタに対する主要なア
プローチは、より大きい質量を備えた外側リムによって
支持された薄型の平坦なプレートを用いるものである。
残念ながら、この薄型の平坦なプレートがより大きくな
るにつれ、この平坦なプレートは1枚の大型の半導体ウ
ェハ若しくは複数の半導体ウェハを支持するための十分
な安定性及び剛性を有しないものとなる。RTP反応炉
のサイクル時間に関する特性を保持することが重要な場
合、1枚の大型な半導体ウェハ若しくは複数の半導体ウ
ェハを支持することのできる高い安定性及び剛性を備え
たグラファイト若しくはシリコンカーバイトによってコ
ーティングされたグラファイトからなるサセプタは、R
TP反応炉において用いるには大きすぎる熱的質量を有
する。
【0015】
【発明が解決しようとする課題】本発明の目的は、RT
P反応炉においてスループットを向上させるのに適した
熱的質量を有すると共に、1枚の大型の半導体ウェハ若
しくは複数の半導体ウェハを支持することのできる安定
性及び剛性を備えたサセプタを提供することである。
【0016】
【課題を解決するための手段】本発明は、高速熱処理炉
(RTP炉)のサセプタであって、基板包囲リングと、
前記基板包囲リングの周りに隣接して配置された複数の
熱分配要素とからなる複数の構成要素を有する第1の層
と、第1の表面と前記第1の表面の反対側の第2の表面
とを有する第2の層とを有し、前記第1の層の前記複数
の構成要素が、前記第2の層が前記第1の層を支持する
ように前記第2の層の前記第1の表面の上に配置されて
いることを特徴とする高速熱処理炉のサセプタを提供す
ることによって達成される。
【0017】本発明の基本理念に基づけば、RTP反応
炉のサセプタは複数の層からなる構造を有する。このR
TP反応炉用のサセプタの第1の層は、シリコンカーバ
イド、グラファイト、若しくはグラファイをコーティン
グされたシリコンカーバイドからなり、かつ約6mm以
下の厚さを有する複数の薄い構成要素を含み、この第1
の層は、熱を放射する放射率を備え、かつサセプタによ
って支持されている1枚若しくは2枚以上の半導体基板
の温度を均一に保つことを容易にするとともに半導体基
板全体に亘って反応ガスの特性を均一に保つことを容易
にするような熱伝達特性を備えている。このサセプタの
第2の層は、RTP反応炉の熱源からの熱を吸収するこ
となく(transparent)伝達し、第1の層に
対する硬いかつ安定したプラットホームを提供する。熱
を吸収することなく伝達する第2の層によって提供され
た支持力及び剛性によって、それ自体は十分な剛性を備
えていない薄い第1の層を1枚の大型の半導体基板、例
えば300mmの直径の半導体ウェハ、若しくは複数の
半導体基板を支持するために用いることができる。即
ち、第1の層と第2の層の合計の厚さによって、1枚の
大型の半導体基板を支持するための若しくは同時に複数
の半導体基板を支持するための十分な安定性と剛性が提
供され、かつ第2の層が熱を吸収しないために、サセプ
タの熱的質量は第1の層によって決定され、かつRTP
反応炉のプロセスサイクルにも適合できるものとなる。
【0018】好ましくは、第1の層は、半導体基板包囲
リングと、複数の熱分配要素を含む。この複数の熱分配
要素によって、サセプタの曲がり、そり、亀裂の発生と
いった問題が低減される。加えて、この第1の層は均一
な温度特性を有するので、従来技術のRTP反応炉のサ
セプタにおけるように薄い中心部分とこの薄い中心部分
の外周に沿って配置されたより大きな重量の支持構造部
との間の熱特性の差によって生ずる問題が低減される。
また、第1の層が均一な形状を有することによって、こ
の第1の層の製造が容易となり、かつプロセスガスの特
性を均一にすることが容易となる。本発明の2つの層か
らなるサセプタは、任意の熱源と共に、若しくはRTP
反応炉において用いられているように複数の熱源の組み
合わせと共に用いることができる。
【0019】即ち、本発明の基本理念に基づけば、高速
熱処理炉のサセプタは、(i)半導体基板包囲リング
と、(ii)前記半導体基板包囲リングに隣接してかつ
前記半導体包囲リングの上に配置された複数の熱分配要
素とを含む複数の構成要素を有する。また、本発明に基
づくサセプタの第2の層は、第1の表面と、前記第1の
表面の反対側の第2の表面とを有し、前記第2の層が前
記第1の層を支持するように前記第2の層の第1の表面
には前記第1の複数の構成要素が取り付けられている。
この実施例では、第2の層は、RTP反応炉の熱源の熱
を概ね吸収することなく伝達するので、サセプタの熱的
質量は、第1の層の特性によって決定される。
【0020】第1の層の複数の構成要素はまた、円周エ
ッジ面を備えた半導体基板包囲リングインサートを含
み、この半導体基板包囲リングインサートの円周エッジ
面は、半導体基板包囲リングの内側の円周エッジ面と隣
接している。好ましくは、半導体基板包囲リングインサ
ートを動かさずに半導体基板包囲リングを半導体基板包
囲リングインサートから持ち上げることのできるよう
に、半導体基板包囲リングインサートの円周エッジ面と
半導体基板包囲リングの内側円周エッジ面とは面取りさ
れている。
【0021】ある実施例では、半導体基板包囲リングに
は、半導体基板包囲リングの内側円周エッジ面から外側
円周エッジ面に向けて予め決められた距離に亘って延在
する棚部が設けられており、半導体基板包囲リングに配
置された半導体基板がこの棚部によって支持される。半
導体基板包囲リングはまた、半導体基板包囲リングの外
側エッジ面の上にリム部を備え、このリム部の内側円周
エッジ面と棚部との交差部分によって棚部の外側エッジ
が画定される。即ち、リム部の内側円周エッジ面が、棚
部からリム部の上側部分まで延在する壁を形成する。こ
の壁は、基板の厚さのおよそ2倍の高さを有する。更
に、棚部の外側エッジは、壁と基板のエッジとの間隔が
約0.13cm(0.05インチ)から0.51cm
(0.2インチ)の範囲にあるように、ある実施例では
約0.16cm(0.0625インチ)となるように配
置されている。
【0022】他の実施例では、サセプタの第1の層は、
内側円周エッジ面から延在する複数のリフトタブを備え
た内側円周エッジ面を有する半導体基板包囲リングと、
外側円周エッジ面に設けられた複数のノッチを有する半
導体基板包囲リングインサートとを有し、半導体基板包
囲リングインサートが半導体基板包囲リングと嵌合され
かつはめ合わされるようになっている。
【0023】複数の熱分配要素が、半導体基板包囲リン
グの周りに半導体基板包囲リングに隣接して配置されて
おり、熱分配要素同士の隣接するエッジ面の間に第1の
ギャップが形成され、熱分配要素と半導体基板包囲リン
グの外側円周エッジ面との間に第2のギャップが形成さ
れる。複数の熱分配要素の各々は、外側円周エッジ面に
ノッチを有する。第2の層は、第2の層の表面から延在
し、かつ第2の層の外側円周エッジに隣接して配置され
た複数のピンを有する。熱分配要素に設けられたノッチ
は、前記複数のピンの対応するピンに嵌合される。
【0024】
【発明の実施の形態】本発明の基本理念に基づけば、半
導体ウェハ若しくはフラットパネル型ディスプレイなど
の1枚の基板、若しくは複数の基板を支持するための高
速熱処理炉(RTP)の新規なサセプタが、RTPサイ
クロタイムに適合する熱的な質量を有し、かつ基板上に
均一な被膜を形成するために必要な剛性及び安定性を有
する。
【0025】本発明のサセプタは、高速熱処理炉(RT
P)内において1枚の基板を処理するのみでなく、更に
複数の基板をも処理することができる。一方、RTP反
応炉は、従来の反応炉における同様の過程のサイクルタ
イムと比較してより短いサイクルタイムを有する。この
RTP反応炉は、典型的には10℃/秒から400℃/
秒の間の加熱速度で1枚若しくは2枚以上の半導体ウェ
ハを加熱することができる。ある実施例では、RTB反
応炉は、20℃/秒の加熱速度で1枚若しくは2枚以上
のウェハを加熱する。他の実施例は、RTB反応炉は、
10℃/秒の加熱速度で1枚にウェハ若しくは2枚以上
のウェハを加熱する。ウェハの迅速な加熱は本発明のR
TP反応炉を特徴づけるより短いプロセスサイクル時間
の必須d条件の1つである。本発明の新規なサセプタに
より、単一の熱源若しくは2つの熱源を用いて、複数の
ウェハ若しくは1枚の大型のウェハ、例えば、250m
m(10インチ)、300mm(12インチ)、400
mm(16インチ)の直径のウェハを処理することが可
能となる(以下の説明において、ウェハの寸法は特に明
示のない限りウェハの直径を表している)。
【0026】本発明に用いるのに適した1つのRTB反
応炉は、本件出願と同一の出願人による1994年1月
21日に出願されたガリ・M・ムーア及びカツヒコ・ニ
シカワによる米国特許出願第08/185,691号明
細書「A RAPID THERMAL PROCES
SING APPARATUS FOR PROCES
SING SEMICONDUCTOR WAFER」
と、1993年1月21日に米国特許出願第08/00
7,981号として出願されたガリ・M・ムーア及びカ
ツヒコ・ニシカワによる米国特許第5,444,217
号明細書「ARAPID THERMAL PROCS
SING APPARATUSTUSFOR PROC
ESSING SEMICONDUCTOR WAFE
R」に記載されており、この2つの特許明細書はここで
言及されたことによって本出願の一部とされたい。
【0027】処理される1枚の半導体ウェハ若しくは2
枚以上の半導体ウェハがサセプタの凹部内に配置される
ので、凹部の個数及び寸法が異なるり、異なるサセプタ
が、若しくは以下により詳しく説明される少なくとも1
つのサセプタの異なる第1の層が、異なる各々の半導体
ウェハの寸法に対して必要となる。異なる本数のウェハ
支持ピンが、寸法の異なるウェハをサセプタの本体の上
に持ち上げるために用いられるので、異なる1枚のサセ
プタ、またはこのサセプタの少なくとも1つの異なる第
1の層が必要とされる。典型的には、ある特定の寸法の
半導体基板のバッチが通常は連続して処理され、サセプ
タの交換回数を最小にすることが要求されるので、他の
サセプタによって若しくは少なくとも1つの異なるサセ
プタの第1の層によって半導体基板の高いスループット
を達成するための障害がもたらされることはない。ある
実施例では、各サセプタは、直径が35.6cm(14
インチ)であり、(ウェハの凹部が形成されている部分
以外では)約0.95cmから1.27cm(0.35
7インチから0.5インチ)の厚さを有する。他の実施
例では、サセプタは直径が45.7cm(18インチ)
であり、更に他の実施例では、直径が45.7cm(1
8インチ)であり、更に他の実施例では、直径が50.
8cm(20インチ)である。
【0028】本発明のサセプタは、水晶から形成されて
いるか、代わりにサセプタの支持層が水晶から形成され
ている。サセプタが水晶から形成されている場合、放射
熱源に面するサセプタの表面は、ビードブラスト(be
ad blast)され、保温力が増加される。その一
方、抵抗加熱器若しくは自動熱分配要素に面するサセプ
タの表面は、例えば、炎洗浄(flame polis
hing)若しくは機械洗浄(mechanical
polishing)によって洗浄され、サセプタを通
過して半導体基板により多くの熱が伝えられるようにさ
れている。代わりに、一方の表面がビードブラストさ
れ、他方の表面が洗浄され、若しくは任意の表面が選択
的にビードブラストされて選択された位置での保温力が
増加される。この選択は、サセプタが使用されているR
TP反応炉に対する所望のある特定の加熱効果に基づい
てなされる。
【0029】基板全体に亘るほぼ均一な温度と、基板の
表面全体に亘る均一な反応ガスの流れとを保持すること
は、半導体基板の正確な処理にとって肝要な事柄となっ
ている。特に、半導体基板のエッジ部分において、半導
体基板から反応チャンバ内のより低温の周囲環境への熱
の放散は、エピタキシャル処理過程における「スリップ
(slip)」として知られている好ましくない現象を
生み出すエッジ部分における大きな温度勾配を形成する
ことにもなる。即ち、半導体ウェハのエッジ部分におけ
る温度を制御するための手段が特に必要とされている。
【0030】図7及び図8は、本発明の実施例に基づく
ポケット43内にウェハ包囲リング401及びウェハ4
04が配置されたサセプタ400の平面図及び側面図を
各々表している。ウェハ包囲リングの1つの働きは、ウ
ェハのエッジ部分における均一な温度を保持することで
ある。
【0031】ウェハ包囲リング401の中心部分には貫
通孔が形成されている。凹部を形成する棚部が、この貫
通孔の周りに形成されており、かつウェハエッジ接触面
401fとウェハ裏側接触面401eとによって画定さ
れている。ウェハ包囲リング401はスピンドル402
を取り囲むように配置されており、ウェハ包囲リング4
01のウェハ裏側接触面401eは、スピンドル402
のウェハ裏側接触面402aとほぼ同一平面上に配置さ
れている。
【0032】スピンドル402はサセプタ402と一体
的に形成されてもよく、またスピンドル402は、ポケ
ット403内に配置される別個の構成部品として形成さ
れてもよい。以下で、本発明の以下の説明において、
「スピンドル」は、サセプタのポケット内の中心に配置
された構成要素を表すために用いられ、このスピンドル
はサセプタと一体的に形成されてもよく、若しくは別個
の構成要素として形成されてもよい。「サセプタインサ
ート」は、サセプタのポケット内の中心に配置されかつ
サセプタとは別個に形成された構成要素を表すために用
いられる。更に、本発明明細書中で用いられるように、
「スピンドル」は、「サセプタインサート」よりも厚い
構成要素を表すが、しかしながらこれは必ずしも必須要
件ではなくある場合にはスピンドルとサセプタインサー
トが等しい厚さを有してもよい。一般的に、「スピンド
ル」と「サセプタインサート」は、概ね等しい構成要素
を表し、かつ一方の用語若しくはもう一方の用語を用い
ることは、サセプタと一体的に形成された構成要素若し
くはサセプタと別個に形成された構成要素と、任意の厚
さの構成要素とを表すものである。ウェハ404は、ウ
ェハ包囲リング401のウェハ裏側接触面401eとス
ピンドル402のウェハ裏側接触面402aとの上に配
置されており、ウェハ404の上側面404aはウェハ
包囲リング401の環状上側面401dよりもわずかに
低い位置に配置されている。ウェハ包囲リング401は
アメリカ合衆国ミシガン州のミッドランド・マテリアル
ズ・リサーチ社から入手することができる。ウェハ包囲
リング401は、比較的低い熱伝導率を有する材料、例
えばグラファイト若しくはシリコンカーバイドからな
る。グラファイトが用いられた場合、ウェハ包囲リング
401はシリコンカーバイドによってコーティングされ
ている。シリコンカーバイドの被膜は、グラファイトの
薄片がウェハの上にはがれ落ちることによるグラファイ
トからの炭素による汚染を防止するので、シリコンカー
バイドの被膜によって粒子の汚染が最小となる。シリコ
ンカーバイドの被膜は、グラファイトからの炭素の薄片
によるウェハ404の汚染を防止するために十分な厚み
をもって形成される。
【0033】しかしながら、シリコンカーバイドの被膜
が形成されるグラファイトのある部分が薄い場合、その
薄い部分の一方の表面にシリコンカーバイドの被膜を真
空蒸着法によって形成することによりその部分にそりが
生ずることになる。このそりを解消するために、その薄
いグラファイトの部分のもう一方の表面に形成される真
空蒸着法による被膜の厚さはそりを相殺しシリコンカー
バイドの被膜によって覆われた平坦な部分を形成するよ
うな値に選択される。即ち、薄いグラファイトの部分の
両側の表面に形成されたシリコンカーバイドの厚さは異
なる値となってもよい。シリコンカーバイドの被膜の正
確な厚さ及び両側の面における厚さの違いは、ミッドラ
ンド・マテリアルス・リサーチ社の特許情報である。
【0034】以下で、本発明のこれから説明される実施
例では、様々な構成要素、例えばウェハ包囲リング、ス
ピンドル、及びサセプタインサートは、グラファイトか
ら形成されている。これらの構成要素のうちの1つがグ
ラファイトから形成されている場合、この構成要素を、
上述されたようにかつ上述された理由によりシリコンカ
ーバイドによってコーティングすることが望ましい。例
えば、200mm(8インチ)のウェハを保持するため
に十分な大きさのポケット403を備えた直径356m
m(14インチ)のサセプタ400に対して、ウェハ包
囲リング401の環状上側面401dは3.18mm
(0.125インチ)の幅401aを有し、ウェハ包囲
リング401のウェハ404の下の部分401bの厚み
は0.254mm(0.10インチ)であり、ウェハ包
囲リング401の外側エッジ面401gから内側エッジ
面401hまでの幅401cは15.2mm(0.60
インチ)となっている。サセプタの寸法が異なる場合、
幅401a、厚さ401b、及び幅401cの値は上述
されたものと異なる値となる。スピンドル402は、例
えばグラファイト、シリコンカーバイド、若しくは水晶
から形成される。グラファイト及びシリコンカーバイド
は、ウェハ404の裏側面404bへより多くの熱を伝
達し、かつ/またはウェハ404の裏側面404bへの
熱の伝達を阻止するために望ましい。水晶は、ウェハ4
04の裏側面404bへごくわずかの熱を伝達するかま
たは熱を伝達せず、ウェハ404の裏側面404bから
の熱の伝達をわずかに阻止するか全く阻止しない。しか
しながら、熱の損失はウェハ404のエッジ404cに
おいて主に問題となるので、水晶をスピンドル402、
即ちウェハ404の中心部分の下に用い、グラファイト
若しくはシリコンカーバイドをウェハ包囲リング40
1、即ちウェハ404のエッジ404cの下及びエッジ
404cの周りに用いることは、ウェハ404の望まし
い温度の均一性を提供する。
【0035】ウェハ包囲リング401(以下に説明され
る本発明の他の実施例に基づくウェハ包囲リング)は、
サセプタ400のポケット403内に配置されたウェハ
404を所定の位置に保持する。特に、ウェハ404の
エッジ404cの周りのウェハ包囲リング401によっ
て、ウェハ404のエッジ404cの近傍の温度は、ウ
ェハ404のその他の部分の温度と概ね等しく保持され
る。
【0036】図9は、本発明の他の実施例に基づくウェ
ハ包囲リング401、サセプタインサート417、スピ
ンドル412、及び半導体ウェハ404が、ポケット4
03内に配置されたサセプタ400の断面図である。ウ
ェハ包囲リング401がポケット403内に配置された
後に、ウェハ包囲リング401を貫通してその中心に形
成された開孔内のポケット403にサセプタインサート
417が配置される。スピンドル412は、サセプタイ
ンサート417の厚さの1倍から3倍の厚さを有し、こ
のスピンドル412はウェハ包囲リング401の開孔内
のサセプタインサート417の上に配置され、スピンド
ル412のウェハ接触面412aは、ウェハ包囲リング
401のウェハ接触面401eと概ね等しい平面内に配
置される。ウェハ404は、ウェハ包囲リング401内
のウェハ包囲リング401のウェハ接触面401e及び
スピンドル412のウェハ接触面412aの上に配置さ
れる。
【0037】スピンドル412及びサセプタインサート
417は、例えば、水晶、シリコンカーバイド若しくは
グラファイトから形成される。典型的には、スピンドル
412及びサセプタインサート417の一方が水晶から
形成され、もう一方がグラファイト若しくはシリコンカ
ーバイトから形成される。
【0038】代わりに、サセプタインサート417は硬
いプレート若しくは柔軟な布から形成されていても良
い。本発明のある実施例では、サセプタインサート41
7は全体の厚さがおよそ3.18mm(0.125イン
チ)のシリコンカーバイドによってコーティングされた
グラファイト製のプレートからなる。
【0039】本発明の他の実施例では、サセプタインサ
ート417は、0.0025mm(0.0001イン
チ)から3.18mm(0.125インチ)の厚さを有
するシリコンカーバイド製のプレートからなる。シリコ
ンカーバイド製のプレートは、上述されたグラファイト
製のプレートよりもその厚みを小さくできるが、その理
由は通常RTP反応炉に対して用いられるプロセスの温
度を超える温度に曝されたときシリコンカーバイド製の
プレートはグラファイト製のプレートよりもそりに対す
る抵抗が大きいからである。より薄いシリコンカーバイ
ド製のプレートによって、ウェハ404をより迅速に加
熱及び冷却することができる。しかしながら、グラファ
イト製のプレートは、シリコンカーバイド製のプレート
よりも製造コストが低い。
【0040】本発明の更に他の実施例では、サセプタイ
ンサート417は、シリコンカーバイドの布からなる。
シリコンカーバイドの布は、シリコンカーバイド製のプ
レートよりも製造コストが低いが、しかしシリコンカー
バイド製のプレートにくらべ粒子の汚染を生じやすい。
【0041】図10は、本発明の他の実施例に基づくウ
ェハ包囲リング421、スピンドル422及びウェハ4
04がサセプタ400のポケット403内に配置された
サセプタ400の断面図である。ウェハ包囲リング42
1は、サセプタ400のポケット403内のスピンドル
422の周りに配置されている。(図7から図9の)ウ
ェハ包囲リング401とは異なり、ウェハ包囲リング4
21はその中心部分を貫通する開孔部を備えていない。
その代わり、ウェハ包囲リング421の内側エッジ面4
21cと外側エッジ面421dが、ウェハ包囲リング4
21の上側面421aから下側面421bまで各々延在
している。ウェハ404はスピンドル422の裏側接触
面422aの上に配置され、そのエッジ404cがウェ
ハ包囲リング421の内側エッジ面421cと接触する
ことによって横方向に保持されている。
【0042】スピンドル422はサセプタ400と一体
的に形成されてもよいし、またスピンドル422はサセ
プタ400とは別個の構成部品として形成され、ポケッ
ト403内に配置されてもよい。スピンドル422は例
えばグラファイト、シリコンカーバイド、若しくは水晶
から形成される。スピンドル422がサセプタ400と
一体的に形成されている場合、スピンドル422はサセ
プタ400と同じ材料から形成される。ウェハ包囲リン
グ421は例えばシリコンカーバイド若しくはグラファ
イトから形成される。
【0043】図11は、本発明の他の実施例に基づくウ
ェハ包囲リング421、サセプタインサート437、ス
ピンドル432及びウェハ404がサセプタ400のポ
ケット403内に配置されたサセプタ400の断面図で
ある。ウェハ包囲リング421はポケット403内に配
置されている。サセプタインサート437はウェハ包囲
リング421を貫通して形成された開孔内に配置されて
いる。スピンドル432はウェハ包囲リング421の中
心に形成された開孔内のサセプタインサート437の上
に配置されている。ウェハ404は、スピンドル432
のウェハ裏側接触面432aの上に配置され、そのエッ
ジ404cがウェハ包囲リング421の内側エッジ面4
21cと接触することによって横方向に保持されてい
る。
【0044】ウェハ包囲リング421、サセプタインサ
ート437、及びスピンドル432は、(図9の)ウェ
ハ包囲リング401、サセプタインサート417、及び
スピンドル412と等しい材料から形成されている。更
に、サセプタインサート417と同様に、サセプタイン
サート437は柔軟な布または硬いプレートの何れかか
ら形成される。
【0045】図12は、本発明の他の実施例に基づくウ
ェハ包囲リング441、ウェハ404がサセプタ400
のポケット403内に配置されたサセプタ400の断面
図である。ウェハ裏側接触面441aとウェハエッジ接
触面441bとによってウェハ包囲リング441内に凹
部が画定されている。ウェハ404はウェハ包囲リング
441の凹部内に配置され、ウェハ404の上側面40
4aが、ウェハ包囲リング441の上側面441cより
もわずかに低い位置に配置されている。ウェハ包囲リン
グ441は、例えばシリコンカーバイド若しくはグラフ
ァイトから形成される。
【0046】図13は、本発明の他の実施例に基づくサ
セプタインサート457、ウェハ包囲リング451、及
びウェハ404がサセプタ400のポケット403内に
配置されたサセプタ400の断面図である。サセプタイ
ンサート457は、ポケット403の底部表面の全体を
覆うようにポケット403内に配置されている。ウェハ
包囲リング451はポケット403内のサセプタインサ
ート457の上に配置されている。凹部が、ウェハ裏側
接触面451aとウェハエッジ接触面451bとによっ
てウェハ包囲リング451内に画定されている。ウェハ
404は、ウェハ包囲リング451の凹部内に配置さ
れ、ウェハ404の上側面404aは、ウェハ包囲リン
グ451の上側面451cよりもわずかに低い位置に配
置されている。ウェハ包囲リング451は、(図12)
のウェハ包囲リング441と等しい材料から形成され
る。サセプタインサート457は例えばグラファイトか
ら形成される。上述したように、サセプタインサート4
57は柔軟な布若しくは硬いプレートの何れかから形成
される。図7から図13に例示された実施例において、
ウェハ包囲リング、スピンドル及びサセプタインサート
の特定の寸法は、スリップを最小にしかつウェハ404
の温度を概ね均一に保つべく実験により決定される。更
に、シリコンカーバイド若しくはグラファイトの代わり
に水晶が用いられた場合、この選択は、グラファイト若
しくはシリコンカーバイドの望ましい保温力とこれらの
物質の好ましくない熱的慣性(thermal ine
rtia)とを比較考量した結果なされたものである。
更に、スピンドル若しくはウェハ包囲リングが水晶から
形成されている場合、この水晶の表面はビードブラスト
若しくは洗浄される。ビードブラストによって水晶はよ
り多くの熱を保持するようになる。最終的に、図7から
図13では本発明の実施例はサセプタの上に1枚の半導
体ウェハが配置されるものとして説明されてきたが、ウ
ェハが配置される上記の構造のうちの1つを各ポケット
内に配置することによって1つ若しくはそれ以上の半導
体ウェハが取り付けられるサセプタに、上述された構造
が用いられることは容易に理解される。
【0047】図14及び図15は、本発明のある実施例
に基づくウェハ包囲リングのC型部分495の平面図及
び側断面図を各々表している。本発明に基づくウェハ包
囲リングは2つの部分、即ちC型部分495と、このC
型部分495とはめ合わされることによって円環状のウ
ェハ包囲リングを形成するはめあい部分(図示されてい
ない)から形成されている。ウェハは、ウェハ包囲リン
グの上側面495gよりわずかに低い位置に形成されて
いるウェハ裏側接触面495fの上に載せられる。C型
部分495のサセプタ接触面495eには凹部495
a、495b、495c及び495dが形成されてい
る。
【0048】サセプタが下降されたときに、ウェハ支持
ピンがこの凹部495a、495b、495c及び49
5dと接触し、C型部分495をサセプタから持ち上げ
る。ウェハ裏側接触面495fの上に載せられたウェハ
は、C型部分495と共に持ち上げられる。しかし、C
型部分495が持ち上げられたとき、はめあい部分はサ
セプタの上に載せられたまま残る。即ち、はめあい部分
が占めていた部分に開かれた部分が形成され、この開か
れた部分を通してロボットアーム若しくはウェハ取り扱
いシステムが容易に入り込み、C型部分495からウェ
ハを持ち上げかつウェハを取り除く。
【0049】C型部分495の内側エッジ面495hと
外側エッジ面495iとは各々面取りされているので、
サセプタ接触面495eはウェハ裏側接触面495fと
上側面495gとの合計の幅よりも短い幅を有する。サ
セプタのポケット部の側面は、面取りされており、C型
部分495の内側エッジ面495hと外側エッジ面49
5iとにはめあ合わされるようになっている。C型部分
495とサセプタとの間の境界面を各々面取りすること
によって、C型部分495がサセプタの上に配置された
ときC型部分495が良好に気密され、C型部分495
とサセプタとの間を通してガスが漏洩せず、ウェハの裏
側面が汚染されない。更に、面取りされた面を用いるこ
とによってウェハ包囲リングをサセプタに載せること及
びウェハ包囲リングをサセプタから取り除くことがより
容易となる。はめあい部分もまた同様な面取りされた面
を有する。
【0050】C型部分495の開いた端部におけるエッ
ジ面495j及び495kもまた、ウェハ裏側接触面4
95f及び上側面495gからサセプタ接触面495e
にいくに従って先細りとなるように面取りされている。
ウェハ包囲リングのはめあい部分もまた、対応する面取
りされたエッジ面を有する。ここでも同様にC型部分4
95とはめあい部分との間の境界面を面取りすることに
よって、C型部分とはめあい部分との間に良好な気密が
形成される。エッジ面495j及び495kは、ウェハ
のローディング若しくはアンローディングのためにサセ
プタが下降されたときに、C型部分495がはめあい部
分を持ち上げることのないように、内向きに(ウェハ裏
側接触面495fからサセプタ接触面495eに行くに
従って先細りとなるように)面取りされていなければな
らない。このはめあい部分は、はめあい部分及びサセプ
タに形成された対応する開孔部内に嵌合されたピンによ
ってサセプタ上の横方向に固定して保持される。
【0051】他の実施例では、C型部分495、サセプ
タのポケットの側面、及びはめあい部分のエッジ面は面
取りされずに直線状に形成されている。
【0052】図16は、C型部分498及びはめあい部
分499を含む本発明の他の実施例に基づくウェハ包囲
リング497の平面図である。C型部分498はリム部
498a及びフロア部498bを含む。同様に、はめあ
い部分499は、リム部499a及びフロア部499b
を含む。ウェハ包囲リング497は、図14及び図15
に関して上述されたウェハ包囲リングと概ね等しいが、
図14及び図15のウェハ包囲リングのごく一部分がウ
ェハの下に配置されているのに対し、フロア498b及
び499bがウェハの下側に完全に延在している点が異
なる。ウェハ包囲リング497は、図14及び図15の
ウェハ包囲リングに関して上述された材料と等しい材料
から形成される。
【0053】本発明の他の実施例では、図17に例示さ
れているように、新規な複数の層からなるサセプタ50
0は、基板支持リングと、基板包囲リングインサート
と、複数の熱分配要素503a〜503fとを含む複数
の構成要素から形成された第1の層501を含む。第1
の層501は第2の層502によって支持されている。
第1の層を構成するこれらの複数の構成要素は、グラフ
ァイト及びシリコンカーバイドと同等の熱伝達特性及び
放射率を備えた材料から形成される。この第1の層50
1の働きは、反応ガスがサセプタ500による温度勾配
の影響を受けることがないように、第1の層501によ
って支持された基板530(図18)の温度勾配を最小
にし、かつ基板530を取り囲む周囲の温度を概ね均一
に保つことである。
【0054】第1の層501を形成する複数の構成要素
の厚さは、この第1の層501の熱的な質量がRTP反
応炉のサイクルタイムに影響を及ぼさないように最小化
されなければならないが、一方では温度勾配を最小にし
かつプロセスガスへの影響を最小にするために十分な厚
さが保たれていなければならない。加えて、第1の層5
01は以下により詳しく説明されるように、そり及び亀
裂に関する問題を最小にするようにその形状が構成され
ている。第1の層501は第2の層502によって支持
されることによってその剛性及び安定性が確保されてい
るので必要に応じてできるだけ大きい横方向の寸法を有
することができる。
【0055】第2の層を構成する材料は、吸収される熱
量が最小となるように、即ち第2の層502がRTP反
応炉内の熱源からの熱を概ね吸収することなく伝達する
ように決定されている。従って、第2の層500には熱
的質量に関与しないが、第2の層502は第1の層50
1に対する剛性及び支持を提供する。しかし、第2の層
は、選択された部分において保温力を増すように形成さ
れてもよい。
【0056】第1の層501と第2の層502とを組み
合わせることによって、第1の層501によってもたら
された低い熱的質量と、基板の近傍における概ね均一な
温度分布とを有し、その一方で1枚の大型の半導体基板
若しくは複数の半導体基板を支持するための安定性及び
剛性を備えたサセプタ500が形成される。更に、従来
技術のRTP反応炉用のサセプタに関する薄型の内側の
プレートとより大きな重量の外側のリム部に関する上述
された問題が解消されるが、その理由は第1の層501
を構成する各構成要素が均一な熱的質量の特性を有し、
従って、個々の構成要素の熱的質量の相違を原因とする
温度勾配が発生しないためである。
【0057】この実施例では、サセプタ500はその上
にペデスタルプレート511が取り付けられたペデスタ
ル支持シャフト510によって支持されている。ペデス
タルプレート511は複数のコラム512〜514を、
この実施例では3本のコラムを有し、このコラムは第2
の層502を支持している。受動熱分配器515、若し
くはその代わりとなるセラミック抵抗加熱器が、ペデス
タル支持プレート511の上側面511aの上に配置さ
れており、ペデスタルプレート511の上側面511a
から延在する複数のピンによって所定の位置に保持され
ている。カバー516が、受動熱分配器515の上に配
置されている。基板支持ピン520aから520dは、
第2の層502の面取りされた面との間で気密を形成す
る第1の面取りされた表面と、基板包囲リング540の
対応する面取りされた開孔部に嵌合される第2の面取り
された表面とを有する。以下により詳しく説明されるよ
うに、基板支持ピン520aから520dは、基板53
0が基板取り扱いシステムによって処理されるように基
板包囲リング540を、従って、基板530を第1の層
501の上側面の上に持ち上げるために用いられる。
【0058】図18は、サセプタ500の上面図を表し
ている。この実施例では、サセプタ500は約50.8
cm(20インチ)の直径を有する。破線510aは、
ペデスタル支持シャフト510の頭部の円周を表してい
る。ペデスタル支持プレート511の底面の放射状リブ
及び支持コラム512〜14もまた破線によって表され
ている。その他の隠れた構成要素は図面を明瞭にするた
めに図示されていない。
【0059】熱分配要素503aから503fは複数の
円筒形ピン505a1から505f2によって所定の位
置に保持されており、例えば熱分配要素503aは、ピ
ン505a1に対応する部分に第1の半円形ノッチ、ピ
ン505a2に対応する部分に第2の半円形ノッチを各
々有する。ピン505a1から505fは、第2の層5
02の上側面502aの周縁部に形成されている。各熱
分配要素は、対応する円筒形ピンと嵌合する外側の円周
のエッジ面に設けられた半円形ノッチを有する。
【0060】図18では、熱分配要素503aから50
3fの隣接する熱分配要素のエッジ面の間に小さいギャ
ップ506aから506fが描かれている。また、基板
包囲リング504の外側円周エッジ面541と、熱分配
要素503aから503fの内側エッジ面との間の小さ
い円周ギャップ507も描かれている。これらの種々の
ギャップの寸法は、動作温度において熱分配要素が互い
に接触し、かつ基板包囲リング540と接触するような
値に設定されている。即ち、動作温度において、熱分配
要素503aから503fは、加熱された反応ガスの流
れが基板530の上全体に亘って適切に保持されるよう
に基板530の上で加熱された表面を形成する。
【0061】基板包囲リング540は、切欠き部分を備
えた円環状構造を有し、基板包囲リング540は図18
に例示されているように文字Cと類似した形状を有す
る。基板包囲リング540は、その上に基板530が置
かれる内側円周エッジ面542に隣接して設けられた棚
部544を有する。基板包囲リング540のリム部54
5は棚部544の上に延在し、かつ基板530の外側円
周エッジ面を取り囲む。内側円周エッジ面542は面取
りされており、かつ基板包囲リングインサート550の
面取りされた外側円周エッジ面とはめあわされる。以下
に詳しく説明されるように、基板包囲リングインサート
550は、概ね円形であり、かつ棚部554と概ね等し
い高さを有し、基板530の裏側面もまた基板包囲リン
グインサート550の上側面と接するようになってい
る。加えて、基板包囲リングインサート550は、その
中心線に沿った外向きに延在する突出部を有する。基板
包囲リングインサート550のリム部は、基板包囲リン
グ540のリム部545の切欠き部分を完全に補完し、
サセプタ550が処理位置に置かれている時、基板53
0の外側円周エッジ面がリム部によって完全に取り囲ま
れるようになっている。好ましくは、棚部544からリ
ム部の頭部までの距離は、基板の厚みのおよそ2倍であ
る。1つの重要な点は、リム部の高さが、処理中に基板
の外側エッジがリム部の頭部より低い位置に保たれる様
な値に設定されているということである。従って、図1
7では基板530は見えない位置に配置されている。
【0062】基板包囲リング540は、基板包囲リング
540を貫通するリム部545に形成された複数の孔5
48Aから548Dを含む。孔548Aから548Dは
先細りとなった部分を有し、以下に説明されるように、
基板支持ピン520Aから520Dの第2の先細りとな
った面とはめあわされる。サセプタ500が処理位置か
ら下降された時、先細りとなった端部と反対側の基板支
持ピン520aから520dの端部が、RTP反応炉の
反応チャンバ内の面と接触し、サセプタ500が更に下
降されると、基板支持ピン520aから520dによっ
て基板包囲リング540が固定位置に留められる。即
ち、基板包囲リング540と組み合わされた基板530
は、図19に示されたようにサセプタ500の上に保持
される。基板包囲リングインサート550は第2の層5
20の上に置かれている。即ち、この下降位置では、基
板取り扱い手段が基板包囲リングのギャップを通して基
板の下側面の下に配置され、基板535をRTP反応炉
から移動させることができる。この基板が反応炉から取
り除かれた後に、他の基板が基板包囲リング540に配
置される。
【0063】図20は、本発明のこの実施例における熱
分配要素503aから503fをより詳しく表してい
る。熱分配要素503aから503fは、シリコンカー
バイドでコーティングされているグラファイトからな
り、この実施例では、約0.36cm(0.14イン
チ)の厚さを有する。円形の切欠き部分601は、中心
線602に沿ってサセプタ500の中心601Sから距
離「COFF」だけ離れて配置された中心601Cを有
する。この実施例では、円形切欠き部分601の中心か
ら中心601Cからの直径は約34.3cm(13.5
インチ)である。距離「COFF」はこの実施例では約
5.7cm(2.44インチ)である。
【0064】熱分配要素503a、503b及び503
cは、中心線602に関して熱分配要素503f、50
3e及び503dと各々線対称の形状を有する。熱分配
要素が線対称の形状を有するので、図20ではノッチ6
01b2から601e1の位置のみが示されている。各
半円形ノッチは、中心601Sを通って半径方向に延在
する第1の中心線と、熱分配要素の外側円周エッジ面に
接しかつ前記第1の中心線と直交する第2の中心線とを
有する。各半円形ノッジの半径は約0.7cm(0.2
8インチ)である。
【0065】ノッチ601c1及び601d2の第1の
中心線の各々は、中心線602との間で角度βをなす。
ノッチ601c2及び60d1の第1の中心線は、中心
線602との間で角度β/2をなす。ノッチ601b2
及び601e1の第1の中心線は、中心線602との間
で角度1.5×βをなす。この実施例では角度βは45
度である。
【0066】ギャップ506a及び506bの各々に沿
った直線はサセプタ500の中心601Sで交差し、こ
の実施例では、各ギャップはおよそ0.25cm(0.
1インチ)の幅を有する。ギャップ506aは、各々が
熱分配要素の外側円周エッジ面から内側円周エッジ面ま
で延在する熱分配要素503aと503fの隣接するエ
ッジ面によって形成されている。ギャップ506bは、
各々が熱分配要素の外側円周エッジ面から内側円周エッ
ジ面まで延在する熱分配要素503aと503bとの隣
接するエッジ面によって形成されている。ギャップ50
6cは、各々が熱分配要素の外側円周エッジ面から内側
円周エッジ面まで延在する熱分配要素503bと503
cとの隣接するエッジ面によって形成されている。ギャ
ップ506dは、熱分配要素の外側円周エッジ面から内
側円周エッジ面まで延在する熱分配要素503cと50
3dとの隣接するエッジ面によって形成されている。ギ
ャップ506eは、各々が熱分配要素の外側円周エッジ
面から内側円周エッジ面まで延在する熱分配要素503
dと503eとの隣接するエッジ面によって形成されて
いる。ギャップ506fは、各々が熱分配要素の外側円
周エッジから内側円周エッジ面まで延在する熱分配要素
503eと503fとの隣接するエッジとによって形成
されている。
【0067】隣接する2つのギャップの中心線は角度α
を画定する。この実施例では角度αは60度となってい
る。熱分配要素の数が増加若しくは減少された場合、角
度αの熱分配要素の数に応じて変わることは当業者には
容易に理解される。更に、この実施例では熱分配要素5
03aから503fは、サセプタの中心線に関して対応
する熱分配要素と互いに線対称の形状を有するが、他の
実施例では、第1の層501の様々の構成要素は、その
製造及び使用が容易となるような任意の形状を有するも
のであってよい。構成要素の寸法を選択する重要な条件
は、そり、曲がり、亀裂を最小にするような寸法を選択
するということである。
【0068】図21は、基板包囲リング540のより詳
細な上面である。図22は、切欠き部分750を通して
眺めた基板包囲リング540の端面図である。図23は
基板支持ピン孔を含む基板包囲リング540のエッジ部
分の拡大図である。この実施例では、基板包囲リング5
40はシリコンカーバイドから形成されている。
【0069】図21では、基板包囲リング540は中心
701Cを有する。基板包囲リング540が面502の
上に配置された時、中心501Cは中心601Cと重な
る。基板包囲リング540の内側円周エッジ面542
は、約28.83cm(11.35インチ)の直径を有
する。リム部545の内側円周エッジ面543によって
確定される円形部分は、約30.35cm(11.95
インチ)の直径を有し、R1は約1.52cm(0.6
0インチ)となる。
【0070】エピタキシャルプロセスにおいて、リム部
545の内側円周エッジ面543の位置が重要である。
基板とエッジ面543(壁543)との間のギャップが
大きい場合、スリップが発生する。従って、壁543は
基板のエッジ部分からの熱の損失を最小にするように基
板のエッジ部分にできる限り近づけて配置される。特
に、壁543の直径は、できる限り基板の直径に近い値
に設定され、しかし基板が基板包囲リング540内に置
かれた時、基板は壁543に触れずに棚部544にその
全体が配置されなければならない。基板のエッジ部分と
壁543との間のギャップは約0.13cm(0.05
インチ)から0.51cm(0.2インチ)の範囲にあ
り、ある実施例では約0.16cm(0.0625イン
チ)となっている。同様に、棚部544の幅R1は、基
板包囲リング540と基板包囲リングインサート550
との間の境界面の効果を最小にするように設定すること
ができる。内側円周エッジ面542は、図23に示され
た角度β1によって画定されるように面取りされてい
る。この実施例では角度β1は約15度となっている。
【0071】基板ピン支持孔548A〜548Dはリム
部545の中心線に沿って配置されている。基板支持ピ
ン孔548A及び548Dは、基板包囲リング540の
中心線702から距離d1だけ離れたところに形成され
ている。この実施例では距離d1は約13.97cm
(5.5インチ)となっている。基板包囲リング540
の一方の端部751からもう一方の端部752までの開
孔部750は、約5.18cm(2.04インチ)の距
離を有する。即ち、基板包囲リング540は、ギャップ
750だけ隔てられた第1の端部751と第2の端部7
52とを有し、この基板包囲リング540は文字Cと類
似した形状を有する。基板支持ピン孔540Aから54
0Dは中心線703からおよそ距離d4だけ離れた位置
に設けられている。距離d4はこの実施例では約8.1
3cm(3.2インチ)となっている。
【0072】基板支持ピン孔548Bと548Cは、中
心線702から距離d2だけ離れかつ中心線703から
距離d3だけ離れた位置に設けられている。この実施例
では距離d2は7.62cm(3.0インチ)であり、
距離d3は13.4cm(5.63インチ)である。外
円周エッジ面541の直径は約33.78cm(13.
3インチ)となっている。外円周エッジ面541におけ
る包囲リングの厚みは、約0.64cm(0.25イン
チ)であり、内側円周エッジ面542での基板包囲リン
グの厚みは約0.51cm(0.20インチ)となって
いる。即ち、棚部542はリム部545の頭部から約
0.13cm(0.05インチ)の深さを有し、即ち、
壁543の高さは図22の垂直な破線によって表されて
いるように約0.13cm(0.05インチ)となって
いる。
【0073】図23は基板包囲リング540の基板支持
ピン孔を表すための切欠き図である。基板支持ピン孔は
基板包囲リングのリム部545を貫通し、約20度の角
度のβ2を画定するように面取りされている。
【0074】図24は基板包囲リング540とはめ合わ
される基板包囲リングインサートの550の上面図であ
る。図25は、基板包囲リングインサート550の側面
図であり、図26は基板包囲リングインサート550の
突出部850の切欠き図である。
【0075】基板包囲リングインサート550はその上
側面801での外側円周部の直径が28.7cm(1
1.3インチ)であり、その下側面802での外側円周
の直径が28.96cm(11.4インチ)であり、こ
れによって基板包囲リング540の面取りされた内側円
周エッジ面542とはめ合わされる。この実施例では、
基板包囲リングインサート550は厚さ0.51cm
(0.2インチ)のシリコンカーバイドから形成されて
いる。
【0076】突出部850は、基板包囲リング540の
棚部544とリム部545と類似の形状を有するその中
心線803に沿った棚部及びリム部を有し、かつ基板包
囲リング540ギャップ750を補完する。図26は、
基板包囲リングインサート550を所定の位置に保持す
るように表面502のリップ部とはめ合わされる基板包
囲リングインサート550のリム部845に設けられた
溝を表している。
【0077】図27は、サセプタ500の第2の層50
2の上面図である。図28は第2の層502の側面図で
ある。図29は基板支持ピン孔948Aから948Dの
側断面図である。図30はコラム512から513を受
容する第2の層502の下側面911に設けられた凹部
949Aから949Cを表す側断面図である。
【0078】この実施例では、第2の層502は透明な
(clear)水晶から形成されており、上側面910
及び下側面911は炎洗浄されている。外側円周エッジ
面912はビードブラストされている。他の実施例で
は、基板包囲リングの下の部分がビードブラストされて
いてもよい。この実施例では、層502は約50.8c
m(20インチ)の直径と、1.11cm(0.437
インチ)の厚さを有する。基板支持ピン孔948Aから
948Dの位置は、図32に示された基板支持ピン孔1
148Aから1148Dに各々等しい。しかし、第2の
層502が複数の第1の層501と共に用いられる場
合、第2の層502に取り付けられた各基板包囲リング
に対する複数の基板支持ピン孔を有する。
【0079】12個のピン505A1から505F2の
各々を通る中心線914は、この実施例では50.17
cm(19.75インチ)の直径を有し、基板支持ピン
505A1から505F2は外側円周エッジ面912に
隣接して設けられている。各々の基板支持ピンは約0.
36cm(0.14インチ)の高さと約0.61cm
(0.24インチ)の直径を有する。
【0080】第2の層502は基板包囲リングインサー
ト550のリム部845の下側面の対応する凹部と係合
するように配置された上側面910のリップ部951を
有する。リップ部951は中心線902と直交して設け
られておりかつ中心線902に関して線対称に設けられ
ている。リップ部951は中心線902に沿って中心線
903から距離d9だけ離れて配置されており、この実
施例では距離d9は約21.59cm(8.5インチ)
となっている。
【0081】図29は、基板支持ピン孔948Aから9
48Bの各々の側断面図を表している。各々の基板支持
ピン孔は下側面911において直径d91を有し、上側
面910において直径d92を有する。上側面910に
おける基板支持ピン孔の開孔は下側面911に向かうに
従って先細りとなっており、下側面911における開孔
は、上側面910から距離d93だけ離れた位置まで直
径d91を有する。サセプタ500が処理位置にある
時、基板支持ピンの対応する先細りの形状を有する部分
との間で気密状態が形成されるように、この先細りの形
状は正確に選択されている。この実施例では、距離d9
1は約0.51cm(0.2インチ)であり、距離d9
2は約0.76cm(0.3インチ)であり、距離d9
3は約0.58cm(0.23インチ)であり、角度β
9は約30度である。この実施例では、第2の層502
は約11.2cm(0.44インチ)の厚さを有し、リ
ップ部951は0.02cm(0.08インチ)の高さ
を有する。図30では、凹部949は0.32cm
(0.125インチ)の深さを有する。
【0082】基板支持ピン1000(図31)は、基板
支持ピン520Aから520Dの各々を表したものであ
る。基板支持ピン1000は、その全長が8.26cm
(3.25インチ)であり、その直径は、面取りされた
部分以外は0.32cm(0.125インチ)である。
円筒形部分1002に続いて第1の面取りされた部分1
003が設けられており、この面取りされた部分100
3は円筒形部分1002から離れるに従ってその直径が
増加している。第1の面取りされた部分1003の次に
設けられた第2の面取りされた部分1004は、第1の
面取りされた部分から離れるに従ってその直径が減少し
その端部において円筒形部分1002と等しい直径を有
する。
【0083】図32は、カバー516の上面図である。
この実施例では、カバー516は炎洗浄された透明な水
晶からなり、厚さ0.33cm(0.131インチ)及
び直径50.8cm(20インチ)である。開孔110
1Aから1101Cは直径1.91cm(0.75イン
チ)であり、基板支持ピン孔1148Aから1148D
は直径0.97cm(0.38インチ)である。距離d
1及びd2は、図2に示された対応する距離と等しい。
その他の距離はこの実施例では以下の表に表された値と
なっている。
【0084】
【表1】
【0085】図33は、グラファイトでコーティングさ
れたシリコンカーバイドから形成された受動熱分配器5
15のより詳細な図である。受動熱分配器515は、コ
ラム512から514に対する開孔部を備えていること
以外は熱分配要素と類似の形状を有する。受動熱分配器
515の開孔の位置は、カバー516に設けられた開孔
の位置と等しい。円形の開孔部分は直径21.21cm
(11.5インチ)を有する。角度及びギャップの寸法
は、図20の熱分配要素の角度及びギャップの寸法と等
しく、熱分配要素に関する説明をここで引用する。
【0086】図34及び図35は、各々、ペデスタルプ
レート511の上面図と側面図である。コラム512か
ら514は直径1.60cm(0.63インチ)と高さ
0.24cm(0.88インチ)である。基板支持ピン
孔1348Aから1348Dは、他の構成要素に関して
説明された位置と等しい位置に設けられている。ペデス
タルプレート511の上側面1301に設けられたピン
は、第2の層502の外側円周部分に設けられたピンと
等しい位置に設けられており、かつ等しい寸法を有す
る。ペデスタルプレート511は炎洗浄された不透明な
(opaque)水晶からなる。
【0087】図36及び図37は各々ペデスタルシャフ
ト510の上面図と側面図である。上側部分1401は
不透明な水晶から構成されており、一方底部1402は
透明な水晶から構成されている。
【0088】熱分配要素及び基板包囲リングを備えたサ
セプタ500は1枚の大型の基板用として構成されてい
る。サセプタ500は固定されているかまたは回転する
ように設けられている。同様に、サセプタ500は一枚
の基板ではなく複数の基板を支持するように変形され
る。図38では、サセプタ1500は、複数の基板用に
用いられること以外はサセプ500と等しい基本理念を
用いている。
【0089】図38には示されていないが、サセプタ1
500は2つの層を有する。第1の層1501は、複数
の基板包囲リングと、複数の基板包囲リングの各々に対
する基板包囲リングインサートと、複数の熱分配要素1
503Aから1503Eを含む複数の構成要素から形成
されている。第1の層1501を形成する複数の構成要
素は、第2の層1502によって支持されている。各々
の基板包囲リング及び基板包囲リングインサートは、よ
り小さい寸法の基板を支持するように適した寸法を備え
ていること以外は上述された対応する基板包囲リング及
び基板包囲リングインサートと等しく、これらの構成要
素に関する説明はここでは省略する。
【0090】第1の層1501を形成する複数の構成要
素は、グラファイト及びシリコンカーバイドと同様の熱
伝達特性及び放射率を有する材料から形成されている。
この第1の層1501の働きは、第1の層1501によ
って支持されている基板内の温度勾配を最小にするこ
と、及び基板を取り囲む空間の温度を概ね均一に保持し
て反応ガスがサセプタ1500によって形成された温度
差の影響を受けないようにすることである。同様に、第
2の層1502を形成する材料は、吸収される熱エネル
ギーが最小となるよう、即ちこの第2の層1502がR
TP反応炉の熱源からの熱をほとんど吸収することなく
この熱を伝達するような材料が選択されている。従っ
て、第2の層1502は、実質的な熱的質量を有しない
が、この第2の層1502によって第1の層1501に
対する剛性及び支持が提供される。
【0091】第1の層1501と第2の層1502とを
組み合わせて用いることにより、第1の層1501によ
る低い熱的質量と、基板の近傍の概ね均一な温度分布
と、複数の基板を支持するための安定性及び剛性とを備
えたサセプタ1500が達成される。更に、第1の層1
501の各構成要素は均一な熱的質量の特性を有し、構
成要素毎の熱的質量の差によって生ずる温度勾配が発生
しないので、薄型の内側プレートとより質量の大きい外
側リム部とを備えた一枚の基板のみを支持する従来技術
のRTP反応炉で用いられるサセプタに関する問題点は
生じない。
【0092】この実施例では、サセプタ1500は約3
6.2cm(14.25インチ)の直径を有する。熱分
配要素1503Aから1503Cは、複数の円筒形ピン
1505A1から1505C2によって所定の位置に保
持されており、例えば、熱分配要素1503Aは円筒形
ピン1505A1に対応する第1の半円形ノッチと円筒
形ピン1505Aに対応する第2の半円形ノッチとを有
する。円筒形ピン1505A1から1505C2は、第
2の層1502の上側面1502Aの周縁部分に設けら
れている。各熱分配要素1503Aから1503Cは対
応する円筒形ピンと嵌合される外側円形エッジ面に設け
られた半円形ノッチを有する。熱分配要素1503D
は、上述された3つの熱分配要素とは異なり、4個のコ
ラムを備えたペデスタルプレートのポストの頭部に嵌合
される。
【0093】図38では、小さいギャップ1506Aか
ら1506Cが各々、熱分配要素1503Aから150
3Cの隣接するエッジ面の間に描かれている。基板包囲
リング1540Aから1540Cの外側円周エッジ面1
541A〜1541Cの各々と、熱分配要素1503A
〜1503Cの隣接する内側円周エッジ面との間の小型
のギャップ1507A〜1507Cもまた図示されてい
る。更に、熱分配要素1503Dの外側エッジ面と、基
板包囲リング1540A〜1540Cの外側円周エッジ
面との間の小型のギャップが形成されている。これらの
ギャップの寸法は動作温度に於て熱分配要素が互いに接
触し、かつ基板包囲リング1540Cと接触するように
決定されている。従って、動作温度では、熱分配要素1
503Aから1500Dは、複数の基板に対して加熱さ
れた表面を形成し、これら複数の基板の上で加熱された
反応ガスの流れが適切に保持される。
【0094】図39は、本発明の実施例に用いるペデス
タルプレート及びペデスタルシャフトを表す図である。
図は、第2の層1502を貫通して延在し、その上に熱
分配要素1503Dが取り付けられたコラム1601を
表している。この特別なコラムが、基板の枚数を変えた
場合について、及び基板包囲リングの関連する特徴につ
いて考慮されたとき、サセプタ500のための上述され
た他の構成要素は、図38に例示された構成の観点か
ら、サセプタ1500に用いるために当業者によって容
易に変更される。従って、様々な構成要素についての説
明は省略される。
【0095】本発明の上述された実施例では、基板包囲
リングはその上に基板が配置される棚部を有し、基板包
囲リングインサートは基板包囲リングの棚部と等しい高
さの平坦面を有する。他の実施例では、基板を取り囲む
リム部が基板包囲リングインサートに配置されているこ
と以外には、同様の構成が用いられる。図40は、本発
明のこの実施例の上面図である。
【0096】図40において、基板包囲リング1740
と、基板包囲リングインサート1750と、基板包囲リ
ングギャップインサート1760のみが描かれかてい
る。これらの構成要素は、サセプタ500Aを構成する
ために、例えば、基板包囲リング540と、サセプタ5
00の上の基板包囲リングインサート550との代わり
に用いられている。基板支持ピンの本数の変更によるこ
の変更及び変形は、サセプタ500のその他の特徴を変
更せずに保持する。同様に、図40に例示された構成要
素はサセプタ1500に用いることができる。従って、
図40に例示されたこれら3つの構成要素のみについて
その特徴を以下により詳しく説明する。
【0097】基板包囲リング740は、切欠き部分を有
する円環状の形状を有し、図40に表されているように
C型の形状を有する。基板包囲リング1740は、その
内側円周エッジ面1742に設けられた複数のリフトタ
ブ1745Aから1745Cを有する。各リフトタブは
この実施例では概ね長方形の形状を有する。各リフトタ
ブは上面に沿って除去された部分を有し、内側円周エッ
ジ面1742から除去されたリフトタブの端部に棚部を
形成する。更に、上述されたように、内側円周エッジ面
1742及び各リフトタブの垂直エッジ面は面取りされ
ており、基板包囲リングインサート1750の対応する
面取りされた外側円周エッジ面とはめあわされる。
【0098】以下により詳しく説明されるように、基板
包囲リングインサート1750はほぼ円形の形状を有
し、かつリフトタブ1745Aから1745Cの棚部の
高さとほぼ等しい高さを有し、基板の裏側面が、基板包
囲リングインサート1750の上側面と各リフトタブ1
745Aから1745Cとに接する。
【0099】基板包囲リングインサート1750は、外
側円周エッジ面に設けられた複数のノッチを有し、各ノ
ッチは基板包囲リング1740の内側円周エッジ面17
42の対応するリフトタブとはめ合わされる。更に、基
板包囲リング1750はリム部1751を有し、このリ
ム部1751は、その上側面1750Aの外側円周部分
にノッチが設けられていること以外は、上側面1750
Aの外側円周部において基板包囲リング1740と等し
い高さを有する。
【0100】基板包囲リングギャップインサート176
0は、基板包囲リング1740の切欠き部分を補完し、
サセプタ500Aが処理位置に配置されているとき、基
板の外側円周エッジ面がリム部1751と基板包囲リン
グ1740とによって形成されたリム部によって完全に
取り囲まれる。
【0101】基板包囲リング1740は、基板包囲リン
グ1740を貫通して延在する複数の開孔1748Aか
ら1748Cを有する。開孔1748Aから1748C
には上述されたように先細り部分が設けられており、基
板支持ピンの第2の先細り部分とはめ合わされる。サセ
プタ500Aが処理位置から下降されたとき、先細り部
分が設けられた端部とは反対側の基板支持ピンの端部が
RTP反応炉の反応チャンバ内の表面に接触し、一方サ
セプタ500Aが引き続いて下降されたとき、基板支持
ピンは基板包囲リング1745を制止した状態に留め
る。即ち、基板は基板包囲リング1740の複数のリフ
トタブによって支持されており、それにより基板がサセ
プタ500Aの上に保持されることになる。この位置で
は、基板取り扱い装置が基板の下側面の下に配置され、
RTP反応炉から基板を取り除く。
【0102】図41は、基板包囲リング1740のこの
実施例のより詳細な図面である。上述されたように、基
板包囲リングの本体部分は、切欠き部分1850を有す
る円環状部分1805からなる。円環状部分1805の
内側円周エッジ面1742は面取りされており、内側円
周エッジ面1742から円環状部分1805の中心18
01Cへ向けて延出する複数のリフトタブを有する。こ
の実施例では、円環状部分1805はリフトタブ174
5Aから1745Cによって等しい寸法の3つの部分に
区分けされる、即ちリフトタブは120度ごとに配置さ
れている。更に、基板支持ピン孔が対応するリフトタブ
の中心線上の環状部分1805に形成されている。各リ
フトタブの上側面は円環上部分1805から最も離れた
部分においてその一部が切欠き部分となっており、これ
によって棚部即ち棚部1845Aから1845Cが形成
されており、これらの棚部は基板を支持する。図40
は、リフトタブ1745Aの上側面に形成された棚部1
845Aを備えた各リフトタブ1745Aの拡大図であ
る。
【0103】この実施例では、基板包囲リング1740
は3個のリフトタブを有し、この3個のリフトタブは内
側円周エッジ面に等間隔に設けられている。しかし、リ
フトタブの個数を変更することは可能であり、リフトタ
ブの間隔は、任意の物理的な必要性及びプロセスの特性
の必要性に応じて変更できる。即ち、本発明の実施例は
本発明の基本理念を例示提示するものであり、この実施
例の特別な特徴への本発明の限定を意図するものではな
い。
【0104】図43は、基板包囲リングインサート17
50のこの実施例のより詳細な図である。上述されたよ
うに、基板包囲リングインサート1750の本体は上側
面1902の外側円周部に形成されたリム部1751
と、面取りされた外側円周エッジ面1905に形成され
た複数のノッチ1945Aから1945Cとを有する平
坦な円筒部からなる。面取りされた外側円周エッジ面1
905は、ノッチ1945Aから1945Cによって3
つの等しい寸法の部分に区分され、即ちノッチは120
度の間隔で配置されている。各ノッチは、基板包囲リン
グ1740のリフトタブがノッチ内に配置されたとき、
即ちノッチがリフトタブとはめ合わされたとき、連続し
た基板支持面と基板包囲リム部とが形成されるように形
成されている。
【0105】図44は基板包囲リングインサート175
0の外側円周エッジ面1905の拡大断面図であり、リ
ム部1751と基板を支持する表面1802をより明瞭
に表している。リフトタブの棚部は、表面1802と等
しい高さを有し、リフトタブの上側面は、基板包囲リン
グインサート1750のノッチとはめ合わされてリム部
1751を補完する。
【0106】図45から図47は基板包囲リングギャッ
プインサート1760の上面図と断面図とを各々表して
いる。基板包囲リングギャップインサート1760の内
側エッジ面2001は基板包囲リングインサート175
0とはめ合わされるように面取りされている。同様に端
部エッジ面2002及び2003は基板包囲リング17
40の面取りされた端部とはめ合わされるように面取り
されている。更に、基板包囲リングギャップインサート
1760は、その裏側面にノッチ2005が形成されて
おり、上述されたようにサセプタの第2の層のリップ部
951と係合する。
【0107】これまで2つの層からなるサセプタの様々
な実施例が説明されてきた。これらの様々な実施例は本
発明の基本理念を例示するためのものであり、これまで
記載された特定の特徴及び寸法に本発明を限定すること
を意図するものではない。例えば、1つの基板包囲リン
グ若しくは複数の基板包囲リングと、第1の層を形成す
る熱分配要素と、基板を支持するための第2の層とを備
えた2つの層からなるサセプタを、固定された長方形若
しくはその他の形状のサセプタとして用いることができ
る。
【0108】
【発明の効果】本発明によれば、RTP反応炉において
スループットを向上させる熱的質量を有すると共に、1
枚の大型の半導体ウェハ若しくは複数の半導体ウェハを
支持することのできる安定性及び剛性を備えたサセプタ
が提供される。
【図面の簡単な説明】
【図1】その内部にサセプタが配置された、水平型反応
炉として知られている従来技術の反応炉の簡略化された
断面図。
【図2】その内部に反応炉を画定するベルジャーの内部
にサセプタが懸架された、シリンダ型反応炉として知ら
れている他の形式の従来技術の反応炉の簡略化された斜
視図。
【図3】その内部に垂直方向に関して固定されたサセプ
タが反応炉を画定するベルジャーの底部から支持され
た、縦型反応炉として知られている更に他の形式の従来
の化学蒸着反応炉の簡略化された断面図。
【図4】従来技術のサセプタを表す図。
【図5】高速熱処理炉において用いられる従来技術の他
の形式のサセプタを表す図。
【図6】高速熱処理炉において用いられる更に他の形式
の従来技術のサセプタを表す図。
【図7】本発明のある実施例に基づくサセプタのポケッ
ト部内に配置されたウェハ包囲リング、スピンドル、及
びウェハの平面図。
【図8】本発明のある実施例に基づくサセプタのポケッ
ト部内に配置されたウェハ包囲リング、スピンドル、及
びウェハの側面図。
【図9】本発明の他の実施例に基づくサセプタのポケッ
ト部内に配置されたウェハ包囲リング、サセプタインサ
ート、スピンドル、及びウェハの断面図。
【図10】本発明の他の実施例に基づくサセプタのポケ
ット部内に配置されたウェハ包囲リング、スピンドル、
及びウェハの断面図。
【図11】本発明の他の実施例に基づくサセプタのポケ
ット部内に配置されたウェハ包囲リング、サセプタイン
サート、スピンドル、及びウェハの断面図。
【図12】本発明の他の実施例に基づくサセプタのポケ
ット部内に配置されたウェハ包囲リング、及びウェハの
断面図。
【図13】本発明の他の実施例に基づくサセプタのポケ
ット部内に配置されたサセプタインサート、ウェハ包囲
リング、及びウェハの断面図。
【図14】本発明のある実施例に基づくウェハ包囲リン
グのC型部分の平面図。
【図15】本発明のある実施例に基づくウェハ包囲リン
グのC型部分の側断面図。
【図16】C型部分とはめ合い部分とを含む本発明の他
の実施例に基づくウェハ包囲リングの平面図。
【図17】処理位置における本発明の基本理念に基づく
2つの層からなるRTP反応炉用サセプタを表す図。
【図18】図17に例示されたサセプタの上面図。
【図19】上昇位置における半導体基板及び半導体基板
包囲リングを含む本発明の基本理念に基づく2つの層か
らなるRTP反応炉用サセプタを表す図。
【図20】本発明に基づくサセプタの第1の層の複数の
熱分配要素の上面図であり、本発明のこの実施例におけ
る各熱分配要素の形状及び相互の位置を例示する図。
【図21】ウェハ包囲リングのある実施例をより詳細に
表した上面図。
【図22】切り欠き部分を通して眺めた図21のウェハ
包囲リングの端面図。
【図23】本発明の基本理念に基づく基板支持ピン孔を
含む基板包囲リングのエッジ部分の拡大図。
【図24】図21に例示されたウェハ包囲リングとはめ
合わされるウェハ包囲リングインサートの上面図。
【図25】図24に例示されたウェハ包囲リングインサ
ートの側面図。
【図26】図24及び図25に例示されたウェハ包囲リ
ングインサートの突出部の断面図。
【図27】本発明のサセプタの第2の層の上面図。
【図28】図27に例示された第2の層の側面図。
【図29】サセプタの第2の層の基板支持ピン孔の側断
面図。
【図30】サセプタの第2の層の円筒形凹部の側断面
図。
【図31】本発明の2つの層からなるサセプタに用いら
れる基板支持ピンを表す図。
【図32】本発明の2つの層からなるサセプタのある実
施例で用いられる受動熱分配器用のカバーの上面図。
【図33】本発明の2つの層からなるサセプタのある実
施例で用いられる受動熱分配器のより詳細な上面図。
【図34】本発明の2つの層からなるサセプタのある実
施例で用いられるペデスタルプレートの上面図。
【図35】本発明の2つの層からなるサセプタのある実
施例で用いられるペデスタルプレートの側面図。
【図36】本発明の2つの層からなるサセプタのある実
施例で用いられるペデスタルシャフトの上面図。
【図37】本発明の2つの層からなるサセプタのある実
施例で用いられるペデスタルプレートの側断面図。
【図38】複数の半導体基板を支持する本発明の基本理
念に基づく2つの層からなるサセプタの上面図。
【図39】図38の2つの層からなるサセプタに用いら
れるために適したペデスタルプレートとペデスタルシャ
フトを表す図。
【図40】本発明の2つの層からなるサセプタで用いら
れる基板包囲リング、基板包囲リングインサート、及び
基板包囲リングギャップインサートのある実施例の上面
図。
【図41】図40に例示された基板包囲リングのより詳
細な上面図。
【図42】図40に例示された基板支持リングのリフト
タブの拡大図。
【図43】図40に例示された基板包囲リングインサー
トのより詳細な上面図。
【図44】図40に例示された基板包囲リングインサー
トの拡大断面図。
【図45】図40に例示された基板包囲リングギャップ
インサートの上面図。
【図46】図40に例示された基板包囲リングギャップ
インサートの断面図。
【図47】図40に例示された基板包囲リングギャップ
インサートの断面図。
【符号の説明】
100 従来技術の反応炉 101 サセプタ 101a サセプタ101の表面 102 水平な円筒部 103a、103b、103c 半導体ウェハ 104 熱源 105 反応ガス 110 従来の反応炉 111 サセプタ 111a サセプタ111の側面 112 ベルジャー 113 半導体ウェハ 114 熱源 115 吸気口 120 従来の真空蒸着反応炉 121 サセプタ 121a サセプタ121の表面 122 ベルジャー 123 半導体ウェハ 125 サセプタ支持部 213 サセプタ 214 半導体ウェハ 300 第1のサセプタ 304 凹部 302 上側面 303 側壁 310 第2のサセプタ 312 上側面 313 側壁 314 中空の環状部分 315 内側のリム部 316 外側のリム部 317 凹部 320 内側の側壁 400 サセプタ 401 ウェハ包囲リング 401a ウェハ404の上側面 401b ウェハ包囲リング401の厚み 401c ウェハ包囲リングの幅 401d ウェハ包囲リング401の環状上側面 401e ウェハ裏側接触面 401f ウェハエッジ接触面 402 スピンドル 402a ウェハ裏側接触面 403 サセプタ400のポケット 404 ウエハ 404a ウェハの上側面 417 サセプタインサート 421c ウェハ包囲リング421の内側エッジ面 421d ウェハ包囲リング421の外側エッジ面 422a スピンドル422の裏側接触面 437 サセプタインサート 441 ウェハ包囲リング 441a ウェハ裏側接触面 441b ウェハエッジ接触面 441c ウェハ包囲リング441の上側面 451 ウェハ包囲リング 451a ウェハ裏側接触面 451b ウェハエッジ接触面 451c ウェハ包囲リング451の上側面 457 サセプタインサート 495 ウェハ包囲リングのC型部分 495a〜495d 凹部 495e C型部分495のサセプタ接触面 495f ウェハ裏側接触面 495h 内側エッジ面 495g 上側面 495i 外側エッジ面 497 ウェハ包囲リング 498 C型部分 499 めあい部分 498a C型部分のリム部 498b C型部分のフロア部 499a はめあい部分のリム部 499b はめあい部分のフロア部 500 サセプタ 501 サセプタの第1の層 502 サセプタの第2の層 503A〜503F 熱分配要素 504 ウェハ包囲リング 505A1、505A2〜505F1、505F2 ピ
ン 506A〜506F ギャップ 507 円周ギャップ 510 ペデスタルシャフト 510A ペデスタルシャフトの輪郭 511 ペデスタルプレート 512〜514 コラム 515 受動熱分配器 516 カバー 520A〜520D 基板支持ピン 530 基板 541 基板包囲リング504の外側円周エッジ面 542 内側円周エッジ面 543 リム部545の内側円周エッジ面 544 棚部 545 リム部 548A〜548D 孔 550 インサート 601 円形の切欠き部分 601A1、601B1〜601F1、601F2 熱
分配要素のノッチ 601C 円形の切欠き部分601の中心 601S 熱分配要素全体の中心 750 基板包囲リング540の切欠き部分 751、752 基板包囲リング540の端部 850 基板包囲リングインサート550の突出部 845 基板包囲リングインサート550のリム部 801 基板包囲リングインサート550の上側面 802 基板包囲リングインサート550の下側面 910 第2の層502の上側面 911 第2の層502の下側面 912 外側円周エッジ面 914 中心線 948 基板支持ピン孔 948A〜948D 基板支持ピン孔 949 サセプタの第2の層の凹部 949A〜949C サセプタの第2の層の凹部 951 リップ部 1000 基板支持ピン 1002 基板支持ピンの本体部分 1003 基板支持ピンの面取りされた部分 1004 基板支持ピンの面取りされた部分 1101A〜1001C 開孔 1148A〜1148D 基板支持ピン孔 1301 ペデスタルプレート511の上側面 1348A〜1348D 基板支持ピン孔 1401 ペデスタルシャフト510の上側部分 1402 ペデスタルシャフト510の下側部分 1503A〜1503D 熱分配要素 1505A1、1505A2〜1505C1、1505
C2 円筒形ピン 1506A〜1506C ギャップ 1507A〜1507C ギャップ 1540A〜1504C 基板包囲リング 1541A〜1541C 基板包囲リングの外側円周エ
ッジ面 1601 コラム 1740 ウェハ包囲リング 1742 基板包囲リングの内側円周エッジ面 1745A〜1745C リフトタブ 1748A〜1748C 開孔 1750 ウェハ包囲リングインサート 1751 ウェハ包囲リングインサート1750のリム
部 1751A ウェハ包囲リング1750の上側面 1760 ウェハ包囲リングギャップインサート 1801C 円環状部分1805の中心 1802 表面 1805 円環状部分 1845A〜1845C 棚部 1850 切欠き部分 1902 ウェハ包囲リングインサート1750の上側
面 1905 ウェハ包囲リングインサート1750の外側
円周エッジ面 1945A〜1945C ノッチ 2001 基板包囲リングギャップインサート1760
の内側エッジ面 2002 基板包囲リングギャップインサート1760
の端部エッジ面 2003 基板包囲リングギャップインサート1760
の端部エッジ面 2005 ノッチ

Claims (23)

    【特許請求の範囲】
  1. 【請求項1】 高速熱処理炉(RTP炉)のサセプタ
    であって、 基板包囲リングと、前記基板包囲リングの周りに隣接し
    て配置された複数の熱分配要素とからなる複数の構成要
    素を有する第1の層と、 第1の表面と前記第1の表面の反対側の第2の表面とを
    有する第2の層とを有し、 前記第1の層の前記複数の構成要素が、前記第2の層が
    前記第1の層を支持するように前記第2の層の前記第1
    の表面の上に配置されていることを特徴とする高速熱処
    理炉のサセプタ。
  2. 【請求項2】 前記第2の層が、前記高速熱処理炉の
    熱源からの熱をほぼ吸収せずに伝達し、前記サセプタの
    熱的質量が前記第1の層の特性によって決定されること
    を特徴とする請求項1に記載のサセプタ。
  3. 【請求項3】 前記複数の構成要素が、前記基板包囲
    リングの内側円周エッジ面に隣接する円周エッジ面を備
    えた基板包囲リングインサートを更に有することを特徴
    とする請求項1に記載のサセプタ。
  4. 【請求項4】 前記基板包囲リングインサートの前記
    円周エッジ面と前記基板包囲リングの前記内側円周エッ
    ジ面とが面取りされており、前記基板包囲リングが、前
    記基板包囲リングインサートを変位させずに前記基板包
    囲リングインサートから持ち上げられることを特徴とす
    る請求項3に記載のサセプタ。
  5. 【請求項5】 前記基板包囲リングが、前記基板包囲
    リングに配置された基板を支持するための、前記基板包
    囲リングの前記内側円周エッジ面から前記基板包囲リン
    グの外側円周エッジ面に向けて所定の長さに亘って延在
    するように前記内側円周エッジ面の周りに設けられた棚
    部を更に有することを特徴とする請求項1に記載のサセ
    プタ。
  6. 【請求項6】 前記基板包囲リングが、前記基板包囲
    リングの前記外側円周エッジ面に沿ったリム部を更に有
    し、 前記リム部の内側円周エッジ面と前記棚部との交差部分
    が前記棚部の外側エッジを画定し、前記リム部の前記内
    側円周エッジ面が前記棚部から前記リム部の頭部まで延
    在する壁を形成することを特徴とする請求項5に記載の
    サセプタ。
  7. 【請求項7】 前記壁の高さが、前記基板の厚さの約
    2倍であることを特徴とする請求項6に記載のサセプ
    タ。
  8. 【請求項8】 前記棚部の前記外側エッジが、前記壁
    と前記基板との間のギャップが約0.13cm(0.0
    5インチ)から約0.51cm(0.2インチ)の範囲
    となるように設けられていることを特徴とする請求項7
    に記載のサセプタ。
  9. 【請求項9】 前記棚部の前記外側エッジが、前記壁
    と前記基板のエッジとの間の前記ギャップが約0.16
    cm(0.0625インチ)となるように設けられてい
    ることを特徴とする請求項7に記載のサセプタ。
  10. 【請求項10】 前記基板包囲リングがギャップによ
    って互いに隔てられた第1の端部と第2の端部とを含
    み、前記基板包囲リングが文字Cと類似した形状を有す
    ることを特徴とする請求項1に記載のサセプタ。
  11. 【請求項11】 前記複数の構成要素が前記基板包囲
    リングの内側円周エッジ面に隣接した円周エッジ面を備
    えた基板包囲リングインサートを更に有することを特徴
    とする請求項10に記載のサセプタ。
  12. 【請求項12】 前記基板包囲リングインサートの円
    周エッジ面と、前記基板包囲リングの前記内側円周エッ
    ジ面とが面取りされており、前記基板包囲リングインサ
    ートを変位させずに前記基板包囲リングが前記基板包囲
    リングインサートから持ち上げられることを特徴とする
    請求項11に記載のサセプタ。
  13. 【請求項13】 前記基板包囲リングが、前記基板包
    囲リング内に配置された基板を支持するための、前記基
    板包囲リングの前記内側円周エッジ面から前記基板包囲
    リングの外側円周エッジ面に向かって所定の距離に亘っ
    て延在し前記基板包囲リングの前記内側円周エッジ面に
    沿って設けられた棚部を更に有することを特徴とする請
    求項10に記載のサセプタ。
  14. 【請求項14】 前記基板包囲リングが、前記基板包
    囲リングの前記外側円周エッジ面に沿って設けられたリ
    ム部を更に有し、 前記リム部の内側円周エッジ面と前記棚部との交差部分
    が前記棚部の外側エッジを画定し、前記内側円周エッジ
    面が前記棚部から前記リム部の頭部まで延在する壁を画
    定することを特徴とする請求項13に記載のサセプタ。
  15. 【請求項15】 前記壁が前記基板の厚みのおよそ2
    倍の高さを有することを特徴とする請求項14に記載の
    サセプタ。
  16. 【請求項16】 前記棚部の前記外側エッジが、前記
    壁と前記基板のエッジとの間のギャップが約0.13c
    m(0.05インチ)から0.51cm(0.2イン
    チ)の範囲にあるように設けられていることを特徴とす
    る請求項14に記載のサセプタ。
  17. 【請求項17】 前記棚部の前記外側エッジが、前記
    壁と前記基板のエッジとの間の前記ギャップが約0.1
    6cm(0.0625インチ)となるように設けられて
    いることを特徴とする請求項16に記載のサセプタ。
  18. 【請求項18】 前記基板包囲リングインサートが、
    棚部と、前記基板包囲リングの前記ギャップ内に嵌合さ
    れるリム部とを備えた突出部を更に有することを特徴と
    する請求項14に記載のサセプタ。
  19. 【請求項19】 前記基板包囲リングが、前記基板包
    囲リングの前記内側円周エッジ面から延出する複数のリ
    フトタブを更に有することを特徴とする請求項1に記載
    のサセプタ。
  20. 【請求項20】 第1のギャップが前記熱分配要素の
    隣接するエッジ面の間に形成され、第2のギャップが前
    記熱分配要素のエッジ面と前記基板包囲リングの外側円
    周エッジ面との間に形成されるように、前記複数の熱分
    配要素が前記基板包囲リングの周りに前記包囲リングと
    隣接して配置されていることを特徴とする請求項1に記
    載のサセプタ。
  21. 【請求項21】 前記複数の熱分配要素の各々が外側
    円周エッジ面に設けられたノッチを有することを特徴と
    する請求項1に記載のサセプタ。
  22. 【請求項22】 前記第2の層が、前記第2の層の表
    面から延出しかつ前記第2の層の外側円周エッジ面に隣
    接して配置された複数のピンを更に有し、 前記熱分配要素の前記ノッチが前記複数のピンの対応す
    るピンと嵌合されることを特徴とする請求項21に記載
    のサセプタ。
  23. 【請求項23】 高速熱処理炉のサセプタであって、 内側円周エッジ面を備え、かつ前記内側円周エッジ面か
    ら延出する複数のタブを備えたウェハ包囲リングと、 外側円周エッジ面を備え、かつ前記外側円周エッジ面に
    設けられた複数のノッジを備えた基板包囲リングインサ
    ートとを有し、 前記基板包囲リングインサートが前記基板包囲リングに
    嵌合されることを特徴とする高速熱処理炉のサセプタ。
JP15755396A 1995-05-30 1996-05-29 Rtp炉のサセプタ Expired - Fee Related JP3962111B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/453,419 1995-05-30
US08/453,419 US5580388A (en) 1993-01-21 1995-05-30 Multi-layer susceptor for rapid thermal process reactors

Publications (2)

Publication Number Publication Date
JPH09129714A true JPH09129714A (ja) 1997-05-16
JP3962111B2 JP3962111B2 (ja) 2007-08-22

Family

ID=23800504

Family Applications (1)

Application Number Title Priority Date Filing Date
JP15755396A Expired - Fee Related JP3962111B2 (ja) 1995-05-30 1996-05-29 Rtp炉のサセプタ

Country Status (4)

Country Link
US (1) US5580388A (ja)
EP (1) EP0746009B1 (ja)
JP (1) JP3962111B2 (ja)
DE (1) DE69625545T2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001524751A (ja) * 1997-11-21 2001-12-04 エーエスエム アメリカ インコーポレイテッド 半導体処理装置用基板移動システム
JP2002520808A (ja) * 1998-05-11 2002-07-09 シュテアク エルテーペー システムズ ゲゼルシャフト ミット ベシュレンクテル ハフツング 基板を熱処理するための方法および装置
JP2006066432A (ja) * 2004-08-24 2006-03-09 Shin Etsu Handotai Co Ltd 石英治具及び半導体製造装置
WO2008142747A1 (ja) * 2007-05-16 2008-11-27 Canon Anelva Corporation 加熱処理装置
WO2017069238A1 (ja) * 2015-10-21 2017-04-27 住友大阪セメント株式会社 静電チャック装置
KR20180003641A (ko) * 2015-05-27 2018-01-09 어플라이드 머티어리얼스, 인코포레이티드 고 성장률 epi 챔버를 위한 열 차폐 링

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5820686A (en) * 1993-01-21 1998-10-13 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
JP2701767B2 (ja) * 1995-01-27 1998-01-21 日本電気株式会社 気相成長装置
JP3420655B2 (ja) * 1995-05-23 2003-06-30 株式会社アドバンテスト Icテスタ用ハンドラの恒温槽
US5881208A (en) * 1995-12-20 1999-03-09 Sematech, Inc. Heater and temperature sensor array for rapid thermal processing thermal core
US5863843A (en) * 1996-07-31 1999-01-26 Lucent Technologies Inc. Wafer holder for thermal processing apparatus
US6110289A (en) * 1997-02-25 2000-08-29 Moore Epitaxial, Inc. Rapid thermal processing barrel reactor for processing substrates
US6214122B1 (en) * 1997-03-17 2001-04-10 Motorola, Inc. Rapid thermal processing susceptor
US6118100A (en) * 1997-11-26 2000-09-12 Mattson Technology, Inc. Susceptor hold-down mechanism
US6592661B1 (en) 1998-02-25 2003-07-15 Micron Technology, Inc. Method for processing wafers in a semiconductor fabrication system
US6348679B1 (en) * 1998-03-17 2002-02-19 Ameritherm, Inc. RF active compositions for use in adhesion, bonding and coating
US6188044B1 (en) 1998-04-27 2001-02-13 Cvc Products, Inc. High-performance energy transfer system and method for thermal processing applications
US6303411B1 (en) 1999-05-03 2001-10-16 Vortek Industries Ltd. Spatially resolved temperature measurement and irradiance control
US6169244B1 (en) 1999-05-21 2001-01-02 Moore Epitaxial, Inc. Thermocouple sheath cover
US6799603B1 (en) 1999-09-20 2004-10-05 Moore Epitaxial, Inc. Gas flow controller system
US6475284B1 (en) 1999-09-20 2002-11-05 Moore Epitaxial, Inc. Gas dispersion head
US6347749B1 (en) 2000-02-09 2002-02-19 Moore Epitaxial, Inc. Semiconductor processing reactor controllable gas jet assembly
US6328221B1 (en) 2000-02-09 2001-12-11 Moore Epitaxial, Inc. Method for controlling a gas injector in a semiconductor processing reactor
US6537011B1 (en) 2000-03-10 2003-03-25 Applied Materials, Inc. Method and apparatus for transferring and supporting a substrate
DE60037188T2 (de) * 2000-08-29 2008-10-02 Qimonda Dresden Gmbh & Co. Ohg Verfahren zum Betreiben eines Suszeptors für Halbleiterscheiben
DE10055033A1 (de) 2000-11-07 2002-05-08 Aixtron Ag CVD-Reaktor mit grafitschaum-isoliertem, rohrförmigen Suszeptor
EP1393355A2 (de) * 2001-05-18 2004-03-03 Mattson Thermal Products GmbH Vorrichtung zur aufnahme von scheibenförmigen objekten
US6592675B2 (en) * 2001-08-09 2003-07-15 Moore Epitaxial, Inc. Rotating susceptor
US20030114016A1 (en) * 2001-12-18 2003-06-19 Tischler Michael A. Wafer carrier for semiconductor process tool
US20030160044A1 (en) 2002-02-25 2003-08-28 Besmann Theodore M. High efficiency, oxidation resistant radio frequency susceptor
US7122844B2 (en) * 2002-05-13 2006-10-17 Cree, Inc. Susceptor for MOCVD reactor
WO2004057650A1 (en) 2002-12-20 2004-07-08 Mattson Technology Canada, Inc. Methods and systems for supporting a workpiece and for heat-treating the workpiece
US8366830B2 (en) * 2003-03-04 2013-02-05 Cree, Inc. Susceptor apparatus for inverted type MOCVD reactor
US7077973B2 (en) * 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
US7128806B2 (en) * 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
US20050133158A1 (en) * 2003-12-19 2005-06-23 Applied Materials, Inc. Mask handler apparatus
US20050133166A1 (en) * 2003-12-19 2005-06-23 Applied Materials, Inc. Tuned potential pedestal for mask etch processing apparatus
US7238623B2 (en) * 2004-10-06 2007-07-03 Texas Instruments Incorporated Versatile system for self-aligning deposition equipment
US7794667B2 (en) * 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
WO2008058397A1 (en) 2006-11-15 2008-05-22 Mattson Technology Canada, Inc. Systems and methods for supporting a workpiece during heat-treating
US8610033B1 (en) 2007-03-29 2013-12-17 Moore Epitaxial, Inc. Rapid thermal process reactor utilizing a low profile dome
US20080314319A1 (en) * 2007-06-19 2008-12-25 Memc Electronic Materials, Inc. Susceptor for improving throughput and reducing wafer damage
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
US8404049B2 (en) * 2007-12-27 2013-03-26 Memc Electronic Materials, Inc. Epitaxial barrel susceptor having improved thickness uniformity
KR101610269B1 (ko) 2008-05-16 2016-04-07 맷슨 테크놀로지, 인크. 워크피스 파손 방지 방법 및 장치
US20100098519A1 (en) * 2008-10-17 2010-04-22 Memc Electronic Materials, Inc. Support for a semiconductor wafer in a high temperature environment
KR101021372B1 (ko) 2008-12-29 2011-03-14 주식회사 케이씨텍 원자층 증착장치
JP5359698B2 (ja) * 2009-08-31 2013-12-04 豊田合成株式会社 化合物半導体の製造装置、化合物半導体の製造方法及び化合物半導体
KR20110136583A (ko) * 2010-06-15 2011-12-21 삼성엘이디 주식회사 서셉터 및 이를 구비하는 화학 기상 증착 장치
US9890455B2 (en) * 2010-10-29 2018-02-13 Applied Materials, Inc. Pre-heat ring designs to increase deposition uniformity and substrate throughput
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
DE102011007682A1 (de) * 2011-04-19 2012-10-25 Siltronic Ag Suszeptor zum Abstützen einer Halbleiterscheibe und Verfahren zum Abscheiden einer Schicht auf einer Vorderseite einer Halbleiterscheibe
JP5915026B2 (ja) * 2011-08-26 2016-05-11 住友大阪セメント株式会社 温度測定用板状体及びそれを備えた温度測定装置
JP5969506B2 (ja) * 2011-12-27 2016-08-17 キヤノンアネルバ株式会社 基板熱処理装置
CN104040710B (zh) * 2012-01-06 2017-11-28 诺发系统公司 用于均匀传热的自适应传热方法和系统
CN103077917A (zh) * 2012-06-29 2013-05-01 光达光电设备科技(嘉兴)有限公司 衬底支撑座及应用所述衬底支撑座的半导体处理设备
CN105493245B (zh) * 2013-09-05 2018-01-16 富士电机株式会社 碳化硅半导体元件以及碳化硅半导体元件的制造方法
ITCO20130041A1 (it) * 2013-09-27 2015-03-28 Lpe Spa Suscettore con elemento di supporto
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
DE102015113962A1 (de) * 2015-08-24 2017-03-02 Meyer Burger (Germany) Ag Substratbehandlungsvorrichtung
JP6976725B2 (ja) 2016-06-07 2021-12-08 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated ウエハ均一性のための輪郭ポケット及びハイブリッドサセプタ
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
JP7336369B2 (ja) * 2019-11-25 2023-08-31 株式会社Screenホールディングス 基板支持装置、熱処理装置、基板支持方法、熱処理方法
US11581213B2 (en) 2020-09-23 2023-02-14 Applied Materials, Inc. Susceptor wafer chucks for bowed wafers
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
US20220157572A1 (en) * 2020-11-18 2022-05-19 Applied Materials, Inc. Deposition ring for thin substrate handling via edge clamping
CN215757604U (zh) * 2021-01-25 2022-02-08 苏州晶湛半导体有限公司 石墨盘

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3862397A (en) * 1972-03-24 1975-01-21 Applied Materials Tech Cool wall radiantly heated reactor
US3783822A (en) * 1972-05-10 1974-01-08 J Wollam Apparatus for use in deposition of films from a vapor phase
US3836751A (en) * 1973-07-26 1974-09-17 Applied Materials Inc Temperature controlled profiling heater
US3916822A (en) * 1974-04-26 1975-11-04 Bell Telephone Labor Inc Chemical vapor deposition reactor
US4047496A (en) * 1974-05-31 1977-09-13 Applied Materials, Inc. Epitaxial radiation heated reactor
US4081313A (en) * 1975-01-24 1978-03-28 Applied Materials, Inc. Process for preparing semiconductor wafers with substantially no crystallographic slip
SE7710800L (sv) * 1976-10-05 1978-04-06 Western Electric Co Forfarande for astadkommande av ett epitaxiellt skikt pa ett substrat
US4101759A (en) * 1976-10-26 1978-07-18 General Electric Company Semiconductor body heater
US4497683A (en) * 1982-05-03 1985-02-05 At&T Bell Laboratories Process for producing dielectrically isolated silicon devices
JPS59928A (ja) * 1982-06-25 1984-01-06 Ushio Inc 光加熱装置
US4545327A (en) * 1982-08-27 1985-10-08 Anicon, Inc. Chemical vapor deposition apparatus
JPS5959876A (ja) * 1982-09-30 1984-04-05 Ushio Inc 光照射炉の運転方法
JPS5977289A (ja) * 1982-10-26 1984-05-02 ウシオ電機株式会社 光照射炉
US4511788A (en) * 1983-02-09 1985-04-16 Ushio Denki Kabushiki Kaisha Light-radiant heating furnace
GB2136937A (en) * 1983-03-18 1984-09-26 Philips Electronic Associated A furnace for rapidly heating semiconductor bodies
US4649261A (en) * 1984-02-28 1987-03-10 Tamarack Scientific Co., Inc. Apparatus for heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
US4560420A (en) * 1984-06-13 1985-12-24 At&T Technologies, Inc. Method for reducing temperature variations across a semiconductor wafer during heating
US4680451A (en) * 1985-07-29 1987-07-14 A. G. Associates Apparatus using high intensity CW lamps for improved heat treating of semiconductor wafers
US4789771A (en) * 1985-10-07 1988-12-06 Epsilon Limited Partnership Method and apparatus for substrate heating in an axially symmetric epitaxial deposition apparatus
US4798165A (en) * 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
US4796562A (en) * 1985-12-03 1989-01-10 Varian Associates, Inc. Rapid thermal cvd apparatus
JPS62279624A (ja) * 1986-05-28 1987-12-04 Hitachi Ltd 分子線エピタキシ用基板ホルダ
EP0255454A3 (en) * 1986-07-26 1991-11-21 Nihon Shinku Gijutsu Kabushiki Kaisha Apparatus for chemical vapor deposition
JPS6396912A (ja) * 1986-10-14 1988-04-27 Toshiba Ceramics Co Ltd 基板ホルダ−
US5228501A (en) * 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4755654A (en) * 1987-03-26 1988-07-05 Crowley John L Semiconductor wafer heating chamber
US4821674A (en) * 1987-03-31 1989-04-18 Deboer Wiebe B Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4823735A (en) * 1987-05-12 1989-04-25 Gemini Research, Inc. Reflector apparatus for chemical vapor deposition reactors
US4836138A (en) * 1987-06-18 1989-06-06 Epsilon Technology, Inc. Heating system for reaction chamber of chemical vapor deposition equipment
US4828224A (en) * 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US5034199A (en) * 1987-11-13 1991-07-23 Kopin Corporation Zone melt recrystallization apparatus
US4851358A (en) * 1988-02-11 1989-07-25 Dns Electronic Materials, Inc. Semiconductor wafer fabrication with improved control of internal gettering sites using rapid thermal annealing
US4857689A (en) * 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
US4978567A (en) * 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
JP2654996B2 (ja) * 1988-08-17 1997-09-17 東京エレクトロン株式会社 縦型熱処理装置
JPH02174116A (ja) * 1988-12-26 1990-07-05 Toshiba Ceramics Co Ltd サセプタ
JPH0834187B2 (ja) * 1989-01-13 1996-03-29 東芝セラミックス株式会社 サセプタ
US5053247A (en) * 1989-02-28 1991-10-01 Moore Epitaxial, Inc. Method for increasing the batch size of a barrel epitaxial reactor and reactor produced thereby
US5169684A (en) * 1989-03-20 1992-12-08 Toyoko Kagaku Co., Ltd. Wafer supporting jig and a decompressed gas phase growth method using such a jig
US4920918A (en) * 1989-04-18 1990-05-01 Applied Materials, Inc. Pressure-resistant thermal reactor system for semiconductor processing
US5011794A (en) * 1989-05-01 1991-04-30 At&T Bell Laboratories Procedure for rapid thermal annealing of implanted semiconductors
US5104276A (en) * 1989-05-19 1992-04-14 Applied Materials, Inc. Robotically loaded epitaxial deposition apparatus
US4986838A (en) * 1989-06-14 1991-01-22 Airgard, Inc. Inlet system for gas scrubber
JPH03201429A (ja) * 1989-12-28 1991-09-03 Mitsubishi Electric Corp 縦型cvd装置用ウエハホルダー
US5077875A (en) * 1990-01-31 1992-01-07 Raytheon Company Reactor vessel for the growth of heterojunction devices
US5108792A (en) * 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
DE69126724T2 (de) * 1990-03-19 1998-01-15 Toshiba Kawasaki Kk Vorrichtung zur Dampfphasenabscheidung
US5098198A (en) * 1990-04-19 1992-03-24 Applied Materials, Inc. Wafer heating and monitor module and method of operation
JPH046826A (ja) * 1990-04-24 1992-01-10 Tokyo Electron Ltd 熱処理装置
US5252807A (en) * 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5044943A (en) * 1990-08-16 1991-09-03 Applied Materials, Inc. Spoked susceptor support for enhanced thermal uniformity of susceptor in semiconductor wafer processing apparatus
US5106200A (en) * 1990-12-20 1992-04-21 Applied Materials, Inc. Apparatus for measuring temperature of wafer
US5192371A (en) * 1991-05-21 1993-03-09 Asm Japan K.K. Substrate supporting apparatus for a CVD apparatus
US5387557A (en) * 1991-10-23 1995-02-07 F. T. L. Co., Ltd. Method for manufacturing semiconductor devices using heat-treatment vertical reactor with temperature zones
DE4140387C2 (de) * 1991-12-07 1998-10-15 Inst Halbleiterphysik Gmbh Vorrichtung und Verfahren zur verformungsfreien Bearbeitung von Halbleitermaterialscheiben in schnellen thermischen Prozessen
JP3100252B2 (ja) * 1992-05-26 2000-10-16 東京エレクトロン株式会社 被処理体用ボート及びそれを用いた被処理体の移し換え方法ならびに熱処理装置
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5366002A (en) * 1993-05-05 1994-11-22 Applied Materials, Inc. Apparatus and method to ensure heat transfer to and from an entire substrate during semiconductor processing
US5439850A (en) * 1993-09-08 1995-08-08 North Carolina State University Method for forming a layer of uniform thickness on a semiconductor wafer during rapid thermal processing

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001524751A (ja) * 1997-11-21 2001-12-04 エーエスエム アメリカ インコーポレイテッド 半導体処理装置用基板移動システム
JP2002520808A (ja) * 1998-05-11 2002-07-09 シュテアク エルテーペー システムズ ゲゼルシャフト ミット ベシュレンクテル ハフツング 基板を熱処理するための方法および装置
JP2006066432A (ja) * 2004-08-24 2006-03-09 Shin Etsu Handotai Co Ltd 石英治具及び半導体製造装置
WO2008142747A1 (ja) * 2007-05-16 2008-11-27 Canon Anelva Corporation 加熱処理装置
JP4436893B2 (ja) * 2007-05-16 2010-03-24 キヤノンアネルバ株式会社 加熱処理装置
JPWO2008142747A1 (ja) * 2007-05-16 2010-08-05 キヤノンアネルバ株式会社 加熱処理装置
US8150243B2 (en) 2007-05-16 2012-04-03 Canon Anelva Corporation Heating process apparatus
KR20180003641A (ko) * 2015-05-27 2018-01-09 어플라이드 머티어리얼스, 인코포레이티드 고 성장률 epi 챔버를 위한 열 차폐 링
JP2018518592A (ja) * 2015-05-27 2018-07-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高成長率のepiチャンバのための遮熱リング
WO2017069238A1 (ja) * 2015-10-21 2017-04-27 住友大阪セメント株式会社 静電チャック装置
JPWO2017069238A1 (ja) * 2015-10-21 2018-08-09 住友大阪セメント株式会社 静電チャック装置

Also Published As

Publication number Publication date
US5580388A (en) 1996-12-03
EP0746009A1 (en) 1996-12-04
EP0746009B1 (en) 2003-01-02
DE69625545D1 (de) 2003-02-06
DE69625545T2 (de) 2003-10-02
JP3962111B2 (ja) 2007-08-22

Similar Documents

Publication Publication Date Title
JP3962111B2 (ja) Rtp炉のサセプタ
US5820686A (en) Multi-layer susceptor for rapid thermal process reactors
KR100444756B1 (ko) 저질량서스셉터
TWI649781B (zh) 用於化學氣相沈積之自定中心晶圓載具系統
US6776849B2 (en) Wafer holder with peripheral lift ring
KR100812469B1 (ko) 화학 기상 증착법에 의해 하나 이상의 웨이퍼 상에 에피택셜층을 성장시키는 수직 장치
KR100893909B1 (ko) 기판 홀더의 제조 방법
US6709267B1 (en) Substrate holder with deep annular groove to prevent edge heat loss
EP2165358B1 (en) Susceptor for improving throughput and reducing wafer damage
US6344631B1 (en) Substrate support assembly and processing apparatus
US6293749B1 (en) Substrate transfer system for semiconductor processing equipment
EP0448346B1 (en) Vapor-phase deposition apparatus
US7070660B2 (en) Wafer holder with stiffening rib
US20030168174A1 (en) Gas cushion susceptor system
JPH0758039A (ja) サセプタ
US20040109748A1 (en) Removable semiconductor wafer susceptor
JP3004846B2 (ja) 気相成長装置用サセプタ
US20200248307A1 (en) Rotating Disk Reactor with Self-Locking Carrier-to-Support Interface for Chemical Vapor Deposition
US20220243325A1 (en) Rotating Disk Reactor with Split Substrate Carrier
CN217418861U (zh) 一种外延石墨基座
JP2000049098A (ja) エピタキシャル成長炉
JP2000252350A (ja) 基板受け渡し装置
US20020062792A1 (en) Wafer support device and reactor system for epitaxial layer growth
WO2001006043A1 (en) Susceptorless semiconductor wafer epitaxial layer growth method

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20051011

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20060111

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20060116

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060410

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20060704

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061026

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20061115

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070116

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070412

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070508

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070518

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees