JP3636900B2 - 強誘電体集積回路の製造方法 - Google Patents

強誘電体集積回路の製造方法 Download PDF

Info

Publication number
JP3636900B2
JP3636900B2 JP30696398A JP30696398A JP3636900B2 JP 3636900 B2 JP3636900 B2 JP 3636900B2 JP 30696398 A JP30696398 A JP 30696398A JP 30696398 A JP30696398 A JP 30696398A JP 3636900 B2 JP3636900 B2 JP 3636900B2
Authority
JP
Japan
Prior art keywords
ferroelectric
hydrogen
integrated circuit
thin film
barrier layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP30696398A
Other languages
English (en)
Other versions
JPH11307734A (ja
Inventor
洋一 宮坂
晃 古谷
クチアロ ジョセフ
アロージョ カルロス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Publication of JPH11307734A publication Critical patent/JPH11307734A/ja
Application granted granted Critical
Publication of JP3636900B2 publication Critical patent/JP3636900B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F25REFRIGERATION OR COOLING; COMBINED HEATING AND REFRIGERATION SYSTEMS; HEAT PUMP SYSTEMS; MANUFACTURE OR STORAGE OF ICE; LIQUEFACTION SOLIDIFICATION OF GASES
    • F25DREFRIGERATORS; COLD ROOMS; ICE-BOXES; COOLING OR FREEZING APPARATUS NOT OTHERWISE PROVIDED FOR
    • F25D23/00General constructional features
    • F25D23/02Doors; Covers
    • F25D23/028Details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F25REFRIGERATION OR COOLING; COMBINED HEATING AND REFRIGERATION SYSTEMS; HEAT PUMP SYSTEMS; MANUFACTURE OR STORAGE OF ICE; LIQUEFACTION SOLIDIFICATION OF GASES
    • F25DREFRIGERATORS; COLD ROOMS; ICE-BOXES; COOLING OR FREEZING APPARATUS NOT OTHERWISE PROVIDED FOR
    • F25D2323/00General constructional features not provided for in other groups of this subclass
    • F25D2323/02Details of doors or covers not otherwise covered
    • F25D2323/024Door hinges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/75Electrodes comprising two or more layers, e.g. comprising a barrier layer and a metal layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Thermal Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Combustion & Propulsion (AREA)
  • Semiconductor Memories (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Non-Volatile Memory (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、層状超格子材料とABO3型金属酸化物の製法に関し、特に、水素処理に対して低い感度を有する強誘電体集積回路に関する。
【0002】
【従来の技術】
強誘電体化合物は、アメリカ特許番号.5046043号(ミラー)に記載されているように、不揮発性の集積回路メモリの使用に当たって、好ましい特性を有する。コンデンサのような強誘電体デバイスは、高残留分極、適度な抗電界、高疲労耐性、それに低漏洩電流のような所望の電気的特性を有するとき、不揮発性メモリとして有用である。
【0003】
PZT(ジルコン酸チタン酸鉛)、PLZT(ランタン添加ジルコン酸チタン酸鉛)のような鉛含有ABO3型強誘電体酸化物が、集積回路における実用化のために研究されている。
【0004】
一方、層状超格子酸化物材料についても、アメリカ特許番号5434102号(ミラー)に記載されているように、集積回路における実用化のために研究が行われている。層状超格子材料化合物は、PZTやPLZT化合物の特性よりも優れた強誘電性メモリー特性を示している。
【0005】
一方、層状超格子材料化合物を使った強誘電体メモリーの試作品は、製作されてはいるが、ABO3型金属酸化物及び層状超格子材料化合物のいずれかを使用して、所望の電気的特性を持ち、かつ商業的に見合う数量のメモリーを経済的に製作する製造プロセスについては、まだ提案されていないのが現状である。
【0006】
【発明が解決しようとする課題】
高品質の強誘電体集積回路を製造するための経済的かつ商業的プロセスが存在しない理由の一つとして、金属酸化物化合物が、水素アニール中に水素によって還元され易いことが挙げられる。水素アニールは、CMOS集積回路の製造において共通に行われる工程であり、強誘電体特性の性能低下の原因になっている。このことは、特に、層状超格子材料化合物に当てはまる。これは、層状超格子材料化合物が、水素によって性能が低下し易い複雑な層状酸化物だからである。
【0007】
集積回路における典型的な強誘電体メモリーデバイスは、半導体基板と金属酸化物電界効果トランジスター(MOSFET)を含んでいる。このトランジスターは、通常は、強誘電体コンデンサーのような強誘電体デバイスと電気的に接続されている。この強誘電体コンデンサーは、典型的には、第1の下部ホ電極と第2の上部電極との間に位置する強誘電体薄膜を含み、これらの電極は典型的には、プラチナを含んでいる。
【0008】
集積回路の製造中には、MOSFETは、シリコン基板の中に欠陥を生じさせるような条件下に置かれている。例えば、製造プロセスは、普通は、イオンミリング・エッチングやプラズマ・エッチングのような高エネルギー工程を含んでいる。このような欠陥は、比較的高い温度(多くは、500℃−900℃の範囲内)で強誘電体薄膜を結晶化する熱処理の間にも生じる。
【0009】
この結果、多くの欠陥が、半導体シリコン基板の単結晶構造中に発生する。これは、MOSFETの電気的特性の悪化につながる。MOSFET/CMOSのシリコン特性を回復するために、製造工程は、通常、水素アニール工程を含む。この工程において、ダングリングボンドのような欠陥は、水素の還元特性を利用することにより消失する。水素アニールを実現するために、大気圧条件での水素ガス熱処理のような種々の技術が開発されている。
【0010】
一般的に、水素処理は、350℃から550℃の間、典型的には、450℃近辺で、約30分の時間で行われている。さらに、集積回路を水素に曝す集積回路の製造プロセスがいくつか存在する。このプロセスは、金属を堆積するためのCVDプロセスやシランあるいはTEOS源からの酸化シリコンの成長のように高温で行われる処理である。
【0011】
水素を含むプロセスの間、水素は上部電極およびコンデンサーの側面を通って、強誘電体薄膜まで拡散し、強誘電体材料に含まれている酸化物を還元する。また、この吸収された水素は、強誘電体薄膜の表面を金属化する。上部電極への強誘電体薄膜の付着力は、界面で生じる化学変化によって弱められる。あるいは、上部電極は、酸素ガス、水、酸化還元反応による他の生成物によって、押し上げられる。これらの効果の結果、コンデンサーの電気的特性は低下し、さらに、上部電極と強誘電性薄膜との間の界面で、「はがれ」が生じ易くなる。
【0012】
これらは、層状超格子材料化合物を含む強誘電体メモリーにおいて深刻な問題である。なぜなら、こられの酸化化合物は、特に複雑であり、かつ、水素還元による性能低下を生じやすいからである。
【0013】
そこで、本発明は、上記従来技術の問題点を解決するためになされたものであり、その目的とするところは、水素の有害な効果を低減することにより金属酸化物の電気的特性を良好に保持することにある。
【0014】
【課題を解決するための手段】
上記目的を達成するために、本発明の一の実施の形態によれば、集積回路を製造するための方法において、基板上に、保護部分と犠牲的部分とを有する金属酸化物材料から成る薄膜を形成し、前記金属酸化物材料の薄膜のうち、前記犠牲的部分を除く、前記保護部分を覆うように水素バリア層を形成する。
【0015】
さらに、前記犠牲的部分の少なくとも一部を除去する工程を有する。
【0016】
ここで、前記水素バリア層は、窒化チタンあるいは窒化シリコンを有するのが好ましい。
【0017】
また、前記金属酸化物材料は、少なくとも二つの金属を含む酸化化合物を有するのが望ましい。前記金属の少なくとも一つは、前記材料中に過剰量存在する。
【0018】
上記金属酸化材料の薄膜は、層状超格子化合物を有する。前記層状超格子化合物は、ストロンチウム・ビスマス・タンタル・ニオブ酸塩から成る。前記層状超格子化合物は、ビスマスとニオブから成るグループから選ばれた金属の少なくとも一つが過剰量含まれている。
【0019】
さらに、前記集積回路部分を、400℃未満の温度でかつ、30分未満の時間で、水素を含む雰囲気で熱処理する工程を含み、前記水素雰囲気の水素は、モルパーセントで、0.01から50パーセント含まれている。
【0020】
本発明の他の実施の形態によれば、集積回路を製造するための方法において、基板を用意し、基板上に、下部電極を形成し、前記下部電極上に、保護部分と犠牲的部分とを有する金属酸化物材料の薄膜を形成し、前記金属酸化物材料の薄膜の保護部分の少なくとも一部の上に上部電極を形成し、前記上部電極の少なくとも一部上で、かつ、金属酸化物材料の薄膜の保護部分上に水素バリア層を形成し、この水素バリア層を前記犠牲的部分上には形成しないようにした。
【0021】
本発明の他の実施の形態によれば、強誘電性集積回路において、基板と、
前記基板上に形成された保護部分と犠牲的部分とを有する金属酸化物材料の薄膜と、前記金属酸化物材料の薄膜の保護部分上に、前記犠牲的部分上には直接に形成されないように形成された水素バリア層とを有する。
【0022】
ここで、前記水素バリア層は、窒化チタンあるいは窒化シリコンを有することが望ましい。
【0023】
また、前記金属酸化物材料は、少なくとも二つの金属を含む酸化物を有することが好ましい。ここで、前記金属の少なくとも一つは、前記材料中に過剰量存在する。
【0024】
また、金属酸化物材料の薄膜は、層状超格子化合物を有することが望ましい。ここで、前記層状超格子化合物は、ストロンチウム・ビスマス・タンタル・ニオブ酸塩を有する。前記層状超格子化合物は、ビスマスとニオブを有するグループから選ばれた金属の少なくとも一つが過剰量含まれている。
【0025】
本発明の他の実施の形態によれば、集積回路において、基板と、前記基板上に形成された下部電極と、前記下部電極上に形成された保護部分と犠牲的部分とを有する金属酸化物材料の薄膜と、前記金属酸化物材料の薄膜の保護部分の少なくとも一部分上に、形成された上部電極と、前記上部電極の少なくとも一部分上であって、かつ前記金属酸化物材料の薄膜のうち、前記犠牲的部分を除く、前記保護部分を覆うように形成された水素バリア層とを有する。
【0026】
本発明の他の実施の形態によれば、集積回路を製造するための方法において、基板を用意し、前記基板上に下部電極を形成し、前記下部電極上に、金属酸化物材料の薄膜を形成し、前記金属酸化物材料の少なくとも一部分の上に、直接上部電極を形成し、前記上部電極の少なくとも一部分の上に、直接水素バリア層を形成し、前記上部電極と前記水素バリア層とを同じパターンニング処理でパターンニングし、これにより、自己整合された上部電極と水素バリア層とを形成するようにした。
【0027】
本発明の他の実施の形態によれば、集積回路において、基板と、基板上に形成された下部電極と、下部電極上に形成された金属酸化物材料の薄膜と、金属酸化物薄膜の少なくとも一部分の上に直接形成された上部電極と、前記上部電極の上に直接形成された水素バリア層とを有し、前記上部電極と前記水素バリア層とは、自己整合されている。
【0028】
【作用】
本発明では、水素バリア層が、金属酸化物の保護部分を覆うように形成されている。好ましくは、窒化チタンあるいは窒化シリコンが、上記保護部部分を覆うように形成され、かつ水素バリアとして作用する。
【0029】
さらに、本発明では、上部電極と金属酸化物薄膜と下部電極とを有する強誘電体コンデンサーが、金属酸化物薄膜と下部電極との一部が上部電極の端部を超えて横方向に延設するように形成されている。水素バリア層は、上部電極と金属酸化物薄膜の保護部分の上に直接形成されている。さらに、金属酸化物薄膜は、犠牲的部分を有する。この犠牲的部分は、水素バリア層の下には直接存在しない。
【0030】
金属酸化物にダメージが生じる製造工程の終了後に、金属酸化物薄膜の犠牲的部分の少なくとも一部は、下部電極からエッチングされて除去される。好ましくは、水素バリア層は、上部電極と同じパターンニング処理でパターンニングされる。これにより、自己整合された上部電極と水素バリア層とが形成される。
【0031】
さらに、本発明によれば、金属酸化物材料は、少なくとも二つの金属を含む金属酸化化合物から成る。さらに、金属酸化物材料の薄膜は、層状超格子化合物から成る。
【0032】
本発明では、層状超格子化合物は、ストロンチウム・ビスマス・タンタル・ニオブ塩酸から成る。層状超格子化合物を有する強誘電体は、化学元素の相対量が水素の電気的特性の悪化を最小限に抑えるように選ばれた化学元素であるビスマス、ストロンチウム、ニオブ、タンタルを含む。
【0033】
さらに、本発明では、金属酸化物材料が、その組成金属の少なくとも一つが金属酸化物材料中に分離した金属酸化物を形成するように、化学量論的に過剰量存在するように形成される。
【0034】
また、本発明では、層状超格子化合物は、ストロンチウム・ビスマス・タンタル・ニオブ酸塩を有し、かつ、この層状超格子化合物には、ビスマスとニオブから成るグループから選ばれた金属の少なくとも一つが過剰量含まれている。
【0035】
さらに、本発明では、集積回路部分を、400℃未満の温度で、かつ30分未満の時間で、水素を含む雰囲気で熱処理する工程を含み、水素雰囲気の水素は、モルパーセントで、0.01から50パーセント含まれている。
【0036】
【発明の実施の形態】
まず、最初に、理解すべきことは,強誘電体コンデンサーおよび集積回路デバイスを示す図1−3および図5−7は、実際の集積回路の平面あるいは断面には必ずしも対応していないことである。つまり、実際のデバイスでは、層は規則的ではないだろうし、その厚さも、異なる比率を有するかもしれない。実際のデバイスにおいては、種々の層は、多くの場合曲がっていたり、オーバーラップする端部を有する。
【0037】
代わりに、図面は、本発明の構造とプロセスをより明瞭にかつ十分に記載された理想的な代表例を示している。また、図面は、本発明の方法を使用して製造される強誘電体デバイスの多数のバリエーションの中の一つを示しているに過ぎないことにも注意すべきである。
【0038】
図1は、強誘電体コンデンサーと電気的に接続される電界効果トランジスター型のスイッチを含む強誘電体メモリーを示している。しかし、強誘電体素子をスイッチ素子内に組み込んだ強誘電体FETを製造するためにも、本発明の方法を使用できる。このような、強誘電体FETは、アメリカ特許番号5523964号(McMillan)に開示されている。同様に、本発明の方法を使用して製造された他の集積回路は、他の構成要素および材料組成を有することも可能である。
【0039】
図1を参照すると、本発明の方法によって製造された典型的な不揮発性強誘電体メモリーの断面図が示されている。MOSFETと強誘電性コンデンサーを含む集積回路を製造するための一般的な製造工程は、アメリカ特許番号5466629(Mihara)とアメリカ特許番号5468684(Yoshimori)に記載されているので、図1の集積回路要素については、ここでは、簡単に説明するにとどめておく。
【0040】
図1において、フィールド酸化領域104が、シリコン基板102の表面に形成されている。ソース領域106およびドレイン領域108が、シリコン基板102内に、互いに分離された状態で形成されている。また、ゲート絶縁層110が、シリコン基板102上であって、かつソースおよびドレイン領域106,108の間に形成されている。さらに、ゲート電極112が、ゲート絶縁層110上に形成されている。これらのソース領域106、ドレイン領域108、ゲート絶縁層110、それにゲート電極112によって、MOSFET114が構成される。
【0041】
さらに、BPSG(ボロンドープド・ホスホ・シリケイトガラス)によって形成された第1の層間誘電体層(ILD)116が、基板104上であって、かつフィールド酸化領域102の上に形成されている。さらに、接着層118がILD116の一部分の上に形成されており、さらに、強誘電体薄膜コンデンサー120が接着層118の上に形成されている。
【0042】
ここで、接着層118は、たとえば、チタンにより形成されており、典型的には、200Åの厚さを有する。強誘電体コンデンサー120は、好ましくは、シリコンやガリウム砒素、他の半導体、あるいはガラス、酸化マグネシウム(MgO)のような絶縁体である一般的なウエハーの上に形成される。チタンのような接着層は、回路の隣接した下地層あるいは上部層に対する電極の接着性を強化する。
【0043】
図1において、強誘電体コンデンサー120は、下部電極122、強誘電体薄膜124、及び上部電極126とを含んでいる。ここで、下部電極122は、プラチナで形成されており、2000Åの厚さを有する。また、強誘電体薄膜124は、下部電極122の上に形成されている。また、プラチナで形成された上部電極126は、強誘電体薄膜124の上に形成されており、2000Åの厚さを有する。
【0044】
さらに、好ましくは、電気的に導電性を有する水素バリア層128が上部電極122の上に形成されており、500−2000Åの厚さを有する。コンデンサーの下部電極122および上部電極126は、一般的には、プラチナを含んでいる。ここで、下部電極122は、プラチナ、パラジウム、銀、金のような酸化されにくい貴金属を含有するのが好ましい。貴金属に加えて、アルミ、アルミ合金、アルミシリコン、アルミニッケル、ニッケル合金、銅合金、アルミ銅のような金属が、強誘電体メモリーの電極として使用されても良い。
【0045】
水素バリア層128は、単一の膜、例えば、窒化チタンあるいは窒化シリコンで構成されても良い。あるいは、2以上の膜、例えば、チタン膜と窒化チタン膜を含んでも良い。
【0046】
第7図は、本発明の変形例を示している。本変形例では、水素バリア層128は、異なる3層の薄膜741,742,743、好ましくは、チタン層741、窒化チタン層742、それに、チタン層743によって構成されている。
【0047】
水素バリア層128が、窒化チタンのような電気的導電性材料で形成されており、導電性を有している場合、水素バリア層128は、上部電極126と自己整合的に形成されることが可能である。自己整合的に形成されるということは、プロセスにおいて、水素バリア層128が上部電極126と自動的に位置合わせされるということを意味する。例えば、上部電極126と水素バリア128は、同じフォトマスクによってパターンニングされエッチングされるのならば、それらは、自己整合されることになる。水素バリア層128は、従来のスパッタリング技術によって堆積される。強誘電体薄膜124の組成は、以下に、より詳細に説明される。
【0048】
NSG(ノンドープド・シリケイトガラス)から成る第2の層間誘電体層(ILD)130が、ILD層116の上に形成されている。PSG(ホスホ・シリケートガラス)膜あるいはBPSG膜がILD層130として使用可能である。
【0049】
強誘電体素子の製造において、例えば、図1のコンデンサーの製造工程は、従来と同様に、集積回路のスイッチ114や他の要素にダメージを与える酸化条件を伴った工程を含んでいる。強誘電体素子が形成された後、通常、回路に対する水素熱処理がスイッチ114の酸化によるダメージを回復するために行なわれる。他の高エネルギー工程だけでなく水素処理中に、強誘電体薄膜124の強誘電体特性は、悪化する傾向にある。これは、水素が、強誘電性体薄膜124に含まれている酸素と反応するからである。水素バリア層128が、強誘電体薄膜上に直接形成されていなければ、水素のかなりの部分は、上部電極126を通して、強誘電体薄膜124に垂直方向に拡散する。しかし、強誘電体薄膜124に拡散する水素の一部は、強誘電体薄膜124の端部から横方向にも拡散する。
【0050】
図1において、上部電極126と水素バリア層128は、強誘電体薄膜124、下部電極122及び接着層118が、上部電極126の外側端部127及び水素バリア層の外側端部129を超えて横方向に伸びる(あるいは、延設する)ように形成されている。水素バリア層128の端部129を超えて伸びている強誘電体薄膜124の部分125は、強誘電体薄膜124の「犠牲的部分」である。一方、水素バリア層128が直接に垂直方向の上に存在する強誘電体薄膜124の部分は、強誘電性薄膜124の保護されている部分、即ち、「保護部分」123である。
【0051】
本発明によれば、犠牲的部分125は、水素熱処理及び水素化及び還元条件を生じさせる他の製造工程の間に、水素を吸収する。吸収された水素は、犠牲的部分125中の酸素と反応する。これにより、強誘電体薄膜124の残った保護部分123は、水素によって影響されず、望ましい強誘電体特性を維持する。つまり、保護部分123では、強誘電体特性は低下することはない。このように、犠牲的部分125は、、「水素ゲッター」として作用し、強誘電性薄膜124の保護部分123を保護する。本発明の一実施例によれば、水素処理に続くエッチング工程において、下部電極122の一部を覆う犠牲的部分125の少なくとも一部分は、下部電極122から除去されることになる。
【0052】
図2において、開口216Aが、ソース領域106ドレイン領域108を露出させるために、ILD層130及びILD層116を通して選択的に形成されている。また、開口231Aが、電気的導電性を有する水素バリア層128を露出させるために、ILD130層を通して選択的に形成されている。さらに、開口230Aが、強誘電体薄膜124の犠牲的部分125の一部を露出させるために、ILD層130を通して選択的に形成されている。開口230Aにおいて、犠牲的部分125の一部は、エッチングプロセスによって下部電極122の表面から除去されている。
【0053】
図3に示すように、ソース電極配線332とドレイン電極配線334とが、開口216Aを埋めるように形成される。さらに、下部電極配線336と上部電極配線338とが、開口230Aと開口231Aとをそれぞれ埋めるように形成される。ドレイン電極配線334は、下部電極配線336と電気的に接続されており、好ましくは、同じ配線構成要素で形成されている。これらの配線332,334,336,338の各々は、Al−Si−Cu(1%Si,0.5%Cu)で形成されており、3000Åの厚さを有する。
【0054】
水素バリア層128が非導電性ならば、配線層338が上部電極126と電気的に接触できるように、水素バリア層128の一部が除去される必要がある。一方、水素バリア層128は導電性であっても、上部電極126よりかなり低い導電性であれば、配線層338が上部電極126と電気的に接触できるように、水素バリア層128の一部を除去するのが望ましい。
【0055】
強誘電体薄膜124の組成は、特に限定はされないが、好ましい強誘電体材料のグループから選択されることが可能である。例えば、強誘電体材料は、チタン酸塩(BaTiO3,SrTiO3,PbTiO3(PT),PbZrTiO3(PZT)など),ニオブ酸塩(KNbO3など)のようなABO3型金属酸化物ペログスカイト構造のもの、好ましくは、層状超格子化合物によって形成される。
【0056】
ABO3型金属酸化物は、公知の強誘電体及び高誘電率のグループである。このことは、FrancoJona and G.Shirane,強誘電体結晶、ドーバー出版、N.Y.,p.108に記載されている。1996,5月21日に発行されたアメリカ特許番号5519234号には、ストロンチウム・ビスマス・タンタル酸塩のような層状超格子化合物が、従来の最良の材料に比べて強誘電体分野において優れた特性を有すること、さらに、高誘電率および低漏洩電流を有することが開示されている。
【0057】
1995,7月18日に発行されたアメリカ特許番号5434102号及び1995,11月21日に発行されたアメリカ特許番号5468684号には、実際の集積回路にこれらの材料を集積化するためのプロセスを開示している。この層状超格子材料は、一般的には、下記化1の化学式(1)の下に要約される。
【0058】
【化1】
Figure 0003636900
ここで、A1,A2...Ajは、ペロブスカイト状構造においてA−サイト元素を表わす。このA−サイト元素は、ストロンチウム、カルシウム、バリウム、ビスマス、鉛、その他の元素であっても良い。
【0059】
S1,S2...Skは、超格子生成元素を表わす。ここで、超格子生成元素は、通常は、ビスマスであるが、イットリウム、スカンジウム、ランタン、アンチモン、クロム、タリウム、その他、+3の原子価を持った他の元素のような材料であっても良い。
【0060】
ここで、B1,B2...Blは、ペロブスカイト状構造においてB−サイト元素を表わす。このB−サイト元素は、チタン、タンタル、タングステン、ニオブ、ジルコニウム、さらに他の元素であっても良い。
【0061】
Qは、アニオン(陰イオン)をあらわす。アニオンは、一般的には、酸素であるが、フッ素、塩素、オキシフルーオライド、オキシクロライドのようなこれらの元素の複合物のような他の要素であっても良い。化学式(1)の上付文字は、それぞれの元素の原子価を示し、下付文字は、化合物の1モルにおける材料のモル数を示しており、単位セルとの関係で、平均としての単位セル中の元素の原子数を示している。ここで、下付文字は、整数あるいは分数を取る。すなわち、化学式(1)は、単位セルが、材料(平均すると、Sr.75Ba.25Bi2Ta29などの場合)の中で変化する場合を含んでいる。この例の場合、A−サイトの75%は、ストロンチウム原子によって占有されており、A−サイトの25%は、バリウム原子によって占有されている。化合物において、一つのA−サイトのみが存在するのならば、それは、A1元素とすべてゼロに等しいw2...wjによって表わせる。一方、化合物中に、一つのB−サイト元素のみが存在するのならば、それは、B1元素とすべてゼロに等しいy2...ylとによって表わされ、超格子生成元素についても同様に表わせる。
【0062】
化学式(1)は、より一般的な形式で記載されているけれども、通常のケースは、一つのA−サイト元素と、一つの超格子生成元素と、一つまたは二つのB−サイト元素が存在する場合である。化学式(1)の記述は、上記サイトのいずれかと超格子発生体とが複合要素を有するより一般的な場合を含むように意図されている。
【0063】
zの値は、次式から求められる。
(2)(a1w1+a2w2...ajwj)+(s1x1+s2x2...+skxk)+(b1yi+b2y2...+blyl)=2z
化学式(1)は、1996,5月21日に発行されたアメリカ特許番号5519234号において議論されているすべての三つのスモレンスキ型化合物を含んでいる。層状超格子材料は、化学式(1)に合致する材料を全て含むわけではなく、明瞭に区別し得る交互層を有する結晶構造を自然に形成できる材料のみを含む。
【0064】
ここで、“基板"とは、集積回路が形成される下地ウエハー102だけでなく、BPSG層116のような薄膜が堆積される対象物をも意味する。本明細書では、“基板"とは、関係する層が適用される対象を意味する。例えば、122のような下部電極を例に取ると、基板は、電極122がその上に形成される層118及び116をも意味する。ここでは、“上"、“下"、“下部"という表現は、シリコン基板102に対する相対的な意味で使用している。すなわち、第2の要素が第1の要素の“上"にあるならば、それは、基板102からより離れていることを意味する。一方、それがもう一つの要素の“下"にあるのならば、それは、他の要素より基板に近接している。基板102の長寸法は、ここでは、水平面とみなされる平面と定義され、この面に直角方向は垂直であるとみなされる。
【0065】
“薄膜"という用語は、集積回路分野で使われているような意味でここでも使用される。普通は、厚さにおいて、ミクロン以下の膜を意味する。ここで開示されている薄膜は、すべての場合において、厚さにおいて、0.5ミクロン以下である。強誘電体薄膜124の場合には、1000Åから3000Åの厚さが好ましく、もっとも好ましいのは、1200Åから2500Åの厚さである。集積回路技術のこれらの薄膜は、集積回路技術と関係しないまったく異なるプロセスで形成される巨視的コンデンサーのような積層型コンデンサーと混同されるべきではない。
【0066】
ここでは、“化学量論的"とは、層状超格子材料のような材料の固相膜、材料を形成するための前駆体の両方に適用される。それが、固相膜に適用されるとき、最後の固相薄膜の各元素の実際の相対量を示す式に関係する。前駆体に適用されるときには、前駆体において、金属のモル比を示す。“つり合った"化学量論的式は、占められた結晶格子のすべてのサイトで、各元素が材料の完全な結晶構造を形成するようにすべてのサイトを占有するに十分な元素が存在する状態である。しかし、現実には、室温で、必ず格子欠陥は存在するであろう。例えば、SrBi2TaNbO9とSrBi2Ta1.44Nb0.569は、つり合った化学量論的式である。対照的に、ストロンチウム、ビスマス、タンタル、ニオブのモル比が、それぞれ、1,2.18,0.56であるストロンチウム−ビスマス−タンタル−ニオベイトの前駆体は、不均一な化学量論的式SrBi2.18Ta1.44Nb0.569 によって表わされる。これは、完全なる結晶材料を形成するために必要とされるものを超える過剰のビスマスを含んでいるからである。
【0067】
本明細書では、金属元素の過剰量とは、すべての原子サイトが占有されかつ金属が全く残らない状態で、所望の材料を形成するために存在する他の金属と結合するのに必要な量より多い量を意味する。しかし、知られているように、本発明における電子デバイスを製作するに当たって、ビスマス酸化物は高揮発性で、かなりの熱が使用されるので、本発明のプロセスで作成される固相の強誘電体層124のビスマスのモル比は、前駆体の化学量論的式におけるそれよりも一般的には小さくなるであるう。しかし、本発明のプロセスによって作成される強誘電体層124におけるストロンチウム、タンタル、ニオブのモル比は、前駆体に対する化学量論的式で与えられるモル比に非常に近いかあるいは等しいものと考えられる。このことは、アメリカ特許番号5434102(ワタナベ)にも記載されている。
【0068】
上記アメリカ特許(5434102)と関連技術に基づいて、当業者にとって好ましい層状超格子材料を形成するための前駆体は、化学量論的式SrBi2.18Ta1.44Nb0.569を現時点では有する。この式の前駆体は、均一化された化学量論的式SrBi2Ta1.44Nb0.569を有する最終の固相ストロンチウム・タンタル・ニオブ酸塩を生成すると信じて良い。すなわち、最終の薄膜は、過剰のビスマスを含まない。これは、前駆体における過剰のビスマスは、製造プロセスにおいて、ビスマス酸化ガスとして排除されるからである。
前駆体溶液は、化学量論的比率SrBi2.18Ta1.44Nb0.569に対応した化学前駆体の内容量を含む。この化学量論的式は、本明細書において標準的なニオブとタンタルの比率を有する標準組成として扱う。この標準化学量論的式を有する前駆体は、約9%の過剰ビスマスを含有する。すなわち、標準の化学量論的式は、結晶のすべての原子サイトが占有されて、層状超格子化合物を形成するために、ストロンチウム・タンタル・ニオブと結合するのに必要とされる量を超えるビスマスの含有量を有する。
【0069】
本発明の特徴の一つは、ビスマス、ニオブなどのうち少なくとも一つ元素の含有量が、標準式に示されたものに比べて過剰の量を有する最終の層状超格子化合物が、標準式の組成を有する前駆体から形成された材料よりも水素による性能低下に対するより大きな耐性を有しているということである。関連する特徴は、層状超格子材料において、ニオブのようなB−サイト元素の過剰含有量が、水素に曝されることによる電気的特性の低下を防止するのに効果的であるということである。
【0070】
図4は、強誘電体メモリー100を作成するために本発明で使用される製造工程のフローチャートを示す。
【0071】
ステップ412では、半導体基板102(図3)が用意され、その上に、スイッチ114がステップ414で形成される。このスイッチ114は、典型的には、MOSFETである。ステップ416で、絶縁層104が、スイッチ114を形成されるべき強誘電体から分離するために形成される。ステップ418では、下部電極122が形成される。好ましくは、この電極は、プラチナで形成され、かつ約2000Åの厚さを有する層を形成するようにスパッタリングで堆積される。
【0072】
ここで、本ステップにおいて、約200Åのチタンまたは窒化チタンで形成された接着層118が、電極を堆積する前に、好ましくはスパッタリングによって形成される。ステップ420において、所望の強誘電体薄膜を形成する層状超格子化合物の化学的前駆体が準備される。
【0073】
ステップ422において、この強誘電体薄膜124が、下部電極122に付着される。好ましくは、強誘電体薄膜124は、層状超格子化合物を含む。この強誘電体薄膜124は、アメリカ特許番号5546945に記載されているように、望ましくは、スピンコーティングあるいは噴霧堆積方法のような液体堆積技術を使って付着される。もっとも好適な方法では、スピン・オン技術が薄膜を形成するために使用される。通常は、最終の前駆体溶液は、化学的前駆体化合物を含有する商業的に入手可能な溶液から準備される。好ましくは、商業的に市販されている溶液中の種々の前駆体の濃度は、特別な製造条件あるいは動作条件に適合するように、ステップ420において調整される。例えば、層状超格子薄膜に対する典型的な市販されている溶液の種々の元素の化学量論的含有量は、SrBi2.18Ta1.44Nb0.569であるかもしれない。しかし、水素アニールによる性能低下から強誘電体化合物を保護するための他の酸化物を発生させるためには、この溶液中にニオブあるいはビスマスを添加するのが好ましいこともある。
【0074】
付着工程422は、好ましくは、ドライ工程と急速熱プロセスのような高温での結晶化ステップである処理ステップ424の前に行われる。付着工程424は、付着処理422の間あるいは後に、紫外線照射を伴った処理を含むかもしれない。工程422と工程424は、所望の厚さの膜を形成するために、必要により繰り返されるかもしれない。例えば、典型的なスピン・オン処理では、前駆体の層が付着されて乾燥される。それから、処理された層は、ステップ426において、結果物たる強誘電体薄膜124を形成するために、酸素中でアニールされる。
【0075】
コンデンサー120の製造において、適切ならば、公知のように、イオンミリングやアッシングのようなプロセスを介したパターンニングが行われる。例えば、ステップ418は、そのようなパターンニングステップを含み、他のパターンニングステップがステップ426に続く。ステップ422−426に続いて、上部電極126がステップ428で形成される。
【0076】
水素バリア層128が、ステップ430において、コンデンサーの上部電極126上に直接、かつ強誘電体薄膜124の保護部分123の上に形成される。典型的には、水素バリア層128は、覆われている強誘電体薄膜124に水素が拡散するのを防止し、電気的に導電性である窒化チタンにより形成される。
【0077】
また、水素バリア層128のスパッター堆積の際のスパッター雰囲気に、少量の酸素ガスを含ませることによって、少量の酸素をバリア膜に添加するのが望ましい。水素バリア層128中に形成される結果物である酸化物は、種々の製造プロセス工程で存在する水素と反応することによってメモリデバイス中の強誘電体化合物を保護する。酸化物の含有量は、水素バリア層128の導電性特性と強く干渉しないように十分に小さく設定される。
【0078】
水素バリア層形成工程430は、水素バリア層128と上部電極126が、好ましくは、イオンエッチングプロセスによってパターニングされるパターニングステップ431の前に行われる。ステップ432において、強誘電体メモリー100の水素アニールが、酸化によってシリコン基板102に生じた欠陥を十分に消失させ、かつ強誘電体化合物の水素による性能低下を最小限にするように選ばれた温度とアニーリング時間の下で行われる。水素アニール工程は、好ましくは、雰囲気圧力で、ガス混合中の水素ガスで行われる。なぜなら、他の代替物より、それほど複雑でないからである。
【0079】
ステップ434において、酸素修復アニールが、水素アニールと還元条件を起こす他のプロセス工程の結果として悪化した強誘電体コンデンサー120の電気的特性を修復するために行われる。
【0080】
それから、第2のILD層130が、ステップ435において堆積される。ステップ436において、開口216A,230A,231Aが、ILD層116,130を介して、スイッチ114(典型的には、MOSFETのソースとドレイン領域106,108)、犠牲的部分125、水素バリア層128に、一またはいくつかのドライあるいはウエットエッチングを使用して、それぞれ形成される。代わりに、スイッチ114への開口が、スイッチ領域への水素ガスの輸送を促進するために、水素アニールの前に行われても良い。
【0081】
ステップ438において、上部電極126の端部129を超えて横に伸びた強誘電体薄膜124の犠牲的部分125の一部は、下部電極122からエッチングにより除去される。水素バリア層128が、十分に電気的に導電性を有していなければ、水素バリア層128の一部は上部電極126との電気的接続を可能にするために除去されなければならない。集積回路は、次のステップ440で完成する。このステップには、典型的に、配線層の堆積、配線332,334,336,338を形成するためのパターンニング、パッシベーション層の堆積及びパッケージングを含む。
【0082】
強誘電体素子は、典型的には、強誘電体材料の比較的平坦な薄膜から成る。“横"および“横に"の表現は、薄膜の平面方向と定義される。図1−3及び図6を参照すると、横方向は水平方向である。
【0083】
本明細書は、強誘電体材料の薄膜の上に直接に形成されている水素バリア層128に言及している。“直接上に"とは、水素バリア層128が、図1−3において、垂直方向に、強誘電体薄膜124の一部の上に存在することを意味する。例えば、図1では、水素バリア層128は、強誘電体薄膜124の保護部分123の上に直接存在する。水素バリア層128から下に伸びている垂直線は、保護部分123と交差する。それゆえ、水素バリア層128は、直接に保護部分123の上に形成されていることになる。しかし、水素バリア層128は、直接には、周辺の強誘電体薄膜124の犠牲的部分125の上には形成されていない。なぜなら、犠牲的部分125は、水素バリア層128の端部129を超えて横方向に伸びているからである。“直接上に"とは、水素バリア層128が強誘電体層124と直接に接触していることを意味しない。水素バリア層128は、強誘電体層と接触していてもしていなくても良い。水素バリア層128が強誘電体層124の一部の上に直接存在しさえすれば、それは、水素の拡散からその部分を保護してくれる。このように、保護部分123は、水素バリア層128の下には直接存在するが、犠牲的部分125は、水素バリア層128の下には直接に存在しない。
【0084】
強誘電体が、水平および垂直に相対的に方向を変化させながら製造されることは明らかである。例えば、強誘電体薄膜124が、垂直平面内にあれば、“横"という言葉は、垂直方向と関係し、“直接上に"は、薄膜の垂直面の法線方向と関係する。
【0085】
実験によると、強誘電体薄膜124を通る水素の横拡散、すなわち、強誘電体薄膜124に平行な方向への拡散は、強誘電体薄膜124の法線方向の拡散に比べて遅いことがわかった。それゆえ、強誘電体層124の横端部は、横方向に浸透する水素に対するゲッターとして作用し、強誘電体殿層124の残りの部分を水素から保護するものと信じられている。
【0086】
従って、本発明の特徴は、水素バリア層128により覆われている強誘電体薄膜124の残りの部分において水素による性能低下を生じさせる水素をゲッターする犠牲的部分125を設けたことにある。一般的には、犠牲的部分125と水素バリア層128との組み合わせで、従来の水素プロセスによる顕著な性能低下から保護部分123を十分に保護することが可能である。しかしながら、製造プロセス中に、種々の水素化工程および還元工程で曝される水素の強度に応じて、追加の保護対策がさらに必要になるかもしれない。このため、本発明の方法では、水素によるダメージからメモリーデバイスを保護するために、種々の他の追加の工程を使用する。これらの追加工程は、犠牲的部分125と水素バリア層128との関連で使用されることが可能である。
【0087】
本発明の好適な方法では、集積回路の水素の熱処理は、1−5%のH2とのH2−N2の混合ガスにおける大気圧で、200℃の温度で10分間行われる。低温度、短時間水素熱処理の有益な効果は、350℃の温度及び30分の期間まで意義がある。本発明の水素熱処理は、水素ガスの体積パーセントが0.01−50%の範囲にある水素雰囲気で行われることが可能である。これは、集積回路の水素の拡散は遅く律速工程であり、水素の周囲の濃度には大きく依存しないからである。
【0088】
本発明の低温、短時間水素アニール法は、強誘電体薄膜123が、一般式SrBi2.18Ta2-xNbx(0≦X≦2)にほぼ対応した組成を有する前駆体から形成されたBi−層状超格子材料を含む不揮発性強誘電性コンデンサーの電気的特性を保護するのに効果的である。実験によれば、低温短時間水素熱処理は、前駆体のモル比Nb/Taが約0.4である一般的化学量論的式SrBi2.18Ta1. 44Nb0.569にほぼ対応した組成を有する前駆体溶液から成る超格子化合物を保護するのに最も効果的である。
【0089】
さらに、実験によれば、式SrBi2.18Ta1.44Nb0.569に対応した相対含有量の前駆体への過剰のビスマスあるいはニオブの添加は、水素による性能低下から所望の電気的特性を保護するのに効果的である。強誘電体特性の水素による性能低下は、酸素回復アニール処理によって優れた電気的特性を再び得るように回復可能である。
【0090】
さらに、他のプロセス手順と工程も使用可能である。例えば、MOSFETのコンタクト配線の開口は、水素処理の前に開けられることができ、一方、強誘電体の電極に絶縁層を通して開ける開口は、水素熱処理工程の後に行われることも可能である。
【0091】
(実施例1)
ストロンチウム・ビスマス・タンタル・ニオブ酸塩・コンデンサーのプラチナ上部電極を窒化チタンから成る水素バリアで覆うことの効果が、研究された。コンデンサーは、ヒューズ・エアークラフト・カンパニーから商業的に入手可能な製品番号HAC10475−47のストロンチウム・ビスマス・タンタル・ニオブ酸塩溶液から製造された。溶液は、化学量論的式SrBi2.18Ta1.44Nb0.569に対応する化学前駆体を含む。この化学量論的式は、本明細書中では、“標準的"な組成として扱われる。しかし、この式は、単に、商業的に入手可能な前駆体溶液における種々の化学種の相対比率を表わしているに過ぎないと理解されるべきである。この例では、0.2mol/l溶液は、タンタル2−エチルヘキサン酸、ビスマス2−エチルヘキサン酸、ストロンチウム2−エチルヘキサン酸、ニオブ2−エチルヘキサン酸、2−エチルヘキサン酸、それにキシレンを含有する。層状超格子化合物を含む強誘電体コンデンサーは、アメリカ特許番号5434102(ワタナベ)に記載された方法に対応した前駆体溶液から形成される。
【0092】
ここで、コンデンサーにおける残留分極(2Pr)、抗電界(Ec)、漏洩電流が、水素処理の前後に測定された。
【0093】
一連のp型100シリコンウエハー基板602(図6)が、シリコン酸化膜604の層を形成するために酸化された。200Åの厚さを有するチタン接着層618が基板上にスパッターされ、それから、3000Åの厚さを有する下部プラチナ電極622が、接着層618の上にスパッター堆積された。これらは、650℃で酸素中で30分間アニールされ、低真空中で180℃で30分間脱水素処理された。ストロンチウム・ビスマス・ニオブ酸塩化合物の0.2モル溶液のスピンコートが、30分間、1500rpmで、下部電極622の上に堆積された。これは、160℃で1分間熱分解され、260℃まで昇温して4分間処理された。このスピンコートと熱分解の手順は、繰り返し行われた。強誘電体膜は、急速熱処理、すなわち、時に、ラピッド・サーマル・アニ−ル(RTA)と呼ばれる急速熱処理を使って結晶化された。RTAでは、ウエハーは、725℃で30秒間保持され、100℃/secの比率で昇温される。これらの工程により、2100±150Åの厚さを有する強誘電体薄膜624が形成された。このウエハーおよび堆積層は、60分間、800℃で、第1のアニールを受けた。プラチナが、2000Åの厚さの上部電極626を形成するためにスパッターによって堆積され、続いて、ホトレジストを使用したパターンニングが行われた。プラチナとストロンチウム ビスマス・タンタル・ニオブ酸塩層が、コンデンサーを形成するためにイオンによってエッチングされ、それから、アッシングがホトレジストを除去するために行われ、続いて、第2の酸素アニールが800℃で30分間行われた。
【0094】
それから、窒化チタン628の薄膜が、種々の堆積条件の下、ストロンチウム・ビスマス・タンタル・ニオブ酸塩・コンデンサーの上に、1800Åの厚さでスパッター堆積された。このコンデンサーは、400℃の下4l/mの流量でかつ大気圧で、10,30,60分間、H2−N2(H25%)混合ガスの下で、水素アニールが行われた。ここで、コンデンサーは、7845μm2の面積を持っていた。
【0095】
水素アニールの後、窒化チタン膜が、60℃で、NH4OH:H22:H2O(1:3:1)の溶液中で、除去された。真空オーブンでコンデンサーを乾燥させた後、1−10ボルトの範囲でのヒステリシス測定およびI−Vテストが実行された。
【0096】
窒化チタン膜628が、ガス圧力5,8,12mTorrと25,50と100Wパワー、ベース圧力5×10-7Torrで、アルゴンガスと共に、窒化チタン・スパッター・ターゲットを使用して、コンデンサーの上部電極の上に堆積された。ストロンチウム・ビスマス・タンタル・ニオブ酸塩・コンデンサーを水素による性能低下から保護するために最も効果的な窒化チタンは、最も高い密度を有する膜であった。すなわち、100W及び5mTorrで作成された膜である。これらの膜は、4.19グラム・パー・キュービック・センチメーター(g/cm3)の密度及び0.50ミリ・オーム・センチ・メーター(mΩcm)の電気抵抗率を有した。コンデンサーの側面は、水素バリア層で覆われていなかった。
【0097】
窒化チタンが、100W及び5mTorrでスパッタリングされた試料のヒステリシス曲線が、水素アニールの前後に、10,30,60分で測定された。
曲線は、アニールの前とアニールの60分後とでほとんど同じであった。100W及び5mTorrでスパッタリングされた窒化チタン層を有するコンデンサーの残留分極が、水素アニールの前後に、10,30,60分で、5ボルトで測定された。すべての水素アニールされた試料の2Prの値は、水素処理の前の値から約10%だけ低下していた。窒化チタンが、100W及び5mTorrでスパッタリングされたコンデンサーの漏洩電流が、水素アニールの前後に、10,30,60分で測定された。3ボルトでの漏洩電流は、水素アニールが実行されたすべての試料においてほぼ等しかった。その値は、約10-6A/cm2であった。これらの結果は、本発明の方法において、窒化物の水素バリアを設けたことの効果を示している。また、それらは、水素による性能低下に対する強誘電体薄膜の所望の電気的特性の保護が、強誘電体薄膜の上に垂直に水素バリアを付着させることによって達成されることを証明している。たいていの場合、強誘電体層の下の層は、強誘電体薄膜に水素が拡散するのを防止するのに十分な厚さを有しているので、最も重要な水素バリアは、強誘電体薄膜の直接上に堆積されたバリア層である。このバリア層は、強誘電体層と接触していても良いし、していなくても良い。バリア層が、強誘電体層の部分の上に直接存在しさえすれば、水素バリアは、水素の拡散からその部分の強誘電体層を保護するであろう。一方、強誘電体特性の保護は、上部バリア層のみでは完全でないことも、実験結果ははっきりと示している。
【0098】
それゆえ、本発明では、水素を吸収すると共に、水素バリアにより覆われた強誘電体薄膜の保護部分に水素がさらに拡散するのを防止する犠牲的部分を強誘電体薄膜に形成した。
【0099】
(実施例2)
ストロンチウム・ビスマス・タンタル・ニオブ酸塩・コンデンサーの電気的特性が、水素ガスでのアニールの前後に、200℃,250℃,300℃の温度で、10,30,60分間測定された。ストロンチウム・ビスマス・タンタル・ニオブ酸塩・コンデンサーは、ヒューズ・エアークラフト・カンパニーから得られた前駆体溶液・製品番号HAC10709−30から、実施例1で使用された手順に沿って再び作製された。
【0100】
水素ガスでアニールされる前に、各々、7845μm2の面積を有する5つのコンデンサーの電気的特性が、測定された。コンデンサーの漏洩電流は、5Vで約10-7A/cm2であった。5ボルトでの残留分極(2Pr)は、約23μC/cm2であった。コンデンサーの一つに実施された疲労テストでは、2Pr値は、1010サイクルの後に、約5パーセント減少した。
【0101】
水素アニールは、大気圧条件の下、H2−N2(H21%)の混合ガス中で、200℃,250℃,300℃の温度で、10,30,60分間、コンデンサーに対して行われた。
【0102】
図8は、200℃,250℃,300℃の温度でアニールされたコンデンサーにおいて、アニール時間の関数として、5ボルトでの残留分極2Prのグラフを示す。図8は、最低の温度200℃及び最短時間10分での水素熱処理が、最も少ない2Pr値の低下をもたらすことを示している。200℃及び10分間アニールされた試料の電流密度は、5ボルトで約10-7A/cm2であり、アニール前の値に等しく、メモリーデバイスとして十分に満足できる。しかし、他の試料の漏洩電流は、高く不満足な値だった。
【0103】
水素熱処理が400℃で60分間行われた実施例1の結果とこれらの結果とを比較すると、水素バリア層を使用することの有効性が判明する。
【0104】
残留分極に関する水素熱処理の効果が測定され、異なった表面積のコンデンサーにおいて比較された。残留分極は、1963から196300μm2の範囲の表面エリアを有するコンデンサーにおいて測定された。そのデータは、図9のグラフにプロットされている。
【0105】
図9の縦軸は、1963μm2の2Prで規準化されている。このデータは、2Prの低下が、実験の特別な条件の下では、強誘電体薄膜の横方向の面積には大きく依存しないことを示してる。これは、水素の横拡散が不十分かあるいは存在しないということを意味しない。むしろ、強誘電体薄膜の端部から始まる横方向の拡散による水素の移動は比較的遅く、水素の横拡散による強誘電体特性の低下は、強誘電性体薄膜の端部に局所化することを示唆している。
【0106】
標準前駆体溶液に添加され、強誘電体薄膜の層状超格子化合物の中に存在する追加のBiあるいはNbは、水素アニールによる性能低下からストロンチウム・タンタル・ビスマス・ニオブ酸塩・コンデンサーを保護する。これらの過剰元素は、別の酸化物を形成し、ストロンチウム・タンタル・ビスマス・ニオブ酸塩・酸化物を還元する水素を消費することにより、水素による性能低下を防止する。チタン、タンタル、ハフニウム、タングステン、ジルコニウムのような他のB−サイト材料に関する主要な結果は、B−サイト材料の過剰量が、水素に曝すことから生じる性能低下を防止することを示している。
【0107】
以上議論してきたように、本発明の特徴は、強誘電体層の保護部分を覆う水素バリア層を配置し、一方、強誘電体層の犠牲的部分が水素を吸収し、保護部分に水素が拡散できないようにすることにある。ある集積回路デバイスに対して、水素による性能低下に対するさらなる保護は、追加の対策によって達成可能である。水素に対する暴露を小さくするには、低温度、短時間水素熱処理が効果的である。また、優れた強誘電体特性は、過剰なビスマス酸化物あるいは過剰なニオブ酸化物のような過剰金属酸化物を有する前駆体を使用することにより得られる。
【0108】
さらに、強誘電体層の製造に続いて配置される絶縁層のような集積回路層における過剰酸素の使用は、酸素が後に続く水素処理中に水素のゲッターとして作用し、それ独自で、あるいは、上記対策の一つあるいはそれ以上との組み合わせにおいて効果的に使用できる。
【0109】
このようにして、本発明では、集積回路の他の部分を完全に製作するのに使用される水素に露出させても、強誘電体の性能低下の防止を可能にするプロセスあるいは構造を提供する。水素に対して露出させても、優れた電気的特性を有する強誘電体デバイスが得られる。
【0110】
図示されかつ本明細書に記載された実施例は単なる例示であり、上記の「特許請求の範囲」に記載された本発明を、上記実施例に限定するように解釈してはならない。さらに、当業者ならば、本発明の思想から逸脱しない範囲で、記載された実施例から数多くの使用例および修正例を作成できることは明らかである。例えば、強誘電体層の犠牲的部分は、強誘電性メモリーデバイスを制作するためのプロセスの重要な一部としてみなされているが、この方法は、記載された方法に関しバリエーションを備えた他のプロセスと結合されることも可能である。また、記載された工程は例示であり、異なった順序で実施されてもよい。
【0111】
さらに、等価的な構造あるいはプロセスが、記載された種々の構造およびプロセスに取って代わるかもしれない。本発明は、述べられたそれぞれの新規な特徴、あるいは製造プロセス、電子的デバイス、電子デバイス製造方法についての特徴の新規な組み合わせを広く解釈されるべきである。
【0112】
【発明の効果】
本発明によれば、水素の有害な効果を低減することにより金属酸化物の電気的特性を良好に保持することが可能になる。
【図面の簡単な説明】
【図1】本発明の方法によって実施された集積回路の製造の中間段階の断面図であり、強誘電体層の犠牲的部分が水素バリア層の端部を超えて横方向に伸びている不揮発性強誘電体メモリーを示す。
【図2】本発明の方法によって実施された集積回路の製造の中間段階の断面図であり、上部電極を超えて伸びている強誘電体層の犠牲的部分の一部が下部電極からエッチングされた不揮発性強誘電体メモリーを示す。
【図3】本発明の方法によって実施された集積回路の製造の中間段階の断面図であり、上部電極を超えて伸びている強誘電体層の犠牲的部分の一部が下部電極からエッチングされ、配線層がそのエッチングされた開口を埋めた満た不揮発体強誘電性メモリーを示す。
【図4】本発明による不揮発体強誘電性メモリーデバイスを製造するためのプロセスの好適な実施例を示すフローチャートである。
【図5】水素バリア層で覆われた薄膜コンデンサーが大きく拡大されて示された典型的なウエハーの上面図である。
【図6】薄膜コンデンサーデバイスを示す、図5の6−6線に沿って切り取られた断面図の一部である。
【図7】水素バリア層が三つの全く別の薄膜を含む本発明の変形例を示す。
【図8】三つの異なったアニール温度でアニールされたストロンチウム・ビスマス・タンタル・ニオブ酸塩・コンデンサーにおいてアニール時間の関数としてプロットされた残留分極(2Pr@5V)のグラフである。
【図9】四つの異なった水素アニール時間に対するコンデンサー電極面積の関数としてプロットされた5Vで標準化された残留分極、2Pr/[2Pr(1963μm2)]のグラフである。
【符号の説明】
102 シリコン基板
104 フィールド酸化領域
106 ソース領域
108 ドレイン領域
110 ゲート絶縁膜
114 MOSFET
116 第1の層間絶縁膜
118 接着層
120 強誘電体薄膜コンデンサー
122 下部電極
123 保護部分
124 強誘電体薄膜
125 犠牲的部分
126 上部電極
128 水素バリア層

Claims (10)

  1. トランジスタと強誘電体コンデンサーとを有する強誘電体集積回路を製造する方法において、
    基板上に、保護部分と犠牲的部分とを有する金属酸化物材料から成る強誘電体薄膜を形成し、
    前記強誘電体薄膜のうち、前記犠牲的部分を除く、前記保護部分を覆うように導電性の水素バリア層を形成し、
    水素を含む雰囲気で前記トランジスタが受けたダメージを回復させる熱処理を行い
    その後、 前記犠牲的部分の少なくとも一部を除去すること特徴とする強誘電体集積回路の製造方法。
  2. 請求項1において、前記水素バリア層は、窒化チタンから成ることを特徴とする強誘電体集積回路の製造方法。
  3. 請求項1において、前記金属酸化物材料は、少なくとも二つの金属を含む酸化物から成ることを特徴とする強誘電体集積回路の製造方法。
  4. 請求項3において、前記金属の少なくとも一つは、前記材料中に過剰量存在することを特徴とする強誘電体集積回路の製造方法。
  5. 請求項1において、金属酸化材料の薄膜は、層状超格子化合物から成ることを特徴とする強誘電体集積回路の製造方法。
  6. 請求項5において、前記層状超格子化合物は、ストロンチウム・ビスマス・タンタル・ニオブ酸塩から成ることを特徴とする強誘電体集積回路の製造方法。
  7. 請求項6において、前記層状超格子化合物は、ビスマスとニオブから成るグループから選ばれた金属元素の少なくとも一つが過剰量含まれていることを特徴とする強誘電体集積回路の製造方法。
  8. 請求項1において、前記水素を含む雰囲気での熱処理は、前記集積回路部分を、400℃未満の温度でかつ、30分未満の時間で行われ、前記水素雰囲気の水素は、モルパーセントで、0.01から50パーセント含まれていることを特徴とする強誘電体集積回路の製造方法。
  9. トランジスタと強誘電体コンデンサーとを有する強誘電体集積回路を製造するための方法において、
    基板を用意し、
    基板上に、下部電極を形成し、
    前記下部電極上に、保護部分と犠牲的部分とを有する金属酸化物材料の強誘電体薄膜を形成し、
    前記保護部分の少なくとも一部の上に、直接上部電極を形成し、
    前記上部電極の少なくとも一部上で、かつ、前記保護部分上に導電性の水素バリア層を形成し、この水素バリア層を前記犠牲的部分上には形成しないようにし、
    水素を含む雰囲気で前記トランジスタが受けたダメージを回復させる熱処理を行い、
    その後、前記犠牲的部分の少なくとも一部を除去することを特徴とする強誘電体集積回路の製造方法。
  10. トランジスタと強誘電体コンデンサーとを有する強誘電体集積回路を製造するための方法において、
    基板を用意し、
    前記基板上に下部電極を形成し、
    前記下部電極上に、保護部分と犠牲的部分とを有する金属酸化物材料の強誘電体薄膜を形成し、
    強誘電体薄膜の少なくとも一部分の上に上部電極を形成し、
    前記上部電極の少なくとも一部分の上に水素バリア層を形成し、
    前記上部電極と前記水素バリア層とを同じパターンニング処理でパターンニングし、これにより、自己整合された上部電極と水素バリア層とを形成するようにし、
    水素を含む雰囲気で前記トランジスタが受けたダメージを回復させる熱処理を行い、
    その後、前記犠牲的部分の少なくとも一部を除去することを特徴とする強誘電体集積回路の製造方法。
JP30696398A 1998-04-17 1998-10-28 強誘電体集積回路の製造方法 Expired - Fee Related JP3636900B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/062,264 US6225156B1 (en) 1998-04-17 1998-04-17 Ferroelectric integrated circuit having low sensitivity to hydrogen exposure and method for fabricating same
US09/062264 1998-04-17

Publications (2)

Publication Number Publication Date
JPH11307734A JPH11307734A (ja) 1999-11-05
JP3636900B2 true JP3636900B2 (ja) 2005-04-06

Family

ID=22041326

Family Applications (1)

Application Number Title Priority Date Filing Date
JP30696398A Expired - Fee Related JP3636900B2 (ja) 1998-04-17 1998-10-28 強誘電体集積回路の製造方法

Country Status (5)

Country Link
US (2) US6225156B1 (ja)
EP (1) EP0954031A3 (ja)
JP (1) JP3636900B2 (ja)
KR (1) KR100350166B1 (ja)
CN (1) CN1139979C (ja)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6512256B1 (en) * 1998-11-20 2003-01-28 Symetrix Corporation Integrated circuit having self-aligned hydrogen barrier layer and method for fabricating same
JP3439370B2 (ja) * 1999-04-21 2003-08-25 Necエレクトロニクス株式会社 半導体メモリ装置の製造方法
US6562678B1 (en) * 2000-03-07 2003-05-13 Symetrix Corporation Chemical vapor deposition process for fabricating layered superlattice materials
KR20010109610A (ko) * 2000-05-31 2001-12-12 박종섭 반도체 소자의 강유전체 캐패시터 형성방법
KR100604662B1 (ko) * 2000-06-30 2006-07-25 주식회사 하이닉스반도체 상부전극과 층간절연막 사이의 접착력을 향상시킬 수 있는반도체 메모리 소자 및 그 제조 방법
IT1318279B1 (it) * 2000-07-28 2003-07-28 Getters Spa Dispositivo capacitivo integrato con strato dielettrico degradabiledall'idrogeno protetto da strato getter.
EP1382060A1 (en) * 2000-08-24 2004-01-21 Cova Technologies Incorporated SINGLE TRANSISTOR RARE EARTH MANGANITE fERROELECTRIC NONVOLATILE MEMORY CELL
DE10041685C2 (de) 2000-08-24 2002-06-27 Infineon Technologies Ag Verfahren zur Herstellung eines mikroelektronischen Bauelements
US6750113B2 (en) * 2001-01-17 2004-06-15 International Business Machines Corporation Metal-insulator-metal capacitor in copper
WO2002071477A1 (en) 2001-03-02 2002-09-12 Cova Technologies Incorporated Single transistor rare earth manganite ferroelectric nonvolatile memory cell
US6709875B2 (en) * 2001-08-08 2004-03-23 Agilent Technologies, Inc. Contamination control for embedded ferroelectric device fabrication processes
JP3466174B2 (ja) * 2001-09-27 2003-11-10 沖電気工業株式会社 半導体装置およびその製造方法
JP4383021B2 (ja) * 2002-05-29 2009-12-16 Necエレクトロニクス株式会社 半導体装置の製造方法
JP4308485B2 (ja) * 2002-07-08 2009-08-05 パナソニック株式会社 容量素子の製造方法
US6825517B2 (en) * 2002-08-28 2004-11-30 Cova Technologies, Inc. Ferroelectric transistor with enhanced data retention
US6888736B2 (en) 2002-09-19 2005-05-03 Cova Technologies, Inc. Ferroelectric transistor for storing two data bits
US6714435B1 (en) 2002-09-19 2004-03-30 Cova Technologies, Inc. Ferroelectric transistor for storing two data bits
JP4264708B2 (ja) * 2003-03-18 2009-05-20 セイコーエプソン株式会社 セラミックス膜の製造方法
JP4522088B2 (ja) * 2003-12-22 2010-08-11 富士通セミコンダクター株式会社 半導体装置の製造方法
US7785947B2 (en) * 2005-04-28 2010-08-31 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device comprising the step of forming nitride/oxide by high-density plasma
JP4746357B2 (ja) * 2005-06-09 2011-08-10 富士通セミコンダクター株式会社 半導体装置の製造方法
US7345331B1 (en) * 2005-09-23 2008-03-18 United States Of America As Represented By The Secretary Of The Navy Ferroelectric capacitor circuit for sensing hydrogen gas
US8093698B2 (en) * 2006-12-05 2012-01-10 Spansion Llc Gettering/stop layer for prevention of reduction of insulating oxide in metal-insulator-metal device
US7994892B2 (en) * 2007-06-21 2011-08-09 Jpa Inc. Oxidative opening switch assembly and methods
CN102024747B (zh) * 2009-09-11 2015-02-11 中芯国际集成电路制造(上海)有限公司 功率器件的铝插塞制作方法
US8395196B2 (en) * 2010-11-16 2013-03-12 International Business Machines Corporation Hydrogen barrier liner for ferro-electric random access memory (FRAM) chip
KR20130137851A (ko) 2012-06-08 2013-12-18 삼성디스플레이 주식회사 산화물 반도체의 전구체 조성물, 산화물 반도체를 포함하는 박막 트랜지스터 기판, 그리고 산화물 반도체를 포함하는 박막 트랜지스터 기판의 제조 방법
KR102301501B1 (ko) * 2015-01-21 2021-09-13 삼성디스플레이 주식회사 가요성 표시 장치의 제조 방법
US11527702B2 (en) 2018-07-11 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Piezoelectric device with hydrogen getter
CN109285774B (zh) * 2018-09-12 2023-03-24 江苏能华微电子科技发展有限公司 一种基于氮化镓的结势垒肖特基二极管及其形成方法
US11322580B2 (en) * 2019-08-05 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Titanium layer as getter layer for hydrogen in a MIM device
CN114583048A (zh) * 2022-05-05 2022-06-03 成都高真科技有限公司 半导体工艺中消除电容漏电的方法、电容和半导体器件

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3131982B2 (ja) 1990-08-21 2001-02-05 セイコーエプソン株式会社 半導体装置、半導体メモリ及び半導体装置の製造方法
US5434102A (en) 1991-02-25 1995-07-18 Symetrix Corporation Process for fabricating layered superlattice materials and making electronic devices including same
US5508226A (en) 1991-12-13 1996-04-16 Symetrix Corporation Low temperature process for fabricating layered superlattice materialsand making electronic devices including same
DE69433245T2 (de) * 1993-08-05 2004-07-22 Matsushita Electric Industrial Co., Ltd., Kadoma Herstellungsverfahren für Halbleiterbauelement mit Kondensator von hoher dielektrischer Konstante
JPH07111318A (ja) 1993-10-12 1995-04-25 Olympus Optical Co Ltd 強誘電体メモリ
US5622893A (en) 1994-08-01 1997-04-22 Texas Instruments Incorporated Method of forming conductive noble-metal-insulator-alloy barrier layer for high-dielectric-constant material electrodes
US5563762A (en) * 1994-11-28 1996-10-08 Northern Telecom Limited Capacitor for an integrated circuit and method of formation thereof, and a method of adding on-chip capacitors to an integrated circuit
JP3621162B2 (ja) 1995-09-25 2005-02-16 富士通株式会社 容量素子及びその製造方法、並びに半導体装置
JP3292004B2 (ja) 1995-10-24 2002-06-17 ソニー株式会社 ビスマス化合物の製造方法
JPH09205181A (ja) 1996-01-26 1997-08-05 Nec Corp 半導体装置
US5716875A (en) * 1996-03-01 1998-02-10 Motorola, Inc. Method for making a ferroelectric device
US5930639A (en) * 1996-04-08 1999-07-27 Micron Technology, Inc. Method for precision etching of platinum electrodes
US5784310A (en) 1997-03-03 1998-07-21 Symetrix Corporation Low imprint ferroelectric material for long retention memory and method of making the same
US6211034B1 (en) * 1997-04-14 2001-04-03 Texas Instruments Incorporated Metal patterning with adhesive hardmask layer
JPH11121693A (ja) 1997-10-13 1999-04-30 Matsushita Electron Corp 容量素子およびその製造方法
US5923970A (en) * 1997-11-20 1999-07-13 Advanced Technology Materials, Inc. Method of fabricating a ferrolelectric capacitor with a graded barrier layer structure

Also Published As

Publication number Publication date
KR100350166B1 (ko) 2002-08-24
JPH11307734A (ja) 1999-11-05
CN1233074A (zh) 1999-10-27
KR19990083289A (ko) 1999-11-25
US6225156B1 (en) 2001-05-01
EP0954031A2 (en) 1999-11-03
CN1139979C (zh) 2004-02-25
US6570202B2 (en) 2003-05-27
EP0954031A3 (en) 2009-09-02
US20010010377A1 (en) 2001-08-02

Similar Documents

Publication Publication Date Title
JP3636900B2 (ja) 強誘電体集積回路の製造方法
JP3970477B2 (ja) 強誘電体集積回路及びその製造方法
JP3190896B2 (ja) 強誘電体集積回路の製造方法
US6365927B1 (en) Ferroelectric integrated circuit having hydrogen barrier layer
US7064374B2 (en) Barrier layers for protecting metal oxides from hydrogen degradation
JP3245564B2 (ja) 強誘電体集積回路の製造方法
US6322849B2 (en) Recovery of electronic properties in hydrogen-damaged ferroelectrics by low-temperature annealing in an inert gas
US20010013614A1 (en) Iridium oxide diffusion barrier between local interconnect layer and thin film of layered superlattice material
US6512256B1 (en) Integrated circuit having self-aligned hydrogen barrier layer and method for fabricating same
US6130103A (en) Method for fabricating ferroelectric integrated circuits
KR100668881B1 (ko) 커패시터 및 그 제조방법
JPH11307733A (ja) 強誘電体集積回路の製造方法

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20020220

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20041116

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20050106

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080114

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090114

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100114

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110114

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110114

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120114

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130114

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130114

Year of fee payment: 8

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees