JP3331001B2 - 半導体ウエーハを処理する方法 - Google Patents

半導体ウエーハを処理する方法

Info

Publication number
JP3331001B2
JP3331001B2 JP09010493A JP9010493A JP3331001B2 JP 3331001 B2 JP3331001 B2 JP 3331001B2 JP 09010493 A JP09010493 A JP 09010493A JP 9010493 A JP9010493 A JP 9010493A JP 3331001 B2 JP3331001 B2 JP 3331001B2
Authority
JP
Japan
Prior art keywords
wafer
layer
etching
conductive
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP09010493A
Other languages
English (en)
Other versions
JPH0661193A (ja
Inventor
グルテジ・エス・サンデュー
デヴィッド・エイ・キャセイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of JPH0661193A publication Critical patent/JPH0661193A/ja
Application granted granted Critical
Publication of JP3331001B2 publication Critical patent/JP3331001B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/97Specified etch stop material

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、半導体ウエーハの処理
に関し、より詳細には、種々の高さに設けられるウエー
ハの接点に対する接触開口を絶縁誘電層を通してエッチ
ングする技術に関する。
【0002】
【従来の技術】半導体ウエーハの処理においては、回路
密度を極力高くし、これにより、半導体チップの最終的
な寸法を極力小さくする試みが間断無く行われている。
ある集積回路において利用される表面積を極力大きくす
る方法の1つにおいては、種々のデバイス並びに回路素
子をウエーハに投入される。この方法は一般に、バーテ
ィカルインテグレーション(vertical int
egration:垂直方向の集積)と呼ばれている。
回路が複雑になりまたバーティカルインテグレーション
がより複雑になるに連れて、ウエーハの微細構成は益々
多様になる。高さの差は、ダイにわたって50乃至10
0パーセントあるいはそれ以上となり、これは、所望の
接点をアンダーエッチング及びオーバエッチングする際
に深刻な問題を生ずることがある。すなわち、ある層の
複数の接触開口/通路のエッチングを多段処理工程で行
う必要がある。その理由は、必要とされる接点の深さは
ウエーハの種々の点において大きく変動するからであ
る。
【0003】上記問題点は、図1及び図2に図解的に示
されている。図1を参照すると、半導体ウエーハ10
は、バルク基板12と、酸化物のフィールド領域14
と、導電性ドープ処理されたシリコンを含むアクティブ
領域16a、16b、16cと、導電性のランナ18
a、18b、18cとを備えている。ランナ18の側部
は、一般には酸化物である絶縁性のスペーサ材料20に
よって包囲されている。平坦化された絶縁酸化物から成
る層22がウエーハの頂部層をもたらしている。この例
の目的すなわち意図は、領域16a、16b及びランナ
18cの上面に対する接触開口をエッチングすることで
ある。しかしながら、絶縁層22の中にあるランナ18
cの上面の高さは、領域16a、16bの上面の高さと
は大幅に異なっている。
【0004】エッチングの際の問題点が図2に示されて
いる。接触開口/通路24a、24b、24cが、それ
ぞれ領域16a、16b及びランナ18cの上方の絶縁
層22から形成され始めている状態が図示されている。
開口24cは、ランナ18cの上面の深さまでエッチン
グされている状態で示されている。しかしながら、開口
24a、24bに関しては、領域16a、16bの上面
まで連続的に下がるように絶縁層22を更にエッチング
する必要がある。そのようなエッチングを引き続き行う
と、領域18cは過剰にエッチングされ、ランナ18c
に損傷を与えてこれを破壊することになる。
【0005】ランナ18cは一般に、WSix又はTi
Six等の導電性のより高いケイ化物の上面を有する、
例えば導電性ドープ処理されたポリシリコン等のシリコ
ンから基本的に構成される。絶縁誘電層22は一般に、
SiO2から基本的に構成される。エッチングの化学的
な特性は、エッチングの間にエッチングが接点18cに
到達した時点において、ポリシリコンよりも多量のSi
2が除去されるように選択するのが好ましい。しかし
ながら、開口24a、24bのエッチングを引き続き行
う間にランナ18cの露呈された材料がかなりの程度エ
ッチングされ、回路の損傷又は回路の欠陥を生ずること
がある。
【0006】上述の欠点を解消するために現在行われて
いる1つの代表的な方法においては、フォトマスクを施
し、開口24a、24bのエッチングを開口24cのエ
ッチングから分離させている。そのような多段階工程に
より接点のエッチングを行うことは、生産量を減少さ
せ、これに応じて全体的な工程のコストを増大させる。
【0007】
【発明が解決しようとする課題】本発明の目的は、従来
技術に伴う上述の及び他の欠点を解消することである。
【0008】
【課題を解決するための手段】本発明の1つの特徴によ
れば、半導体ウエーハを処理する方法はウエーハの種々
の高さに位置する外側面を有する複数の導電性領域を形
成し、これにより、少なくとも1つの高位置の導電性領
域及び少なくとも1つの低位置の導電性領域が形成され
るようにウエーハを製造する工程と、上記ウエーハの上
に平坦化された絶縁誘電層を設ける工程と、上記絶縁誘
電層を通って種々の高さにある選択された導電性領域ま
で伸びる複数の接触開口を形成するように上記絶縁誘電
層をパターニングする工程と、上記パターニングされた
絶縁誘電層の中で上記複数の接触開口を下方へエッチン
グし、電気的な接触が行われることになる上記高位置の
導電性領域の外側面で該エッチングを止めるようにする
第1のエッチング工程と、上記第1のエッチング工程の
後に、上記高位置の導電性領域の上記外側面の上にエッ
チストップ材料の層を選択された厚みまで選択的に堆積
させる工程と、上記高位置の導電性領域の上に選択的に
堆積された上記エッチストップ材料の層をエッチストッ
プ保護層として用いることにより、電気的な接触が行わ
れることになる上記低位置の導電性領域の外側面まで、
上記複数の接触開口を上記パターニングされた絶縁誘電
層にエッチングする第2のエッチング工程とを備える。
【0009】本発明の別の特徴によれば、半導体ウエー
ハを処理する方法は、ウエーハの種々の高さに位置する
外側面を有する複数の導電性領域を形成し、これによ
り、少なくとも1つの高位置の導電性領域及び少なくと
も1つの低位置の導電性領域が形成されるようにウエー
ハを製造する工程と、上記ウエーハの上に平坦化された
絶縁誘電層を設ける工程と、上記平坦化された絶縁誘電
層の上にホトレジスト層を設ける工程と、上記ホトレジ
ストを写真露光し且つ現像し、上記ホトレジストを通っ
て上記絶縁誘電層まで伸びる複数の選択された開口のパ
ターンを形成し、これにより、上記絶縁誘電層を通って
種々の高さにある導電性領域まで伸びる複数の接触開口
を定義する工程と、上記パターニングされた絶縁誘電層
の中で上記複数の接触開口を下方へエッチングし、電気
的な接触が行われることになる上記高位置の導電性領域
の外側面で該エッチングを止めるようにする第1のエッ
チング工程と、上記第1のエッチング工程の後に、且つ
上記ホトレジスト層がまだ存在する状態において、上記
高位置の導電性領域の上記外側面の上にエッチストップ
材料の層を選択された厚みまで選択的に堆積させる工程
と、上記ホトレジスト層が未だ存在する状態において、
上記高位置の導電性領域の上に選択的に堆積された上記
エッチストップ材料の層をエッチストップ保護層として
用いることにより、電気的な接触が行われることになる
上記低位置の導電性領域の外側面まで、上記複数の接触
開口を上記パターニングされた絶縁誘電層にエッチング
する第2のエッチング工程と、上記ウエーハからホトレ
ジストを取り除く工程とを備える。
【0010】本発明の更に別の特徴によれば、半導体ウ
エーハを処理する方法は、ウエーハの種々の高さに位置
する外側面を有する複数の導電性領域を形成し、これに
より、(a)高位置の導電性領域、(b)上記高位置の
導電性領域よりも低い位置にある二番目に高い導電性領
域、及び(c)低位置の導電性領域が形成されるように
ウエーハを製造する工程と、上記二番目に高い導電性領
域の外側面から第1の距離だけ高さ方向に離れた上面を
有する平坦化された絶縁誘電層を上記ウエーハの上に設
ける工程と、上記平坦化された絶縁誘電層の上にホトレ
ジスト層を設ける工程と、上記ホトレジストを写真露光
し且つ現像し、上記ホトレジストを通って上記絶縁誘電
層の上面まで伸びる複数の選択された開口のパターンを
形成し、これにより、上記絶縁誘電層を通って種々の高
さにある導電性領域まで伸びる複数の接触開口を定義す
る工程と、上記パターニングされた絶縁誘電層の中で上
記複数の接触開口を下方へエッチングし、電気的な接触
が行われることになる上記高位置の導電性領域の外側面
で該エッチングを止めることにより接触開口の第1の基
部を形成し、これにより、上記第1の基部と上記低位置
の導電性領域の外側面との間に上記第1の距離よりも小
さな第2の距離を残すようにする第1のエッチング工程
と、上記第1のエッチング工程の後に、上記高位置の導
電性領域の上記外側面の上にエッチストップ材料の層を
選択された厚みまで選択的に堆積させる工程と、上記第
1のエッチング工程の後に、上記ウエーハから総てのホ
トレジストを取り除く工程と、上記ホトレジスト層がウ
エーハから取り除かれた状態で、上記高位置の導電性領
域の上に選択的に堆積された上記エッチストップ材料の
層をエッチストップ保護層として用いることにより、電
気的な接触が行われることになる上記低位置の導電性領
域の外側面まで、上記複数の接触開口を上記パターニン
グされた絶縁誘電層にエッチングする第2のエッチング
工程とを備える。
【0011】
【実施例】同一の符号により同一の要素を示す図面を参
照しながら以下の説明を読むことにより、1又はそれ以
上の好ましい実施例の構造並びにその処理方法が理解さ
れよう。
【0012】最初に図3乃至図5を参照して好ましい一
実施例を説明する。図3は、図1と同様のウエーハ10
aを示しており、このウエーハには、酸化物のフィール
ド領域14と、アクティブ領域16a、16b、16c
と、ランナ18a、18b、18cと、絶縁性のスペー
サ酸化物20とが形成されている。以下の記載において
は、領域16a、16b、16c並びにランナ18c
が、外側面30、32、34、36をそれぞれ有する複
数の導電性領域を形成しているものとして説明を続け
る。表面36は、実質的に同一の高さにある表面30、
32、34の高さとは異なるすなわち違う高さの領域に
ある。ランナ18a、18bもそれぞれ導電性の外側面
38、40を有しており、これら外側面は概ね同一の高
さにある。外側面36は高い位置にある導電性領域すな
わち高位置の導電性領域を形成し、外側面38、40は
上記高い位置にある導電性領域よりも低い位置にある二
番目に高い位置にある導電性領域すなわち中位置の導電
性領域を形成し、領域30、32、34は、低い位置に
ある導電性領域すなわち低位置の導電性領域を形成して
いる。高位置の導電性領域及び中位置の導電性領域は一
般に、WSix又はTiSix等のケイ化物から成る層か
ら構成される。低位置の導電性領域は一般に、導電性ド
ープ処理された活性化されたシリコン基板領域から構成
される。
【0013】上面44を有する平坦化された絶縁誘電層
42が、図示のようにウエーハの上に設けられている。
この層の材料の一例としては、ホウ素及び/又はリンで
ドープ処理されたSiO2がある。ホトレジストから成
る層46が平坦化された絶縁誘電層42の上に設けられ
ている。ホトレジスト層46は、写真露光されかつ現像
されて複数の選択された開口24a、24b、24cか
ら成るパターンを形成し、これら開口は、ホトレジスト
層を貫通して絶縁誘電層の上面44に達し、異なった高
さにある導電性領域に対する複数の接触開口を絶縁誘電
層42を貫通して形成する。これにより、絶縁誘電領域
42は、上述の接触開口を形成するようにパターニング
される。
【0014】図4を参照すると、ホトレジスト層46が
未だ存在している状態で、最初に接触開口24a、24
b、24cが、絶縁層42の中へ下方にエッチングさ
れ、電気的な接触を行うべき高位置の導電性領域の外側
面36でエッチングが停止する。ポリシリコン、WSi
x及びTiSixに対して高い選択性を有するエッチング
の化学組成の一例においては、700ワットの電力で、
CHF3、Ar及びCF4をそれぞれ35sccm、60
sccm、25sccmの割合で流す。これにより、接
触開口24a、24bの最初の基部48が形成される。
最初のすなわち第1のエッチングの後に、高位置の導電
性領域の外側面36の上にエッチストップ材料から成る
層50が選択された厚みまで選択的に堆積される。層5
0の好ましい材料はタングステンであり、当業者には周
知のように、タングステンは、露呈されたシリコン表面
にだけ付着するように選択的に堆積させることができ
る。一例として、ウルフ外(Wolf et al.)
は、そのような技術を開示している(”Silicon
Processing for the VLSIE
ra, Vol.1−Process Technol
ogy”の402−403頁:1986年にカリフォル
ニア州サンセットビーチのLattice Press
が発行)。他の動作可能なエッチストップ材料の例とし
ては、TiSix及びAlがある。そのような選択的な
堆積の他の例はまた、1991年のVLSI Conf
erence Proceedingsで発表されたツ
ネナリ外(Tsunenari et al.)の論文
(”Electrical Characterist
ics Of Selective Tungsten
Plugged Contacts Under Th
e OptimizedCondition”)に開示
されている。
【0015】図5を参照すると、ホトレジスト層46が
まだ存在する状態で、接触開口24a、24bが、パタ
ーニングされた絶縁材料42の中へエッチングされ、電
気的な接触を行うべき低位置の導電性領域の外側面3
0、32に達しており、その際には、この第2のエッチ
ングを行う間のエッチストップ保護層として、エッチス
トップ層50が高位置の導電性領域の外側面36の上に
設けられる。層42がSiO2から成り、層50の材料
がWから成る場合のエッチングの化学組成の一例とし
て、上述のように、700ワットの電力で、CHF3
Ar及びCF4をそれぞれ35sccm、60sccm
及び25sccmの割合で流す方法がある。この場合に
は、タングステンに対するSiO2の選択的なエッチン
グの割合が10:1となる。層50の選択された厚み
は、外側面30、32に対するエッチストップが生ずる
前に層50の総ての材料が除去されないように、実行さ
れている選択的なエッチングと相対的に選択される。層
50の好ましい厚みの例は約2000オングストローム
である。その後、ウエーハからホトレジスト層46が取
り除かれる(図示せず)。
【0016】エッチストップ層50は、導電性又は非導
電性の材料から構成することができる。非導電性材料の
例としては、Si34等の窒化物がある。エッチストッ
プ材料が非導電性の場合には、その後導電性の材料を設
けて接触開口24cを充填し、これにより要素18cと
電気的に接続する前に、エッチストップ材料をウエーハ
からエッチングする別の工程を第2のエッチングの後に
実行する。
【0017】本発明の代替的な方法を図6乃至図8を参
照して説明する。図6乃至図8においては、適正な範囲
で図3乃至図5の実施例の符号と同一の符号を用いてお
り、若干異なる構造に対しては、図3乃至図5の実施例
の符号に添字「x」を付してある。図6は、変更された
ウエーハ構造10xを示している。ウエーハの断片10
xは誘電層42xを備えており、この誘電層は符号10
aで示す実施例の誘電層42よりも大きな厚みを有して
いる。以下においては、層42xが、図示のように第1
の距離「A」だけ外側面38、40(中位置の導電性領
域)から上方へ離れた上面44xを有するものとして説
明を続ける。
【0018】図7を参照すると、ホトレジストが施され
且つパターニングされており、接触開口24a、24
b、24cが第1のエッチングを受け、高位置の導電性
領域の外側面36で停まっている。エッチストップ層5
0が設けられている。上述の第1のエッチングは、接触
開口24a、24bの第1の基部48と低位置の導電性
領域の外側面30、32との間に第2のエッチング距離
「B」を残している。第1の距離「A」は第1の距離
「B」よりも大きい。この関係は、図3乃至図5の実施
例においては反対である。
【0019】上述のように「A」が「B」よりも大きい
関係は、ホトレジストを用いることなくその後層42を
エッチングし、接触開口24a、24bを外側面30、
32に向けて下方へ延ばすことを可能にする。エッチン
グ寸法「B」がエッチング寸法「A」よりも小さいの
で、層42の材料が大量に除去されて上面38、40が
上方に露出されるという望ましくない状態が生ずる前
に、層30、32でエッチストップが生ずるようにタイ
ミングを調節することができる。ホトレジストは、層5
0が選択的に堆積される前に取り除かれる。
【0020】上述の技術は、種々の深さを有する接点通
路をエッチングし、接点のより高い位置にある基部の材
料がそれ以上エッチングされるのを防止する効果的な方
法を提供する。この方法は、基板の中の種々の深さすな
わち高さにある接点を効果的にエッチングするために必
要とされるマスキング工程を省く。
【0021】上述の各工程は、基本的には同一の装置の
中の元の位置で実行することができる。AME5000
のようなマルチチャンバ装置においては、エッチング及
び選択的な堆積の工程は、ウエーハを真空雰囲気におい
た状態で、同一のチャンバ又は異なった2つのチャンバ
で実行することができる。
【0022】
【発明の効果】本発明によれば、基板の中の種々の深さ
すなわち高さにある接点を効果的にエッチングするため
に必要とされるマスキング工程を省くことができる。
【図面の簡単な説明】
【図1】従来の技術の項で説明した従来技術のウエーハ
の概略的な断面図である。
【図2】図1に示す処理工程の次の処理工程にある図1
のウエーハの概略的な断面図である。
【図3】本発明に従って処理される半導体ウエーハの概
略的な断面図である。
【図4】図3に示す処理工程の次の処理工程にある図3
のウエーハの概略的な断面図である。
【図5】図4に示す処理工程の次の処理工程にある図3
のウエーハの概略的な断面図である。
【図6】本発明に従って処理される代替的な半導体ウエ
ーハの概略的な断面図である。
【図7】図6に示す処理工程の次の処理工程にある図6
のウエーハの概略的な断面図である。
【図8】図7に示す処理工程の次の処理工程にある図6
のウエーハの概略的な断面図である。
【符号の説明】
10a、10x 半導体ウエーハ 24a、24b、
24c 接触開口 30、32、34、36 外側面 42、42x 誘
電層 50 エッチストップ層
───────────────────────────────────────────────────── フロントページの続き (72)発明者 デヴィッド・エイ・キャセイ アメリカ合衆国アイダホ州83706,ボイ ス,ホイスラー・レーン 3374,アパー トメント 304 審査官 今井 淳一 (56)参考文献 特開 平3−138934(JP,A) 特開 平1−274452(JP,A) 特開 平4−102331(JP,A) 特開 平3−270225(JP,A) 米国特許4933297(US,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/3065 H01L 21/28

Claims (6)

    (57)【特許請求の範囲】
  1. 【請求項1】 半導体ウエーハを処理する方法におい
    て、 ウエーハの種々の高さに位置する外側面を有する複数の
    導電性領域を形成し、これにより、少なくとも1つの高
    位置の導電性領域及び少なくとも1つの低位置の導電性
    領域が形成されるようにウエーハを製造する工程と、 前記ウエーハの上に平坦化された絶縁誘電層を設ける工
    程と、 前記絶縁誘電層を通って種々の高さにある選択された導
    電性領域まで伸びる複数の接触開口を形成するように前
    記絶縁誘電層をパターニングする工程と、 前記パターニングされた絶縁誘電層の中で前記複数の接
    触開口を下方へエッチングし、電気的な接触が行われる
    ことになる前記高位置の導電性領域の外側面で該エッチ
    ングを止めるようにする第1のエッチング工程と、 前記第1のエッチング工程の後に、前記高位置の導電性
    領域の前記外側面の上に絶縁性エッチストップ材料の層
    を選択された厚みまで選択的に堆積させる工程と、 前記高位置の導電性領域の上に選択的に堆積された前記
    絶縁性エッチストップ材料の層をエッチストップ保護層
    として用いることにより、電気的な接触が行われること
    になる前記低位置の導電性領域の外側面まで、前記複数
    の接触開口を前記パターニングされた絶縁誘電層にエッ
    チングする第2のエッチング工程と 前記第2のエッチング工程の後に、前記ウエーハから前
    記絶縁性エッチストップ材料をエッチングする工程と、 を備える半導体ウエーハを処理する方法。
  2. 【請求項2】 請求項1の半導体ウエーハを処理する方
    法において、前記高位置の導電性領域が、導電性ドープ
    処理されたシリコン、WSix及びTiSixから成る群
    から選択される材料を含むことを特徴とする半導体ウエ
    ーハを処理する方法。
  3. 【請求項3】 半導体ウエーハを処理する方法におい
    て、 ウエーハの種々の高さに位置する外側面を有する複数の
    導電性領域を形成し、これにより、少なくとも1つの高
    位置の導電性領域及び少なくとも1つの低位置の導電性
    領域が形成されるようにウエーハを製造する工程と、 前記ウエーハの上に平坦化された絶縁誘電層を設ける工
    程と、 前記平坦化された絶縁誘電層の上にホトレジスト層を設
    ける工程と、 前記ホトレジストを写真露光し且つ現像し、前記ホトレ
    ジストを通って前記絶縁誘電層まで伸びる複数の選択さ
    れた開口のパターンを形成し、これにより、前記絶縁誘
    電層を通って種々の高さにある導電性領域まで伸びる複
    数の接触開口を画定する工程と、 前記パターニングされた絶縁誘電層の中で前記複数の接
    触開口を下方へエッチングし、電気的な接触が行われる
    ことになる前記高位置の導電性領域の外側面で該エッチ
    ングを止めるようにする第1のエッチング工程と、 前記第1のエッチング工程の後に、且つ前記ホトレジス
    ト層がまだ存在する状態において、前記高位置の導電性
    領域の前記外側面の上に絶縁性エッチストップ材料の層
    を選択された厚みまで選択的に堆積させる工程と、 前記ホトレジスト層が未だ存在する状態において、前記
    高位置の導電性領域の上に選択的に堆積された前記絶縁
    エッチストップ材料の層をエッチストップ保護層とし
    て用いることにより、電気的な接触が行われることにな
    る前記低位置の導電性領域の外側面まで、前記複数の接
    触開口を前記パターニングされた絶縁誘電層にエッチン
    グする第2のエッチング工程と、 前記ウエーハからホトレジストを取り除く工程と 前記第2のエッチング工程の後に、前記ウエーハから前
    記絶縁性エッチストップ材料をエッチングする工程と、 を備える半導体ウエーハを処理する方法。
  4. 【請求項4】 半導体ウエーハを処理する方法におい
    て、 ウエーハの種々の高さに位置する外側面を有する複数の
    導電性領域を形成し、これにより、(a)高位置の導電
    性領域、(b)前記高位置の導電性領域よりも低い位置
    にある二番目に高い導電性領域、及び(c)低位置の導
    電性領域が形成されるようにウエーハを製造する工程
    と、 前記二番目に高い導電性領域の外側面から第1の距離だ
    け高さ方向に離れた上面を有する平坦化された絶縁誘電
    層を前記ウエーハの上に設ける工程と、 前記平坦化された絶縁誘電層の上にホトレジスト層を設
    ける工程と、 前記ホトレジストを写真露光し且つ現像し、前記ホトレ
    ジストを通って前記絶縁誘電層の上面まで伸びる複数の
    選択された開口のパターンを形成し、これにより、前記
    絶縁誘電層を通って種々の高さにある導電性領域まで伸
    びる複数の接触開口を画定する工程と、 前記パターニングされた絶縁誘電層の中で前記複数の接
    触開口を下方へエッチングし、電気的な接触が行われる
    ことになる前記高位置の導電性領域の外側面で該エッチ
    ングを止めることにより接触開口の第1の基部を形成
    し、これにより、前記第1の基部と前記低位置の導電性
    領域の外側面との間に前記第1の距離よりも小さな第2
    の距離を残すようにする第1のエッチング工程と、 前記第1のエッチング工程の後に、前記高位置の導電性
    領域の前記外側面の上に絶縁性エッチストップ材料の層
    を選択された厚みまで選択的に堆積させる工程と、 前記第1のエッチング工程の後に、前記ウエーハから総
    てのホトレジストを取り除く工程と、 前記ホトレジスト層がウエーハから取り除かれた状態
    で、前記高位置の導電性領域の上に選択的に堆積された
    前記絶縁性エッチストップ材料の層をエッチストップ保
    護層として用いることにより、電気的な接触が行われる
    ことになる前記低位置の導電性領域の外側面まで、前記
    複数の接触開口を前記パターニングされた絶縁誘電層に
    エッチングする第2のエッチング工程と 前記第2のエッチング工程の後に、前記ウエーハから前
    記絶縁性エッチストップ材料をエッチングする工程と、 を備える半導体ウエーハを処理する方法。
  5. 【請求項5】 請求項の半導体ウエーハを処理する方
    法において、前記ホトレジストを総て取り除く工程が、
    前記第1のエッチング工程の後で且つ前記絶縁性エッチ
    ストップ材料を選択的に堆積させる工程の前に実行され
    ることを特徴とする半導体ウエーハを処理する方法。
  6. 【請求項6】 請求項1、3及び4のいずれかの半導体
    ウエーハを処理する方法において、前記絶縁性エッチス
    トップ層の選択された厚みが、第1のエッチング工程に
    よって露出された高位置の導電性領域の外側面全体の上
    に実質的に均 一であることを特徴とする半導体ウエーハ
    を処理する方法。
JP09010493A 1992-04-16 1993-04-16 半導体ウエーハを処理する方法 Expired - Fee Related JP3331001B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/870,603 US5298463A (en) 1991-08-30 1992-04-16 Method of processing a semiconductor wafer using a contact etch stop
US870603 1997-06-06

Publications (2)

Publication Number Publication Date
JPH0661193A JPH0661193A (ja) 1994-03-04
JP3331001B2 true JP3331001B2 (ja) 2002-10-07

Family

ID=25355749

Family Applications (1)

Application Number Title Priority Date Filing Date
JP09010493A Expired - Fee Related JP3331001B2 (ja) 1992-04-16 1993-04-16 半導体ウエーハを処理する方法

Country Status (3)

Country Link
US (1) US5298463A (ja)
JP (1) JP3331001B2 (ja)
DE (1) DE4310955C2 (ja)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5880036A (en) * 1992-06-15 1999-03-09 Micron Technology, Inc. Method for enhancing oxide to nitride selectivity through the use of independent heat control
JPH06140396A (ja) * 1992-10-23 1994-05-20 Yamaha Corp 半導体装置とその製法
US5420056A (en) * 1994-01-14 1995-05-30 Texas Instruments Incorporated Junction contact process and structure for semiconductor technologies
US5633196A (en) * 1994-05-31 1997-05-27 Sgs-Thomson Microelectronics, Inc. Method of forming a barrier and landing pad structure in an integrated circuit
US5956615A (en) * 1994-05-31 1999-09-21 Stmicroelectronics, Inc. Method of forming a metal contact to landing pad structure in an integrated circuit
US5702979A (en) * 1994-05-31 1997-12-30 Sgs-Thomson Microelectronics, Inc. Method of forming a landing pad structure in an integrated circuit
US5945738A (en) * 1994-05-31 1999-08-31 Stmicroelectronics, Inc. Dual landing pad structure in an integrated circuit
US5910021A (en) * 1994-07-04 1999-06-08 Yamaha Corporation Manufacture of semiconductor device with fine pattens
US5589423A (en) * 1994-10-03 1996-12-31 Motorola Inc. Process for fabricating a non-silicided region in an integrated circuit
US5773363A (en) 1994-11-08 1998-06-30 Micron Technology, Inc. Semiconductor processing method of making electrical contact to a node
JP4156044B2 (ja) * 1994-12-22 2008-09-24 エスティーマイクロエレクトロニクス,インコーポレイテッド 集積回路におけるランディングパッド構成体の製造方法
US5705427A (en) * 1994-12-22 1998-01-06 Sgs-Thomson Microelectronics, Inc. Method of forming a landing pad structure in an integrated circuit
US5719071A (en) * 1995-12-22 1998-02-17 Sgs-Thomson Microelectronics, Inc. Method of forming a landing pad sturcture in an integrated circuit
JPH09205185A (ja) 1996-01-26 1997-08-05 Mitsubishi Electric Corp 半導体装置および半導体装置の製造方法
DE19655075C2 (de) * 1996-01-26 2003-04-03 Mitsubishi Electric Corp Halbleitereinrichtung mit Kontaktlöchern und Herstellungsverfahren einer Halbleitereinrichtung
DE19629736C2 (de) * 1996-01-26 2000-12-14 Mitsubishi Electric Corp Halbleitereinrichtung mit selbstjustierendem Kontakt und Herstellungsverfahren dafür
US5940713A (en) * 1996-03-01 1999-08-17 Micron Technology, Inc. Method for constructing multiple container capacitor
US5849637A (en) * 1996-06-10 1998-12-15 Wang; Chin-Kun Integration of spin-on gap filling dielectric with W-plug without outgassing
US5916453A (en) * 1996-09-20 1999-06-29 Fujitsu Limited Methods of planarizing structures on wafers and substrates by polishing
US6060385A (en) * 1997-02-14 2000-05-09 Micro Technology, Inc. Method of making an interconnect structure
WO1998042474A1 (fr) 1997-03-21 1998-10-01 Kabushiki Kaisha Yaskawa Denki Procede et materiel de marquage
US6048763A (en) 1997-08-21 2000-04-11 Micron Technology, Inc. Integrated capacitor bottom electrode with etch stop layer
US6010935A (en) * 1997-08-21 2000-01-04 Micron Technology, Inc. Self aligned contacts
JP3102405B2 (ja) * 1998-02-13 2000-10-23 日本電気株式会社 半導体装置の製造方法
US6392271B1 (en) * 1999-06-28 2002-05-21 Intel Corporation Structure and process flow for fabrication of dual gate floating body integrated MOS transistors
DE10127888A1 (de) * 2001-06-08 2002-12-19 Infineon Technologies Ag Verfahren zur Bildung von Kontaktregionen von in einem Substrat integrierten Bauelementen
TWI262561B (en) * 2001-06-12 2006-09-21 Promos Technologies Inc Method of forming ultra-shallow junction devices and its application in a memory device
KR100474579B1 (ko) * 2002-08-09 2005-03-10 삼성전자주식회사 표면 분석 장치에 사용되는 표준 기판 제작 방법
US11158577B2 (en) 2020-01-31 2021-10-26 Micron Technology, Inc. Methods for fabricating microelectronic devices with contacts to conductive staircase steps, and related devices and systems
US11532517B2 (en) 2020-02-04 2022-12-20 Tokyo Electron Limited Localized etch stop layer

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6376453A (ja) * 1986-09-19 1988-04-06 Oki Electric Ind Co Ltd 多層配線の製造方法
JPS6420741A (en) * 1987-07-15 1989-01-24 Nec Corp Radio selective call receiver
JPS6444264A (en) * 1987-08-10 1989-02-16 Nec Corp Soldering device
FR2624304B1 (fr) * 1987-12-04 1990-05-04 Philips Nv Procede pour etablir une structure d'interconnexion electrique sur un dispositif semiconducteur au silicium
JP2666339B2 (ja) * 1988-03-31 1997-10-22 株式会社 村田製作所 非可逆回路素子
JPH01274909A (ja) * 1988-04-25 1989-11-02 Matsushita Electric Works Ltd ハンマードリル
JPH01274452A (ja) * 1988-04-26 1989-11-02 Fujitsu Ltd 半導体装置の製造方法
JP2578193B2 (ja) * 1989-02-01 1997-02-05 沖電気工業株式会社 半導体素子の製造方法
US4933297A (en) * 1989-10-12 1990-06-12 At&T Bell Laboratories Method for etching windows having different depths
JP2968005B2 (ja) * 1989-10-24 1999-10-25 沖電気工業株式会社 半導体装置の製造方法
KR920010129B1 (ko) * 1989-11-30 1992-11-16 현대전자산업 주식회사 콘택홀의 패턴형성방법
US4987099A (en) * 1989-12-29 1991-01-22 North American Philips Corp. Method for selectively filling contacts or vias or various depths with CVD tungsten
JPH03270225A (ja) * 1990-03-20 1991-12-02 Fujitsu Ltd 半導体装置の製造方法
US5118382A (en) * 1990-08-10 1992-06-02 Ibm Corporation Elimination of etch stop undercut
JPH04102331A (ja) * 1990-08-22 1992-04-03 Fujitsu Ltd 半導体装置の製造方法
JPH04109654A (ja) * 1990-08-29 1992-04-10 Nippon Steel Corp 半導体装置及びその製造方法
US5219793A (en) * 1991-06-03 1993-06-15 Motorola Inc. Method for forming pitch independent contacts and a semiconductor device having the same
US5206187A (en) * 1991-08-30 1993-04-27 Micron Technology, Inc. Method of processing semiconductor wafers using a contact etch stop
US5223084A (en) * 1991-11-25 1993-06-29 Hewlett-Packard Company Simultaneous dielectric planarization and contact hole etching

Also Published As

Publication number Publication date
DE4310955C2 (de) 2002-10-17
US5298463A (en) 1994-03-29
DE4310955A1 (de) 1993-10-21
JPH0661193A (ja) 1994-03-04

Similar Documents

Publication Publication Date Title
JP3331001B2 (ja) 半導体ウエーハを処理する方法
US5981380A (en) Method of forming a local interconnect including selectively etched conductive layers and recess formation
US6084287A (en) Crack stops
JP3582841B2 (ja) 半導体ダイの保護壁を形成する方法及び半導体ダイ
JP2000077625A5 (ja)
US4933297A (en) Method for etching windows having different depths
KR100277377B1 (ko) 콘택트홀/스루홀의형성방법
US5932491A (en) Reduction of contact size utilizing formation of spacer material over resist pattern
US6080661A (en) Methods for fabricating gate and diffusion contacts in self-aligned contact processes
US5439847A (en) Integrated circuit fabrication with a raised feature as mask
US6159844A (en) Fabrication of gate and diffusion contacts in self-aligned contact process
JP2001284451A (ja) 二次元波形構造の製造方法
KR100275739B1 (ko) 역방향 자기정합 구조의 트랜지스터 및 그 제조방법
US6156636A (en) Method of manufacturing a semiconductor device having self-aligned contact holes
US6413846B1 (en) Contact each methodology and integration scheme
US6812142B1 (en) Method and interlevel dielectric structure for improved metal step coverage
US5946595A (en) Method of forming a local interconnect between electronic devices on a semiconductor substrate
JPH11121621A (ja) 自己整列コンタクトホール形成方法
JP2000003961A (ja) 集積回路およびその製造方法
US5136361A (en) Stratified interconnect structure for integrated circuits
KR100571407B1 (ko) 반도체 소자의 배선 제조 방법
JPH09129730A (ja) 半導体装置の製造方法
KR0161878B1 (ko) 반도체장치의 콘택홀 형성방법
JPH09260485A (ja) 半導体装置の製造方法
US5703391A (en) Semiconductor device having element isolating insulating film in contact hole

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20020628

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20070719

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080719

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080719

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090719

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090719

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100719

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110719

Year of fee payment: 9

LAPS Cancellation because of no payment of annual fees