JP2553310B2 - 基板上にダイアモンド状カーボン・フィルムを付着する方法 - Google Patents

基板上にダイアモンド状カーボン・フィルムを付着する方法

Info

Publication number
JP2553310B2
JP2553310B2 JP29620693A JP29620693A JP2553310B2 JP 2553310 B2 JP2553310 B2 JP 2553310B2 JP 29620693 A JP29620693 A JP 29620693A JP 29620693 A JP29620693 A JP 29620693A JP 2553310 B2 JP2553310 B2 JP 2553310B2
Authority
JP
Japan
Prior art keywords
diamond
film
carbon film
substrate
carbon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP29620693A
Other languages
English (en)
Other versions
JPH07242493A (ja
Inventor
フレデリック・デニス・ベイリィ
ダグラス・アンドリュー・バッハナン
アレサンドロ・セサレ・カレガリィ
ハワード・マーク・クリアフィールド
ファド・エリアス・ドーニィ
ドニス・ジョージ・フラゲロ
ハロルド・ジョン・ホーベル
ダグラス・チャールス・ラツリーペ・ジュニア
ナフタリ・エリア・ラスチグ
アンドリュー・トーマス・スチュワート・ポメリン
サンパス・プラショタマン
クリストファ・ミカエル・シェーパーリール
ディビッド・マール・シーガー
ジーン・マーガレット・シャウ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH07242493A publication Critical patent/JPH07242493A/ja
Application granted granted Critical
Publication of JP2553310B2 publication Critical patent/JP2553310B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • G02B1/105
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • G02B1/11Anti-reflection coatings
    • G02B1/111Anti-reflection coatings using layers comprising organic materials
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • G02B1/14Protective coatings, e.g. hard coatings
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/127Structure or manufacture of heads, e.g. inductive
    • G11B5/187Structure or manufacture of the surface of the head in physical contact with, or immediately adjacent to the recording medium; Pole pieces; Gap features
    • G11B5/255Structure or manufacture of the surface of the head in physical contact with, or immediately adjacent to the recording medium; Pole pieces; Gap features comprising means for protection against wear
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S427/00Coating processes
    • Y10S427/103Diamond-like carbon coating, i.e. DLC
    • Y10S427/104Utilizing low energy electromagnetic radiation, e.g. microwave, radio wave, IR, UV, visible, actinic laser
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S427/00Coating processes
    • Y10S427/103Diamond-like carbon coating, i.e. DLC
    • Y10S427/106Utilizing plasma, e.g. corona, glow discharge, cold plasma
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/30Self-sustaining carbon mass or layer with impregnant or other layer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Solid-Phase Diffusion Into Metallic Material Surfaces (AREA)
  • Coating Of Shaped Articles Made Of Macromolecular Substances (AREA)
  • Formation Of Insulating Films (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、ハイドロカーボン/ヘ
リウム・プラズマ望ましくはアセチレン/ヘリウム・プ
ラズマからダイヤモンド状のカーボン・フィルムを蒸着
するプラズマ・エンハンスド化学蒸着法(PECVD)
に関する。本発明により形成されるフィルムは、熱的に
安定であり、光学的に透明であり、硬くそしてアモルフ
ァスである。これらの物理的特性を示すこの様なフィル
ムは、遠(deep)紫外線(UV)反射防止フィルム被膜
として非常に有用である。更に、本発明により作られた
フィルムは、例えばレンズのようなプラスチック材料の
ための耐引っかき傷及びUVカット即ち抑制フィルムと
して有用である。更に、アモルファス・フィルムは酸素
反応性イオン・エッチ・プロセスにおいてエッチされる
ので、このフィルムは遠紫外線に対するマスク若しくは
位相シフト・マスクを形成するように容易にエッチされ
る。
【0002】
【従来の技術】水素添加アモルファス・カーボン(a−
C:H)フィルム(又はダイヤモンド状カーボン(DL
C)フィルムとも呼ばれる)は、これの硬さのために被
覆及び半導体装置で使用され非常に注目されている。こ
れらのフィルムはチップ・プロセス技術若しくは不良環
境の熱的及び機械的雰囲気での使用に有用であるので、
高温での熱安定性を増大することが必要である。
【0003】ダイアモンド状のカーボン・フィルムは、
微晶質相を含みうる準安定なアモルファス材料として規
定される。ダイアモンド状カーボン・フィルムは、プラ
ズマを基とする若しくはホット・フィラメント付着を使
用することにより製造されるダイアモンド層からは区別
される。その理由は、ダイアモンド層は数十ミクロンの
寸法の多結晶材料であるからである。ダイアモンド状カ
ーボン・フィルムは、J.Appl.Phys.42,pp.2953(1971)に
示されているように、Aisenberg等により最初に付着さ
れた、この最初のダイアモンド状カーボン・フィルムの
付着に関する研究以来、例えば、dc若しくはrfプラ
ズマ・アシステッド・カーボン蒸着、スパッターリング
及びイオン・ビーム・スパッターリング等の種々な技法
が利用されてきた。更に種々なカーボンを保持するソー
ス材料、即ち固体若しくはガス状の材料が、改善された
ダイアモンド状カーボン・フィルムを形成するための試
みにおいて使用されてきた。しかしながら、これらの技
法は、高い熱安定性、調整可能な光学的透明度及び改善
された硬度を有する高品質のフィルムを生じることは出
来なかった。かくして、ダイアモンド状カーボン・フィ
ルムが上述の特性を有するように、アモルファスのダイ
アモンド状カーボン・フィルムを基板上に付着する改善
された方法を開発する研究が進められてきた。
【0004】
【発明が解決しようとする課題】ダイアモンド状カーボ
ン・フィルムを基板上に付着するための一つの方法が、
米国特許代4、486、286号に示されている。この
特許は、カーボン・イオンの源を与えることにより基板
上にダイアモンド状カーボン・フィルムを付着する方法
に関する。これらのカーボン・イオンは、例えば光学的
レンズのような基板の表面に付着されてフィルムを形成
する。更に、カーボン・イオンは、少なくとも一つの炭
化水素ガス及び基板フィルムから化学スパッタリングに
よりグラファイトを除去する少なくとも他の一つのガス
を使用することによるグロー放電により生ぜられる。こ
の方法は、ダイアモンド状カーボン・フィルムを付着す
るために、C22/CO2/Arガス混合物若しくはこ
れら3つの任意の組み合わせを使用する。C22/Ar
ガスを使用して付着されたフィルムは、400゜Cより
高い温度では熱的に不安定であり、そしてこのフィルム
の用途が制限されることがこの分野で周知である。
【0005】米国特許第4、663、183号は、基板
上に堅い炭質フィルムを形成する方法を示している。こ
のフィルムは、炭素・炭素のシングル・ボンドにより、
最も近い炭素に正四面体的に配置されている炭素原子を
有するガス状の炭化水素を分解することにより形成され
る。このプロセスで使用される適切な炭化水素は、例え
ば2、2ージメチル・プロパンのようなx,x−ジアル
キルである。ガス状の炭化水素は、無線周波数に保たれ
たプラズマ内で分解される。このフィルムは、感光性半
導体上の反射防止被覆として非常に有用である。
【0006】米国特許第4、717、622号は、アモ
ルファスなダイアモンド・フィルムをCH4/Ar混合
物内で付着するrfプラズマ方法に関する。このプロセ
スで使用される付着システムは、プラズマ・インジェク
ション化学蒸着技法である。前述のように、CVDにお
けるガス成分としてArを使用すると、400゜Cを超
える温度で熱的に不安定なフィルムをしばしば生じる。
かくして、この技法により形成されたフィルムの商業的
な用途は非常に制限される。
【0007】米国特許第4、728、529号は、内部
応力が小さいダイアモンド状の透明で且つ無色なカーボ
ン被覆を与える。この被覆は、炭化水素ガス、若しくは
炭化水素ガス及び純粋な水素の混合物からのプラズマ放
電を使用して基板上に形成される。良好なガス混合物
は、C22/Ar/H2である。この特定な方法で生じ
る問題点は、得られるフィルムが高濃度の水素を含むこ
とである。フィルム内の高濃度の水素は、被覆されたフ
ィルムの熱的安定性を減少する。かくして、高濃度の水
素を含むこのフィルムの用途は非常に制限される。
【0008】米国特許第4、915、977号は、基板
にdcプラズマを維持しながら炭素ターゲットから炭素
をdcスパッタすることにより基板上にダイアモンド状
フィルムを付着する方法に関する。dcスパッタリング
は、数百゜Cの温度に於ける不活性ガス(例えばAr及
びNe)、H2及び炭化水素の任意の組み合わせにおい
て行われる。
【0009】米国特許第4、961、958号は、基板
上にダイアモンド・フィルムを付着するプロセス及び装
置に関する。このプロセスは基本的に、基板の温度を2
0゜Cー600゜Cに保ちながら、H2若しくはCH4
2プラズマ雰囲気内で炭素を付着することを含む。こ
の特定な技法を使用することの利点は、源及び基板に関
係なくプラズマの体積反応を制御でき、高い付着率を得
ることが出来、そしてフィルム特性を更に良く制御でき
ることである。
【0010】従来種々な方法が行われてきたが、600
゜Cを超える温度で熱的に安定なダイアモンド状カーボ
ン・フィルムを形成することが依然として望まれてき
た。高い熱的安定性を有するこの様なフィルムは、高い
市場性を有し、そして改善された磨耗特性及び耐腐食性
が要求される磁気ヘッドのような基板に対する被覆を与
える点で非常に有用である。高い熱安定性を示すフィル
ムの他の用途は、電子的チップ、電子的回路ボード及び
半導体装置のための被覆である。更に、上述のように、
2及び/若しくはArをプラズマ・ガスとして使用し
た従来のプロセスにより形成されたフィルムは、400
゜Cを超える温度でしばしば不安定であり、これらの商
業的用途は非常に制限された。
【0011】
【課題を解決するための手段】本発明は、炭化水素/ヘ
リウム・プラズマから基板上にダイアモンド状カーボン
・フィルムをプラズマ・エンハンスド化学蒸着(PEC
VD)する改善された方法に関する。更に、Arではな
くHeを希釈剤として使用するPECVDにより形成さ
れるフィルムは、590℃を越す温度において熱的に安
定である。このフィルムは、遠紫外線において強い吸収
を有するので、これらは、反射防止(antireflective)
被膜として使用されることが出来る。これらの熱的安定
性に基づき、このフィルムは、用途に応じて半導体チッ
プ上に残されることが出来若しくはO2のRIEにより
容易に除去されることが出来る。本発明の従って形成さ
れるダイアモンド状カーボン・フィルムは、プラスチッ
ク・レンズに対する耐引っかき特性被膜及び紫外線カッ
ト被膜として非常に有用である。更に、このフィルムは
酸素RIEによりエッチングされるので、半導体装置の
製造に於けるマスク材料として使用されることが出来
る。
【0012】本発明は、炭化水素/ヘリウム・プラズマ
からの低温プラズマ・エンハンスド化学蒸着(PECV
D)により基板上にダイアモンド状カーボン・フィルム
を付着する改善された方法に関する。更に具体的に述べ
ると、ダイアモンド状カーボン・フィルムは、プラズマ
・ガスとしてヘリウムで過度に希釈されたアセチレンを
使用することにより基板上に付着される、本発明のプロ
セスを使用して形成されたフィルムは、アモルファスで
あり、そしてダイアモンド・フィルムで通常観察される
値に匹敵する絶縁耐力を有する。更に重要なことは、本
発明に従って形成されたフィルムは、熱的に安定性であ
り、遠紫外線を強く吸収し、光学的に透明でありそして
硬く、かくしてこのフィルムは広い用途に使用されるこ
とが出来る。
【0013】本発明のダイアモンド状カーボン・フィル
ムは、紫外線反射防止被膜として有用である。このフィ
ルムの他の用途は、例えばレンズ及びゴーグルのような
プラスチック材料に対する、耐引っかき特性及びUV禁
止被膜として使用されることが出来る。更に、本発明に
より形成されるフィルムは、酸素反応性のイオン・エッ
チング・プロセスのより容易にエッチングされるので、
このフィルムは、半導体装置の遠紫外線若しくは位相シ
フト・マスクを形成するために使用されることが出来
る。本発明により形成されるダイアモンド状カーボン・
フィルムの他の用途は、マイクロ・エレクトロニクス・
パッケージング・パーツのパッシベーション及びシーリ
ングであり、これらが腐食されなくしそして磨耗されに
くくする。
【0014】PECVDにより基板上にダイアモンド状
カーボン・フィルムを付着する本発明の方法は、炭化水
素及びヘリウムのガスを混合し、プラズマ室内に基板を
装着し、プラズマ室内に炭化水素/ヘリウムガスを導入
して基板上にフィルムを付着する工程を含む。更に具体
的に述べるならば、本発明は、ヘリウムで過度に希釈さ
れたアセチレンよりなるガス混合物を使用することによ
りダイアモンド状カーボン・フィルムを付着する方法を
提供する。この方法を使用することにより形成されたダ
イアモンド状カーボン・フィルムは590℃よりも高い
温度で熱的に安定であり、そしてこのフィルムは硬く、
アモルファスであり、そして光学的に透明である。更
に、本発明は、上述の方法により付着されたフィルムを
有する基板に関する。
【0015】
【実施例】本発明は、ヘリウム中に希釈された炭化水素
からの低温のプラズマ・エンハンスド化学蒸着を使用す
ることにより、ダイアモンドに匹敵する絶縁耐力を有す
る非常に高品質のアモルファスのダイアモンド状カーボ
ン・フィルムを形成する改善された方法に関する。本発
明により形成されるフィルムの特性は、例えばAr、H
2等の他のガスで希釈された若しくは希釈されない炭化
水素から付着された、プラズマ・エンハンスド化学蒸着
フィルムの特性よりも格段に優れている。かくして、本
発明のダイアモンド状カーボン・フィルムで被覆された
材料は、多様な用途に使用されることが出来る。
【0016】図1は、本発明のダイアモンド状カーボン
・フィルムを付着するのに使用されるプラズマ・エンハ
ンスド化学蒸着(PECVD)装置8を示す。この装置
8は、反応室10を有し、そしてここにはこの反応室1
0を真空ポンプ(図示せず)から分離するスロットル・
バルブ11が設けられている。陰極19が反応室10に
装着されており、そしてこれは絶縁スペーサ20により
反応室から絶縁されている。陰極19には、ヒータ1
7、排気システム16及びN2ガス18を取り入れるた
めの手段が設けられている。基板15は、陰極19の内
側端部に固定されている。陰極19は、調整されうるr
f(radio frequency)源14に接続されており、そし
て陰極19及びrf源14の間のインピーダンス・マッ
チングは、整合ボックス13を利用することにより行わ
れる。
【0017】反応室10は、この中へ種々な材料を導入
するための導管21及び22を有する。例えば、予め混
合された炭化水素/ヘリウム・ガス混合物が導管21を
介して反応室10内に導入され、一方、基板を清浄する
ためのArガスが導管22を介して導入される。
【0018】本発明において使用される炭化水素ガス
は、最初ガス状にされそして本発明のプロセスにより使
用される反応条件でプラズマを形成出来る任意の炭化水
素化合物である。語”炭化水素”は、炭素原子及び水素
元素のみを含む化合物を形成する分子を意味する。本発
明に実施例によると、飽和された若しくは飽和されない
炭化水素化合物が本発明のプロセスにより使用されるこ
とが出来る。定義すると、飽和された炭化水素化合物
は、これの分子が炭素の単結合(シングル・ボンド)の
みを含む化合物であり、一方飽和されない炭化水素化合
物は、これの分子が炭素の2重若しくは3重結合を含む
化合物である。本発明にのプロセスにより使用される適
切な炭化水素化合物は、アルカン(メタン列炭化水
素)、アルケン(エチレン列炭化水素)及びアルキン
(アセチレン列炭化水素)である。
【0019】本明細書中において、アルカンは、炭素原
子間の結合が全て単結合である分子を含む化合物として
規定される。本発明により使用されうる適切なアルカン
は、例えばメタン、エタン、プロパン、ブタン等の化合
物を含む。勿論、アルカン、メタンが最も特に望まし
い。
【0020】本明細書においてアルケンは、分子内に炭
素二重結合を含む化合物として規定される。本発明のプ
ロセスにおいて使用されるアルケンは、例えばエテン、
プロペン、n−ブテン等のような化合物を含む。
【0021】本明細書において、アルキンは分子内に炭
素三重結合を含む炭化水素として規定される。本発明に
より使用される適切なアルキンはアセチレンであり、ア
セチレンが特に望ましい。
【0022】上記のうちダイアモンド状カーボン・フィ
ルムを形成するのに使用される良好な炭化水素ガスはア
セチレンである。更に、例えばアセチレン/メタンのよ
うな炭化水素ガスの混合物が本発明の炭化水素ガスとし
て使用されることが出来る。
【0023】高い熱的安定性を有するフィルムを得るた
めに、本発明で利用される炭化水素ガスは、ヘリウムで
過度に希釈される。本明細書で使用する語”過度に希釈
された”は、ガス混合物内のヘリウムの最終濃度が、こ
のガス混合物の約99%乃至約50%を占めるような炭
化水素とヘリウムの混合物として規定される。更に望ま
しくは、混合物内の炭化水素の最終濃度が約2%乃至約
10%であるように炭化水素がヘリウムで希釈される。
更に望ましくは、炭化水素が全体のガス混合物の約2%
を占める。
【0024】本発明により使用されるガスは、約95.
5%よりも大きい純度を有する。良好な実施例では、ガ
スは、約98.5%乃至約99.9%のレンジの純度を
有する。更に、ガスは、99.99%よりも高い純度を
有することが望ましい。
【0025】高い純度のガスは、反応室に導入される前
に同じガス・シリンダ内で前もって混合される。ガス混
合物は、約1mトル(1mTorr)乃至約600mト
ル(600mTorr)の炭化水素及びヘリウムのトー
タル圧力を与えるに充分な流量で流量制御器を介して反
応室内に最初に導入される。最も効果的なダイアモンド
状カーボン・フィルムを形成するには、炭化水素・ヘリ
ウムの混合物の圧力は約20ー200mトル(mTor
r)であるのが望ましい。上述の条件は、各ガスをこれ
ら各ガスの圧力を制御する別個の流量制御器を介して導
入することにより達成されても良い。
【0026】本発明のダイアモンド状カーボン・フィル
ムにより被覆されうる適切な材料は、例えば、プラスチ
ック、金属、種々な型のガラス、磁気記録ヘッド、電子
的チップ、電子的回路ボード、半導体装置等の材料を含
む。被覆される基板の形状及び寸法は、これがPECV
D装置の反応室内に入れられるならばどのようなもので
も良い。かくして、任意の寸法の規則的な若しくは不規
則な形状の物体が本発明により被覆されうる。
【0027】基板は、PECVD装置の反応室の内側の
rf陰極上にマウントされる。次いで、反応室は、密に
シールされ、そして約1x10ー4乃至約1x10-7トル
のレンジの圧力が得られるまで排気される。
【0028】上述の所望の圧力レンジまで反応室を排気
した後に、基板は約25乃至400℃の温度まで加熱さ
れる。本発明の良好な実施例においては、基板は、約2
00乃至300℃の温度まで加熱される。ガス混合物を
反応室内に導入する前に、基板が約270℃の温度に加
熱されるのが最も望ましい。この基板温度は、全付着工
程の亘り一定に保たれる。
【0029】使用する基板の型に依存して、この材料
は、ダイアモンド状カーボン・フィルムの付着前にこの
場所でプラズマ・クリーニングを受けることが出来る。
本発明により使用される適切なクリーニング技法は、H
2,Ar,O2及びN2プラズマ・スパッタ・エッチング
技法である。
【0030】所望の温度まで上げてそして維持した後
に、混合ガスが約10乃至100sccmの流量で反応
室内に導入される。更に述べると、反応ガスの流量は、
約30乃至約80sccmであるのが望ましい。更に述
べると、炭化水素及びヘリウムの混合物の流量は、約5
0sccmであるのが望ましい。この混合物は、約1乃
至1000mトル(mTorr)の圧力で反応室内に導
入される。本発明の他の態様によると、混合物は、約2
0mトルの圧力で導入される。
【0031】ガス混合物のプラズマを得るために、陰極
バイアスは、付着プロセス全体に亘り、約ー90乃至約
ー300ボルトの間の或る電圧値に固定された。最も望
ましくは、陰極バイアスは、実験的な全付着プロセスに
亘り、ー100ボルトに維持された。この電圧は、rf
チョーク絶縁されたdc電源を使用することによりrf
陰極に供給された。付着プロセスの間の基板材料への損
傷を最小にするために、低いrfパワー密度が利用され
ねばならない。代表的にはこのためには、約3乃至約1
0mw/cm2のrfパワー密度を印加した。更に述べ
ると、本発明により使用されるrfパワー密度は、付着
プロセス全体に亘り6mw/cm2に保たれることが最
も望ましい。
【0032】ダイアモンド状カーボン・フィルムは、基
板上にこのフィルムのほぼ一様な被膜が得られるような
割合で付着される。更に具体的に述べると、上述の動作
パラメータを使用することにより、約5乃至50Å/分
の割合でダイアモンド状カーボン・フィルムが基板上に
付着される。基板上にダイアモンド状カーボン・フィル
ムを付着する最も望ましい割合は、約20Å/分であ
る。
【0033】本発明に従うと、基板上に付着されるダイ
アモンド状カーボン・フィルムは、約100乃至10、
000Åである。更に述べると、ダイアモンド状カーボ
ン・フィルムの厚さは、約400乃至約2、000Åで
あるのが望ましい。結果的に形成されるフィルムの厚さ
を変えることにより、フィルムの光学的濃度を変更する
ことが出来ることに注目されたい。かくして、フィルム
の厚さを単に増大若しくは減少することにより、或る規
定された光学的濃度の基板を容易に形成することが出来
る。本発明により形成されたダイアモンド状カーボン・
フィルムの良好な光学的濃度は、248nmの波長にお
いて約1乃至3のレンジであり、これは約1、000乃
至3、000Åのフィルム厚さに該当する。ダイアモン
ド状カーボン・フィルムを基板上に付着した後に、この
付着された基板は、これの用途に応じてアニールされ若
しくはアニールされない。代表的にはアニーリングは、
約3乃至5時間の間590℃で、Ar/H2の雰囲気内
で基板を加熱することを含む。
【0034】本発明に従って付着されたダイアモンド状
カーボン・フィルムは、アモルファスであること、硬い
こと、熱的に安定であることそして光学的に透明である
という特徴を備える。更に、炭化水素/ヘリウム・ガス
からPECVDにより付着されたダイアモンド状カーボ
ン・フィルムは、ダイアモンド・フィルムが通常有する
値に匹敵する絶縁耐力を有する。本発明に従う炭化水素
/ヘリウム・ガスから付着されたダイアモンド状カーボ
ン・フィルムは、10MV/cmに近い絶縁耐力を有す
る。
【0035】本発明のダイアモンド状カーボン・フィル
ムは又、非常に硬い保護被膜を有する基板を与える。本
発明により付着されたフィルムは、約22.8GPa乃
至約38.4GPaの硬さに対応する約213GPa乃
至約278GPaのヤング率を有する。フィルムの硬さ
は、Nano Instruments社のナノインデンターを使用して
測定された。
【0036】前述のように、基板上に形成された本発明
のダイアモンド状カーボン・フィルムは、光学的に透明
である。このフィルムは、約450nm乃至約750n
m、好ましくは約550nm乃至約750nmの波長に
おいて光学的に透明である。光学的に透明なフィルム
は、プラスチック・レンズ若しくはゴーグルのための被
覆としての使用に適する。
【0037】上述の特性の中で特に重要なのは、本発明
により付着されたダイアモンド状カーボン・フィルム
は、590℃を超える温度で熱的に安定であることであ
る。本発明のダイアモンド状カーボン・フィルムのこの
高い熱安定性は、希釈されない炭化水素若しくは炭化水
素/Arガス混合物を使用するPECVDに対して従来
報告された値を超えている。任意のメカニズムにより結
びつけられることの望まないならば、炭化水素/ヘリウ
ム・ガス混合物を使用して得られたフィルムのこの改善
された熱安定性は、ヘリウムが、結果的なフィルム内の
炭素原子のSP3配位を安定する上で重要な役割をはた
すであろうということを示す。かくしてこの場合の熱安
定性は、プラズマ・エキサイテッド・ヘリウムの特性に
基ずくものと考えられる。Heエキサイテッド・プラズ
マにおいては、エネルギッシュな電子は、Heのイオン
化電位(24.46eV)よりもたった約2eVだけ低
い約22eVで高エネルギーの準安定状態により吸収さ
れる。これは、イオン化が低エネルギーでの準安定状態
から生じるのでプラズマを維持しやすくする。
【0038】これはプラズマの全体的な電子温度を低下
し、この結果多数のガス相の核発生を生じるのに低いエ
ネルギーで良くなる。これと対照的に、Arは、約12
eVで(イオン化電位15.68eV)で低いエネルギ
ー準安定を有し、フィルムから高いエネルギー電子を充
分に除去することが出来ない。かくして、炭化水素/A
rプラズマから形成されたフィルムは、約400℃を超
える温度で熱的に不安定である。
【0039】更に、本発明のダイアモンド状カーボン・
フィルムは上述のヤング率及び硬さを有して非常に硬い
ので、このフィルムがプラスチック表面に付けられた場
合には、引っかきに強くそして紫外線から保護する。引
っかきに対して非常に強くそして紫外線を通過させない
被膜は、プラスチック・レンズ、ゴーグル、車の窓等に
対する補膜として非常に重要な用途を有する。
【0040】図2は、アセチレン/ヘリウム・プラズマ
からPECVDにより形成された本発明のアモルファス
なダイアモンド状カーボン・フィルムで被覆されたプラ
スチック・レンズの断面を示す。このプラスチック・レ
ンズは、柔らかでそして引っかき傷が付きやすいポリメ
チルメタクリレート(PMMA)材料1で作られてい
る。本発明のアモルファスダイアモンド状カーボン・フ
ィルム2がこのプラスチック・レンズの表面に付着され
ると、引っかきに対して耐える改善された被覆が得られ
る。更に、本発明のフィルムで被覆されたプラスチック
・レンズは、従来のフィルムに勝る紫外線からの保護を
与える。
【0041】本発明の他の態様に従うと、ダイアモンド
状カーボン・フィルムは、メモリ・チップ若しくは他の
装置の製造に必要とされる遠紫外線反射防止被膜(deep
UVantireflevtive coating,ARC)として働く。こ
の被膜は、メモリ・チップ上に回路を形成するために単
一層の遠紫外線レジストを露光しそしてパターン化する
際の反射効果を著しく減少する。通常、ARCはポリマ
をウエハ上でスピンして形成されるが、これはプロセス
制御を困難にする。その理由は、ARCがフォトレジス
ト(PR)と反応してARC/PRの界面の品質を低下
するからである。更に、例えば0.5ミクロンメータ
(幅)x1ミクロンメータ(深さ)の溝のような細かな
リソグラフィック構造を被覆するには、ARC上でのス
ピンは不適切である。本発明により形成されたフィルム
は、従来のフォトレジストに匹敵する割合で酸素プラズ
マ内でエッチされる。かくして、メモリ・チップ上に被
覆されたフィルムは、通常のフォトレジスト残留物除去
のために使用されるO2プラズマ処理により、しかもこ
のフォトレジスト・プロファイルを損傷することなく容
易に除去される。更に、このフィルムの屈折率は、有機
フォトレジストの屈折率と同様であるので、かくしてA
RC/フォトレジスト界面に於ける反射は、高い屈折率
の無機ARCとは反対に最小にされる。
【0042】更に、本発明に従うダイアモンド状カーボ
ン・フィルムは、遠紫外線フォトマスクに対する理想的
な吸収体として使用されることが出来る。この様なフォ
トマスクは、従来一般的に使用されてきたクロム・マス
クの代替品として使用されることが出来る。このプロセ
スは、雰囲気的に危険なクロム付着、湿潤及びRIEプ
ロセスを排除することが出来る。更に、本発明のフィル
ムを含む炭素マスクは、ダイアモンドの高熱伝導性及び
硬さを与える。かくして、これらのフィルムは、ダイレ
クト・パターンド・マスク材料として使用されることが
出来、そしてレチクル発生装置において193ナノメー
タのレーザを使用して除去されることが出来る。アセチ
レン/ヘリウム・プラズマから形成されたフィルムを有
する炭素フォトマスク吸収体は、酸素プラズマRIE内
に於けるフォトレジストと同じ割合でエッチされるの
で、かくして有害な浪費物を生じるクロムのウエット・
エッチ及びRIEプロセスを使用しなくてすみ、そして
マスクのパターン化が簡単で且つ雰囲気的に許容されう
るプロセスを実現する。
【0043】本発明の炭素フィルムは、反射防止性のク
ロムの反射率が27%であるのに対して、248ナノメ
ータにおいて13.5%の反射率を有する。この約2倍
の差は、レチクル/マスクから望ましくないフレアの反
射を減少するように働く。
【0044】フォトマスク吸収体としての炭素フィルム
は、約2.3の光学的濃度に対応する約2000Åの厚
さに付着されうる。このフィルムは減衰された位相マス
クとして使用されることが出来る。この用途において、
1200Åのフィルムの厚さは、π位相変更のために必
要である。
【0045】以下に本発明の例を示す。
【0046】例 I 以下に示す例は、炭化水素/ヘリウム・ガス混合物から
プラズマ・エンハンスド化学蒸着により基板上にダイア
モンド状カーボン・フィルムを付着するプロセスを示
す。
【0047】以下に述べる標準的なクリーニング・プロ
セスを使用することにより予め清浄されたn-,n+、p
-およびp+Si基板上にダイアモンド状カーボン・フィ
ルムを付着するために行われた。最初基板は、(1:
1:5)の水酸化アンモニウム(NH4OH)、過酸化
水素(H22)、蒸留水中に約70℃で5分間漬けら
れ、そして蒸留水中で、上記の水酸化アンモニウム及び
過酸化水素の成分がほぼなくなるまで(例えばリンス用
の蒸留水に電流を流したときの抵抗値が18メグオーム
になるまで)リンスされた。次いで、基板は(1:1:
5)の塩化水素(HCl),過酸化水素(H22)、蒸
留水中に約70℃で5分間漬けられ、そして上記の塩化
水素及び過酸化水素の成分がほぼなくなって、リンス用
の蒸留水の抵抗値が18メグオームになるまでリンスさ
れた。次いで、基板は室温で約10分間蒸留水中の10
%HF中で若しくは9:1のBHF中で(基板が疎水性
になるまで)エッチされ、そしてリンス用の蒸留水に漬
けられ、上記のHF若しくはBHFの成分がほぼなくな
って蒸留水の抵抗値が18メグオームになるまでリンス
された。最後に、基板はフィルタされた窒素ガス中で乾
燥された。
【0048】次いで、Si基板は、図1のPECVD装
置のrf陰極に装着された。この後、システムは、約1
x10-6トルまで排気された。次いで、基板は約250
℃の温度まで加熱された。所望の基板温度になると、ア
モルファスなダイアモンド状カーボン・フィルムが約2
0mトルの圧力のアセチレン/ヘリウムから付着され
た。このプロセスで使用したガスは、約99.99%よ
りも高い純度を有し、そして混合ガスはアセチレン98
%及びヘリウム2%を含む。基板に対するプラズマの損
傷を最小にするために、約6mW/cm2のrfパワー
密度がこの例では利用された。混合ガスは、約50sc
cmの流量で反応室内に導入された。rfプラズマが開
始され、ー100ボルトの負の陰極自己バイアスが全プ
ロセスに亘り維持された。約18Å/分の割合でダイア
モンド状カーボン・フィルムが付着された。約900Å
のフィルム厚さが得られた後にこのプロセスが停止され
た。
【0049】比較例 I 次の比較例は、改善された熱安定性を有するダイアモン
ド状カーボン・フィルムを形成するために、アセチレン
/ヘリウム・ガス混合物を利用することの重要性を示
す。この例のダイアモンド状カーボン・フィルムは、混
合物がアセチレン/Ar(2%のAr)混合物を含むこ
とを除き例1で述べた例に従って付着された。フィルム
の硬さは少なくとも1、2若しくは3水素原子を含むs
3結合の形成に依存するので、水素の含有量は、フィ
ルムの特性を決めるためのキーとなるパラメータであ
る。PECVDによるアモルファス・フィルムの程度の
低い熱安定性は、熱ストレスの後にHが失われて脱水素
sp2ボンド(グラファイト)が生じることによる。か
くして、順方向の反跳検出(forward recoil detection
(FRD))により熱ストレス前及び熱ストレス後のArー
及びHe−PECVDプロセスに対するHの含有量が測
定された。この技法は、フィルム内に含まれるH原子の
数を直接的に測定する。
【0050】図3は、アニーリング前のHe−及びAr
−PECVDフィルムのFRDスペクトルを示す。Hの
含有量はそれぞれ26雰囲気%及び22雰囲気%であ
る。これらの数は、既知の較正された基準値に比較する
ことにより測定された。図4は、約590℃で3時間の
間Ar/H2雰囲気内でアニーリングした後の同じスペ
クトルを示す。Hの含有量は、それぞれ17及び15雰
囲気%に減少された。Ar−PECVDフィルムは曇り
がかかりそして柔らかかった。地の荒いフィルムは、ア
ニールされたサンプルのスペクトルにおいてピークが非
対称である(即ち後ろの部分)。He−PECVDサン
プルも又Hを失ったが、しかしこのフィルムは依然とし
て硬かった(即ちこのフィルムは剃刀の刃によっても傷
が付かなかった)。
【0051】Arー及びHeーPECVDフィルムの両
方共ほぼ同じ量のHを失うので、安定性は、Hの含有量
にのみ依存するとは言えない。Ar−PECVDフィル
ムの対してはHは未結合の形となる。590℃でアニー
ルしたAr−PECVDフィルムは、赤外線分光による
とsp2配位だけを示した。C22/He混合ガス内で
形成されたフィルムの熱的安定性が優れていることは、
Heがsp3配位を安定させる主な役割をすることを示
す。かくして、上述のように、熱的安定性は、プラズマ
で励起されるHeの特性によるものであると言える。
【0052】又、ラザフォードの逆散乱は、Ar(5雰
囲気%)がフィルム内に導入されそしてこれが高温での
不安定性に寄与することを示す。
【0053】総括的に述べると、PECVDアモルファ
ス・カーボン・フィルムの熱的安定性が、ArでなくH
e内で炭化水素ガスを希釈することにより非常に改善さ
れたことが示された。C22/HeのPECVDにより
付着されたアモルファス・カーボン・フィルムは590
℃に於けるアニーリング後もその硬さを維持した。この
安定性は、Hの含有量ではなく、sp3正四面体配位を
安定化することを助ける、より良いフィルムの核発生に
関連するものと考えられる。
【0054】例 II 次の例は、アセチレン/ヘリウムガス混合物からPEC
VDにより形成されたダイアモンド状カーボン・フィル
ムの電気的特性を示す。この例で使用される2つの材料
は、Al/アモルファス・カーボン/Cr及びAl/ア
モルファス・カーボン/Siを含む。アモルファスのダ
イアモンド状カーボン・フィルムは、例Iの工程に従っ
てCr及びSi基板上に付着された。これら被覆された
基板の電気的特性は、金属マスクを介して面積が5.5
x10-4cm-2のAlドットを電子ビーム蒸着すること
により調べられた。Al/アモルファス・カーボン/C
rを1MHzで容量ー電圧(C−V)測定したところ、
アモルファス・カーボンに対する誘電率は、ε=6.0
±0.1であった。この値は、ダイアモンドの値ε=
5.7にほぼ匹敵する(1981年にWiley社から発行
されたPhysics of Semiconductor DevicesのAppendix G
の849頁を参照されたい)。
【0055】4種類のSiドーピング濃度に対する被覆
されたAl/Si構造のI−V特性が図5の(a)及び
(b)に示され、ここで図5の(a)は、n-及びp-
のSiヘテロ接合を示し、そして図5の(b)は、n+
及びp+型のSiヘテロ接合を示す。シリコンのドーピ
ングは、n-及びp-に対しては1015cmー3であり、そ
してn+及びp+に対しては1019cm-3であった。I−
V特性は、Si基板のドーピングに大きく依存し、従っ
てこれらはアモルファス・カーボン/Siヘテロ接合に
影響しそして金属/カーボンの界面には影響しないこと
に注目されたい。図5の(b)は、高いSiドーピング
に於けるI−V曲線を示す。この場合、フェルミ・レベ
ルは、バンド・エッジに接近し、そしてヘテロ接合に於
ける条件はトンネリングにより改善される。これは、ア
モルファス・カーボン/Si界面のコンタクトの比抵抗
を下げる。かくして、アモルファス・カーボン/Si
(n+)へテロ構造に関連する直列抵抗を測定すること
により、フィルムの抵抗を大まかに推測することが出来
る。これによると、ρ=105Ω.cmであり、この値
は、真性Siの抵抗ρ=2.3X105Ω.cmに近い
値である。
【0056】図5の(a)は、n-及びp-型Siに対す
るアモルファスなダイアモンド状カーボン・フィルムの
バリアの高さが異なることを示す。p-型材料は、n-
よりも高いバリア高さ0.2eVを示す。この差は、電
流密度をJとして、J∝exp(ーΦb/kT)x{e
xp(gV/kT)−1}と仮定して、n及びp型材料
に対する逆バイアス飽和電流を比較することにより測定
されることが出来る。ここでΦbは接合に於けるバリア
であり、kはボルツマン定数であり、Tは温度であり、
qは電荷であり、そしてVは印加電圧である。n-及び
-型Siサンプルのドーピング・レベルはほぼ同じな
ので、バンド・エッジ・フェルミ・レベル・エネルギー
差も又ほぼ同じである。又、吸収測定から得た光学的バ
ンド・ギャップはアモルファス・カーボン・フィルムに
対して1.1eVであり、そしてこれは、(室温に於け
る)Siのバンド・ギャップ1.12eVにほぼ匹敵す
る。従って、0.2eVの差は、アモルファス・カーボ
ン・フィルム及びSiのエネルギー・バンド・エッジの
間のオフセットに寄与し、そして特に、アモルファス・
カーボン・フィルムの電子親和力は、10数分の1eV
だけSiのよりも小さい。このことは、n-型と比較し
た場合、p-型では高いバリアが観察されることを示
す。
【0057】図6の(a)及び(b)は、非常に薄い3
2ナノメータのアモルファスなダイアモンド状カーボン
・フィルムを有するヘテロ接合に対するI−V特性を示
す。シリコンはp-型である。逆バイアスのもとでは、
ブレーク・ダウンは60V若しくは19MV/cmで生
じる。ブレーク・ダウン後、逆方向の漏洩電流は、約2
桁の大きさだけ増大する。同じ効果が、100ナノメー
タの厚さのヘテロ接合で観察された。このことは、ブレ
ーク・ダウンは、カーボン・フィルム内ではなく接合に
おいて生じることを示す。その理由は、フィルムの厚さ
に対する依存性が観察されなかったからである。かくし
て、非常に高い接合ブレーク・ダウンだけが逆バイアス
のもとで得られた。このことは、アモルファス・カーボ
ン・フィルムのブレーク・ダウンが、約10MV/cm
であるダイアモンドのブレーク・ダウンに非常に近いこ
とを示す。
【0058】例 III 次の例は、アセチレン/ヘリウム・プラズマからPEC
VDにより形成されるダイアモンド状カーボン・フィル
ムの重要な用途を示す。更に具体的に述べると、この例
は、本発明のダイアモンド状カーボン・フィルムがPM
MAプラスチック・レンズのための保護被膜として非常
に有用に使用されうることを示す。PMMAで形成され
たレンズは通常柔らかくそして簡単に引っかき傷が付
き、かくしてこのレンズのための保護被膜が非常に望ま
れている。紫外線を遮断ししかも引っかき傷が付きにく
い被膜が従来入手可能であったが、これらの被膜は充分
な硬さを持たず、そして太陽の輝きを充分に遮断しなか
った。
【0059】プラスチック・レンズは最初イソプロピル
・アルコール溶液で清浄され、そして反応室内に入れら
れた。この後、レンズは、600ボルト、5mトルで5
分間Ar内でスパッタ・クリーニングされた。このスパ
ッタ・クリーニング・プロセスは、レンズ上にダイアモ
ンド状カーボン・フィルムを良好に付着させるために行
われた。PECVD炭素・フィルムは、例Iで説明した
手順に従って付着されたが、基板温度は、レンズ特性が
高温により悪化するのを防止するために25℃に保たれ
た。
【0060】この特定な実験において、90ナノメータ
の厚さを有するフィルムが付着された。生じたフィルム
は色が琥珀色であり、そして紫外線からの保護特性を有
しそして太陽の輝きを遮断した。更に、このフィルムを
付着したれんんずは、引っかき傷に充分に耐えた。この
ことはレンズをガラス・ウールでこすることにより確認
した。図7の(a)及び(b)は、室温で石英上に付着
された2つの炭素被覆の波長の関数としての光学的濃度
を示す。両フィルムは硬く、琥珀色を有し、そして電磁
スペクトルの可視領域で透明であった。厚い被覆は、光
学濃度>2を有して高い紫外線保護特性を与えた。
【0061】例 IV 次に述べる例は、メモリ・チップの製造のための反射防
止膜(ARC)としてアモルファスなダイアモンド状カ
ーボン・フィルムを使用することを示す。この例では、
ダイアモンド状カーボン・フィルムは、例Iで説明した
プロセスに従ってメモリ・チップ上に付着された。反射
防止膜(ARC)を有しないメモリ・チップ及びスピン
・コーティングしたARCを有するメモリ・チップが比
較のために用意された。図8の(a)、(b)及び
(c)は、ARCを有しない場合(a)、スピン・コー
ティングされたARCを有する場合及びダイアモンド状
カーボン・フィルムの場合をそれぞれ示す。明らかなよ
うに、本発明に従って基板上にアモルファスなダイアモ
ンド状カーボン・フィルムを付着することにより、単一
層レジストのパターニングに改善が生じた。言い換える
と、本発明のフィルムを使用することによりフォトレジ
スト・プロファイルは殆ど損傷を受けなかった。これは
図8の(c)において観察することが出来る。図8の
(c)では、垂直線により表されるフォトレジストのエ
ッジ・プロファイルは、図8の(a)及び(b)のフォ
トレジストのエッジ・プロファイルよりもはるかに鮮明
に限定されている。図8の(c)のフォトレジストのエ
ッジ・プロファイルの線幅は、0.35ミクロンメータ
である。
【0062】
【発明の効果】本発明のプロセスを使用して形成された
フィルムは、アモルファスであり、そしてダイアモンド
・フィルムで通常観察される値に匹敵する絶縁耐力を有
する。更に重要なことは、本発明に従って形成されたフ
ィルムは、熱的に安定性であり、遠紫外線を強く吸収
し、光学的に透明でありそして硬く、かくしてこのフィ
ルムは広い用途に使用されることが出来る。本発明のダ
イアモンド状カーボン・フィルムは、紫外線反射防止被
膜として有用である。このフィルムの他の用途は、例え
ばレンズ及びゴーグルのようなプラスチック材料に対す
る、耐引っかき特性及びUV禁止被膜として使用される
ことが出来る。更に、本発明により形成されるフィルム
は、酸素反応性のイオン・エッチング・プロセスのより
容易にエッチングされるので、このフィルムは、半導体
装置の遠紫外線若しくは位相シフト・マスクを形成する
ために使用されることが出来る。本発明により形成され
るダイアモンド状カーボン・フィルムの他の用途は、マ
イクロ・エレクトロニクス・パッケージング・パーツの
パッシベーション及びシーリングであり、これらが腐食
されなくしそして磨耗されにくくする。
【図面の簡単な説明】
【図1】本発明のアモルファスなダイアモンド状カーボ
ン・フィルムを付着するために使用されるプラズマ・エ
ンハンスド・化学蒸着装置の概略図である。
【図2】本発明のアモルファスなダイアモンド状カーボ
ン・フィルムで被覆されたプラスチック・レンズの断面
図である。
【図3】アニーリング前のHeー及びArーPECVD
カーボン・フィルムの順方向反跳検出 (FRD)スペクトルを示す図である。
【図4】アニーリング後のHeー及びArーPECVD
カーボン・フィルムの順方向反跳検出 (FRD)スペクトルを示す図である。
【図5】アモルファス・カーボン・フィルム/Siヘテ
ロ接合のI−V特性を示し、ここで(a)はn-及びp-
型Siヘテロ接合を示し、そして(b)はn+及びp+
Siヘテロ接合を示す図である。
【図6】薄い32ナノメータのアモルファス・カーボン
/Si(p-)ヘテロ接合のI−V特性を示す図であ
る。
【図7】アセチレン/ヘリウム・プラズマからPECV
Dによりダイアモンド状カーボン・フィルムを被覆され
た2つの石英サンプルの光学的濃度対波長の2つのグラ
フを示す図である。
【図8】ARCなしの場合(a)、ポリマーARCを有
する場合(b)、及びアモルファスなダイアモンド状カ
ーボン・フィルムARCの場合(c)のそれぞれについ
てのパターニングの差を示す顕微鏡写真である。
【符号の説明】
8・・・PECVD装置 10・・・反応室 11・・・スロットル・バルブ 13・・・整合ボックス 14・・・RF源 15・・・基板 16・・・排気システム 17・・・ヒータ 21、22・・・導管
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ダグラス・アンドリュー・バッハナン アメリカ合衆国ニューヨーク州、コート ラント・マナー、ビーチウッド・レイク 6番地 (72)発明者 アレサンドロ・セサレ・カレガリィ アメリカ合衆国ニューヨーク州、ヨーク タウン・ハイツ、ハノーバー・ストリー ト 51番地 (72)発明者 ハワード・マーク・クリアフィールド アメリカ合衆国ニューヨーク州、ヨーク タウン・ハイツ、ツリートップ・レーン 25−3番地 (72)発明者 ファド・エリアス・ドーニィ アメリカ合衆国ニューヨーク州、カタ ナ、セーダー・ロード 125番地 (72)発明者 ドニス・ジョージ・フラゲロ アメリカ合衆国コネチカット州、リッジ フィールド、タッコラ・トライアル 51 番地 (72)発明者 ハロルド・ジョン・ホーベル アメリカ合衆国ニューヨーク州、カタ ナ、ダイアン・コート 無番地 (72)発明者 ダグラス・チャールス・ラツリーペ・ジ ュニア アメリカ合衆国コネチカット州、ダンバ リィ、ビーチ・トライアル 無番地 (72)発明者 ナフタリ・エリア・ラスチグ アメリカ合衆国ニューヨーク州、クロー トン・オン・ハドソン、ハッチング・ア ベニュー 53番地 (72)発明者 アンドリュー・トーマス・スチュワー ト・ポメリン アメリカ合衆国コネチカット州、ニュ ー・フェアフィールド・ウィップスティ ック・ロード 11番地 (72)発明者 サンパス・プラショタマン アメリカ合衆国ニューヨーク州、ヨーク タウン・ハイツ、ラボイ・コート 2075 番地 (72)発明者 クリストファ・ミカエル・シェーパーリ ール アメリカ合衆国ニューヨーク州、ポーク ォグ、グリフェン・ストリート 12番地 (72)発明者 ディビッド・マール・シーガー アメリカ合衆国ニューヨーク州、コンガ ーズ、レジン・コート 7番地 (72)発明者 ジーン・マーガレット・シャウ アメリカ合衆国コネチカット州、リッジ フィールド、ウィルトン・ロード・ウエ スト 336番地

Claims (9)

    (57)【特許請求の範囲】
  1. 【請求項1】プラズマ・エンハンスド化学蒸着により基
    板上にダイアモンド状カーボン・フィルムを付着する方
    法において、 炭化水素及びヘリウムのガスを混合し、 上記基板をプラズマ室に装着し、 上記ガスを上記プラズマ室内に導入して、上記基板上に
    ダイアモンド状カーボン・フィルムを付着することを含
    む上記基板上にダイアモンド状カーボン・フィルムを付
    着する方法。
  2. 【請求項2】上記炭化水素は不飽和化合物又は飽和化合
    物であることを特徴とする請求項1の基板上にダイアモ
    ンド状カーボン・フィルムを付着する方法。
  3. 【請求項3】上記飽和炭化水素はアルカン化合物である
    ことを特徴とする請求項2の基板上にダイアモンド状カ
    ーボン・フィルムを付着する方法。
  4. 【請求項4】上記アルカン化合物は、メタン、エタン、
    プロパン及びブタンからなる群から選ばれた1つである
    ことを特徴とする請求項3の基板上にダイアモンド状カ
    ーボン・フィルムを付着する方法。
  5. 【請求項5】上記不飽和炭化水素は、アルケン化合物又
    はアルキン化合物であることを特徴とする請求項2の基
    板上にダイアモンド状カーボン・フィルムを付着する方
    法。
  6. 【請求項6】上記アルケン化合物は、エテン、プロペン
    及びn−ブテンからなる群から選ばれた1つであること
    を特徴とする請求項5の基板上にダイアモンド状カーボ
    ン・フィルムを付着する方法。
  7. 【請求項7】上記アルキン化合物は、アセチレンである
    ことを特徴とする請求項5の基板上にダイアモンド状カ
    ーボン・フィルムを付着する方法。
  8. 【請求項8】上記混合物はヘリウムで希釈された炭化水
    素であることを特徴とする請求項1の基板上にダイアモ
    ンド状カーボン・フィルムを付着する方法。
  9. 【請求項9】上記炭化水素は50%乃至1%でありそし
    て上記ヘリウムは50%乃至99%であることを特徴と
    する請求項8の基板上にダイアモンド状カーボン・フィ
    ルムを付着する方法。
JP29620693A 1993-01-07 1993-11-26 基板上にダイアモンド状カーボン・フィルムを付着する方法 Expired - Fee Related JP2553310B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/001,374 US5470661A (en) 1993-01-07 1993-01-07 Diamond-like carbon films from a hydrocarbon helium plasma
US001374 1993-01-07

Publications (2)

Publication Number Publication Date
JPH07242493A JPH07242493A (ja) 1995-09-19
JP2553310B2 true JP2553310B2 (ja) 1996-11-13

Family

ID=21695724

Family Applications (1)

Application Number Title Priority Date Filing Date
JP29620693A Expired - Fee Related JP2553310B2 (ja) 1993-01-07 1993-11-26 基板上にダイアモンド状カーボン・フィルムを付着する方法

Country Status (4)

Country Link
US (2) US5470661A (ja)
EP (1) EP0605814B1 (ja)
JP (1) JP2553310B2 (ja)
DE (1) DE69304503T2 (ja)

Families Citing this family (199)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2788412B2 (ja) * 1994-08-11 1998-08-20 麒麟麦酒株式会社 炭素膜コーティングプラスチック容器の製造装置および製造方法
US6255718B1 (en) 1995-02-28 2001-07-03 Chip Express Corporation Laser ablateable material
IL112826A (en) * 1995-02-28 1998-09-24 Chip Express Israel Ltd Method for settling a deposited plasma polymer layer
EP0734059B1 (en) * 1995-03-24 2005-11-09 Shinko Electric Industries Co., Ltd. Chip sized semiconductor device and a process for making it
EP0743375B1 (en) * 1995-03-31 2000-07-12 CeramOptec GmbH Method of producing diamond-like-carbon coatings
JP3176558B2 (ja) * 1996-02-09 2001-06-18 麒麟麦酒株式会社 コーティングフィルムおよびその製造方法
US5840427A (en) * 1996-05-21 1998-11-24 Teledyne Industries Incorporated Method for making corrosion resistant electrical components
US5858477A (en) 1996-12-10 1999-01-12 Akashic Memories Corporation Method for producing recording media having protective overcoats of highly tetrahedral amorphous carbon
EP0848083A3 (en) * 1996-12-12 2001-03-07 Eastman Kodak Company Protective inorganic and dlc coatings and method for making same at or close to room temperature
US5879775A (en) * 1996-12-12 1999-03-09 Eastman Kodak Compnay Protective inorganic and DLC coatings for plastic media such as plastic cards
DE19651953A1 (de) * 1996-12-13 1998-07-02 Bayer Bitterfeld Gmbh Vorrichtung zum Verpressen von fließfähigen Feststoffen oder halbfesten Stoffen
US6428894B1 (en) * 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
US6077572A (en) * 1997-06-18 2000-06-20 Northeastern University Method of coating edges with diamond-like carbon
US6030904A (en) * 1997-08-21 2000-02-29 International Business Machines Corporation Stabilization of low-k carbon-based dielectrics
US5981000A (en) * 1997-10-14 1999-11-09 International Business Machines Corporation Method for fabricating a thermally stable diamond-like carbon film
US6103305A (en) * 1997-11-26 2000-08-15 Sandia Corporation Method of forming a stress relieved amorphous tetrahedrally-coordinated carbon film
US6726993B2 (en) * 1997-12-02 2004-04-27 Teer Coatings Limited Carbon coatings, method and apparatus for applying them, and articles bearing such coatings
JP3189781B2 (ja) * 1998-04-08 2001-07-16 日本電気株式会社 半導体装置の製造方法
US6448655B1 (en) 1998-04-28 2002-09-10 International Business Machines Corporation Stabilization of fluorine-containing low-k dielectrics in a metal/insulator wiring structure by ultraviolet irradiation
EP1022614B1 (en) * 1998-07-31 2012-11-14 Hoya Corporation Photomask blank, photomask, methods of manufacturing the same, and method of forming micropattern
US7378146B1 (en) * 1998-08-05 2008-05-27 International Business Machines Corporation Transparent hard coats for optical elements
US6265779B1 (en) 1998-08-11 2001-07-24 International Business Machines Corporation Method and material for integration of fuorine-containing low-k dielectrics
JP2000164565A (ja) * 1998-11-26 2000-06-16 Sony Corp 半導体製造装置
CH697036A5 (de) * 1998-12-02 2008-03-31 Sulzer Metco Ag Verfahren zur Plasma-Oberflächenbehandlung von Substraten sowie Einrichtung zur Durchführung des Verfahrens.
US6660365B1 (en) 1998-12-21 2003-12-09 Cardinal Cg Company Soil-resistant coating for glass surfaces
US6974629B1 (en) 1999-08-06 2005-12-13 Cardinal Cg Company Low-emissivity, soil-resistant coating for glass surfaces
US6964731B1 (en) 1998-12-21 2005-11-15 Cardinal Cg Company Soil-resistant coating for glass surfaces
US6528865B1 (en) 1999-01-22 2003-03-04 Intel Corporation Thin amorphous fluorocarbon films
KR100307629B1 (ko) 1999-04-30 2001-09-26 윤종용 하이드로 카본계의 가스를 이용한 반사방지막의 형성 및 적용방법
US6261693B1 (en) 1999-05-03 2001-07-17 Guardian Industries Corporation Highly tetrahedral amorphous carbon coating on glass
US6277480B1 (en) * 1999-05-03 2001-08-21 Guardian Industries Corporation Coated article including a DLC inclusive layer(s) and a layer(s) deposited using siloxane gas, and corresponding method
US6461731B1 (en) 1999-05-03 2002-10-08 Guardian Industries Corp. Solar management coating system including protective DLC
US6335086B1 (en) 1999-05-03 2002-01-01 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
US6312808B1 (en) 1999-05-03 2001-11-06 Guardian Industries Corporation Hydrophobic coating with DLC & FAS on substrate
US6273488B1 (en) 1999-05-03 2001-08-14 Guardian Industries Corporation System and method for removing liquid from rear window of a vehicle
US6447891B1 (en) 1999-05-03 2002-09-10 Guardian Industries Corp. Low-E coating system including protective DLC
US6280834B1 (en) 1999-05-03 2001-08-28 Guardian Industries Corporation Hydrophobic coating including DLC and/or FAS on substrate
US6338901B1 (en) 1999-05-03 2002-01-15 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
US6423384B1 (en) 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
KR100304708B1 (ko) * 1999-07-14 2001-11-01 윤종용 이중층 반사방지막을 갖는 반도체소자 및 그 제조방법
US6579833B1 (en) 1999-09-01 2003-06-17 The Board Of Trustees Of The University Of Illinois Process for converting a metal carbide to carbon by etching in halogens
US20040028906A1 (en) * 2000-01-04 2004-02-12 Anderson Jerrel Charles Diamond-like carbon coating on glass and plastic for added hardness and abrasion resistance
JP5121090B2 (ja) * 2000-02-17 2013-01-16 アプライド マテリアルズ インコーポレイテッド アモルファスカーボン層の堆積方法
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
FR2809190B1 (fr) * 2000-05-22 2002-08-09 Centre Nat Rech Scient Procede de fabrication d'un modulateur de transmission pour microlithographie en ultraviolet profond et modulateur obtenu par ce procede
US6713179B2 (en) 2000-05-24 2004-03-30 Guardian Industries Corp. Hydrophilic DLC on substrate with UV exposure
FR2812665B1 (fr) * 2000-08-01 2003-08-08 Sidel Sa Procede de depot de revetement par plasma, dispositif de mise en oeuvre du procede et revetement obtenu par un tel procede
US6346183B1 (en) 2000-08-03 2002-02-12 International Business Machines Corporation Use of thin carbon films as a bottom anti-reflective coating in manufacturing magnetic heads
US6524755B2 (en) 2000-09-07 2003-02-25 Gray Scale Technologies, Inc. Phase-shift masks and methods of fabrication
US6368924B1 (en) * 2000-10-31 2002-04-09 Motorola, Inc. Amorphous carbon layer for improved adhesion of photoresist and method of fabrication
US6822391B2 (en) * 2001-02-21 2004-11-23 Semiconductor Energy Laboratory Co., Ltd. Light emitting device, electronic equipment, and method of manufacturing thereof
US6610360B2 (en) * 2001-11-28 2003-08-26 Guardian Industries Corp. Buffing diamond-like carbon (DLC) to improve scratch resistance
EP1321545A1 (en) * 2001-12-20 2003-06-25 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e.V. Method for producing particles with diamond structure
US20030155065A1 (en) * 2002-02-13 2003-08-21 Thomsen Scott V. Method of making window unit
US6827977B2 (en) * 2002-03-07 2004-12-07 Guardian Industries Corp. Method of making window unit including diamond-like carbon (DLC) coating
US6783253B2 (en) 2002-03-21 2004-08-31 Guardian Industries Corp. First surface mirror with DLC coating
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6919536B2 (en) 2002-04-05 2005-07-19 Guardian Industries Corp. Vehicle window with ice removal structure thereon
US7683326B2 (en) * 2002-07-09 2010-03-23 Gentex Corporation Vehicle vision system with high dynamic range
US6903023B2 (en) * 2002-09-16 2005-06-07 International Business Machines Corporation In-situ plasma etch for TERA hard mask materials
US7224532B2 (en) * 2002-12-06 2007-05-29 Chevron U.S.A. Inc. Optical uses diamondoid-containing materials
US8555921B2 (en) 2002-12-18 2013-10-15 Vapor Technologies Inc. Faucet component with coating
US7866343B2 (en) 2002-12-18 2011-01-11 Masco Corporation Of Indiana Faucet
US7866342B2 (en) 2002-12-18 2011-01-11 Vapor Technologies, Inc. Valve component for faucet
US8220489B2 (en) 2002-12-18 2012-07-17 Vapor Technologies Inc. Faucet with wear-resistant valve component
US20040157430A1 (en) * 2003-02-07 2004-08-12 Asml Netherlands B.V. Methods and apparatus for processing semiconductor wafers with plasma processing chambers in a wafer track environment
US7052585B2 (en) * 2003-03-11 2006-05-30 Guardian Industries Corp. Coated article including titanium oxycarbide and method of making same
US7306778B2 (en) * 2003-06-19 2007-12-11 Nanotech Llc Diamond films and methods of making diamond films
DE10329535B4 (de) * 2003-06-30 2007-02-22 Sls Micro Technology Gmbh Miniaturisierte Anreicherungsvorrichtung
US7060322B2 (en) * 2003-09-02 2006-06-13 Guardian Industries Corp. Method of making heat treatable coated article with diamond-like carbon (DLC) coating
US7132201B2 (en) * 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US7129180B2 (en) * 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
EP1676300B1 (en) * 2003-10-03 2014-10-01 Applied Materials, Inc. Method for annealing a substrate comprising an absorber layer
US7109087B2 (en) * 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
DE102004002908B4 (de) * 2004-01-20 2008-01-24 Infineon Technologies Ag Verfahren zum Herstellen eines Halbleiterbauelements oder einer mikromechanischen Struktur
US7464581B2 (en) * 2004-03-29 2008-12-16 Tokyo Electron Limited Vacuum apparatus including a particle monitoring unit, particle monitoring method and program, and window member for use in the particle monitoring
US7713632B2 (en) 2004-07-12 2010-05-11 Cardinal Cg Company Low-maintenance coatings
US7470633B2 (en) * 2004-08-09 2008-12-30 Asm Japan K.K. Method of forming a carbon polymer film using plasma CVD
US7504344B2 (en) * 2004-08-09 2009-03-17 Asm Japan K.K. Method of forming a carbon polymer film using plasma CVD
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7923114B2 (en) 2004-12-03 2011-04-12 Cardinal Cg Company Hydrophilic coatings, methods for depositing hydrophilic coatings, and improved deposition technology for thin films
US8092660B2 (en) 2004-12-03 2012-01-10 Cardinal Cg Company Methods and equipment for depositing hydrophilic coatings, and deposition technologies for thin films
US7667277B2 (en) * 2005-01-13 2010-02-23 International Business Machines Corporation TiC as a thermally stable p-metal carbide on high k SiO2 gate stacks
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
JP2006332357A (ja) * 2005-05-26 2006-12-07 Denso Corp 炭化珪素半導体素子の製造方法
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
JP4853857B2 (ja) 2005-06-15 2012-01-11 東京エレクトロン株式会社 基板の処理方法,コンピュータ読み取り可能な記録媒体及び基板処理装置
TWI450379B (zh) * 2005-06-20 2014-08-21 Univ Tohoku 層間絕緣膜及配線構造與此等之製造方法
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US20070026205A1 (en) 2005-08-01 2007-02-01 Vapor Technologies Inc. Article having patterned decorative coating
US8123968B2 (en) 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US7816262B2 (en) 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7759197B2 (en) 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
WO2007044514A2 (en) * 2005-10-07 2007-04-19 Lee, Michael, J. Method for improving refractive index control in pecvd deposited a-siny films
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7410915B2 (en) * 2006-03-23 2008-08-12 Asm Japan K.K. Method of forming carbon polymer film using plasma CVD
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
WO2007124291A2 (en) 2006-04-19 2007-11-01 Cardinal Cg Company Opposed functional coatings having comparable single surface reflectances
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7892662B2 (en) 2006-04-27 2011-02-22 Guardian Industries Corp. Window with anti-bacterial and/or anti-fungal feature and method of making same
US7846492B2 (en) 2006-04-27 2010-12-07 Guardian Industries Corp. Photocatalytic window and method of making same
JP5176337B2 (ja) * 2006-05-12 2013-04-03 株式会社デンソー 皮膜構造及びその形成方法
US20070269646A1 (en) * 2006-05-18 2007-11-22 Haverty Michael G Bond termination of pores in a porous diamond dielectric material
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US20080011599A1 (en) 2006-07-12 2008-01-17 Brabender Dennis M Sputtering apparatus including novel target mounting and/or control
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
CN101568490B (zh) * 2006-11-22 2016-04-13 安格斯公司 基底外壳的类金刚石碳涂层
JP5200371B2 (ja) * 2006-12-01 2013-06-05 東京エレクトロン株式会社 成膜方法、半導体装置及び記憶媒体
US7833574B2 (en) * 2007-01-29 2010-11-16 Guardian Industries Corp. Method of making heat treated coated article using diamond-like carbon (DLC) coating and protective film
US20120015196A1 (en) 2007-01-29 2012-01-19 Guardian Industries Corp. Method of making heat treated coated article using diamond-like carbon (dlc) coating and protective film on acid-etched surface
US8071166B2 (en) 2007-01-29 2011-12-06 Guardian Industries Corp. Method of making heat treated coated article using diamond-like carbon (DLC) coating and protective film
US20120040160A1 (en) 2007-01-29 2012-02-16 Guardian Industries Corp. Method of making heat treated and ion-beam etched/milled coated article using diamond-like carbon (dlc) protective film
US8132426B2 (en) 2007-01-29 2012-03-13 Guardian Industries Corp. Method of making heat treated coated article using diamond-like carbon (DLC) coating and protective film
US20120015195A1 (en) 2007-01-29 2012-01-19 Guardian Industries Corp. and C.R.V.C. Method of making heat treated and ion-beam etched/milled coated article using diamond-like carbon (dlc) coating and protective film
US7914857B2 (en) 2007-01-29 2011-03-29 Guardian Industries Corp. Method of making heat treated coated article using diamond-like carbon (DLC) coating and protective film with oxygen content of protective film based on bending characteristics of coated article
US8003167B2 (en) * 2007-01-29 2011-08-23 Guardian Industries Corp. Method of making heat treated coated article using diamond-like carbon (DLC) coating and protective film
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
JP2008215940A (ja) * 2007-03-01 2008-09-18 Canon Inc 異物検査装置及びこれを用いた異物検査方法
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8105660B2 (en) * 2007-06-28 2012-01-31 Andrew W Tudhope Method for producing diamond-like carbon coatings using PECVD and diamondoid precursors on internal surfaces of a hollow component
US20090029067A1 (en) * 2007-06-28 2009-01-29 Sciamanna Steven F Method for producing amorphous carbon coatings on external surfaces using diamondoid precursors
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US7638441B2 (en) * 2007-09-11 2009-12-29 Asm Japan K.K. Method of forming a carbon polymer film using plasma CVD
KR101563197B1 (ko) 2007-09-14 2015-10-26 카디날 씨지 컴퍼니 관리 용이한 코팅 및 이의 제조방법
US20090090382A1 (en) * 2007-10-05 2009-04-09 Asm Japan K.K. Method of self-cleaning of carbon-based film
EP2052609A1 (de) 2007-10-24 2009-04-29 Bayer CropScience AG Herbizid-Kombination
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US7993462B2 (en) 2008-03-19 2011-08-09 Asm Japan K.K. Substrate-supporting device having continuous concavity
US8030218B2 (en) * 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US20090246399A1 (en) * 2008-03-28 2009-10-01 Asm Japan K.K. Method for activating reactive oxygen species for cleaning carbon-based film deposition
WO2009126846A1 (en) * 2008-04-11 2009-10-15 Sandisk 3D, Llc Damascene integration methods for graphitic films in three-dimensional memories and memories formed therefrom
US7632549B2 (en) * 2008-05-05 2009-12-15 Asm Japan K.K. Method of forming a high transparent carbon film
US20090297731A1 (en) * 2008-05-30 2009-12-03 Asm Japan K.K. Apparatus and method for improving production throughput in cvd chamber
US7820556B2 (en) * 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US7998881B1 (en) 2008-06-06 2011-08-16 Novellus Systems, Inc. Method for making high stress boron-doped carbon films
US7906817B1 (en) 2008-06-06 2011-03-15 Novellus Systems, Inc. High compressive stress carbon liners for MOS devices
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US20100012914A1 (en) * 2008-07-18 2010-01-21 Sandisk 3D Llc Carbon-based resistivity-switching materials and methods of forming the same
US8187671B2 (en) * 2008-07-28 2012-05-29 Centre Luxembourgeois De Recherches Pour Le Verre Et La Ceramique S.A. (C.R.V.C.) Method of making heat treated coated article using diamond-like carbon (DLC) coating and protective film including removal of protective film via blasting
DE102008037621A1 (de) 2008-08-14 2010-02-18 Bayer Cropscience Ag Herbizid-Kombination mit Dimethoxytriazinyl-substituierten Difluormethansulfonylaniliden
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
US8133555B2 (en) * 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US20100104770A1 (en) * 2008-10-27 2010-04-29 Asm Japan K.K. Two-step formation of hydrocarbon-based polymer film
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
CN101736313B (zh) * 2008-11-26 2011-07-06 北京有色金属研究总院 一种在锗基片上制备类金刚石膜的方法
US7955990B2 (en) * 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
FR2943660B1 (fr) * 2009-03-25 2011-04-29 Commissariat Energie Atomique Procede d'elaboration de graphene
JP4990959B2 (ja) * 2009-12-14 2012-08-01 トーカロ株式会社 厚膜dlc被覆部材およびその製造方法
US8288292B2 (en) 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US10258959B2 (en) 2010-08-11 2019-04-16 Unit Cell Diamond Llc Methods of producing heterodiamond and apparatus therefor
US8778295B2 (en) 2010-08-11 2014-07-15 Daniel Hodes Combinatorial synthesis of diamond
US9061917B2 (en) 2010-08-11 2015-06-23 Unit Cell Diamond Llc Combinatorial synthesis of the diamond unit cell
US9783885B2 (en) 2010-08-11 2017-10-10 Unit Cell Diamond Llc Methods for producing diamond mass and apparatus therefor
US20120145676A1 (en) * 2010-12-01 2012-06-14 University Of North Texas Metal Ablation in Supersonic Expansion Gas Coupled to an Ion Mass Filter
JP5904537B2 (ja) * 2011-04-20 2016-04-13 Ntn株式会社 非晶質炭素膜の成膜方法
US9255029B2 (en) 2012-04-17 2016-02-09 Guardian Industries Corp. Method of making heat treated coated article using TCO and removable protective film
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9038419B2 (en) 2012-06-08 2015-05-26 Centre Luxembourgeois De Recherches Pour Le Verre Et La Ceramique S.A. (C.R.V.C.) Method of making heat treated coated article using carbon based coating and protective film
US9514932B2 (en) 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing
US9434640B2 (en) 2012-12-04 2016-09-06 Guardian Industries Corp. Method of making heat treated coated article with carbon based coating and protective film
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9751800B2 (en) 2013-02-06 2017-09-05 Guardian Glass, LLC Heat treatable coated article with tungsten-doped zirconium based layer(s) in coating
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
CN115327683A (zh) * 2014-10-30 2022-11-11 住友电气工业株式会社 光学部件
US10418243B2 (en) * 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US10611678B2 (en) 2016-11-04 2020-04-07 Guardian Glass, LLC Heat treatable coated article with carbon-doped zirconium based layer(s) in coating
EP3541762B1 (en) 2016-11-17 2022-03-02 Cardinal CG Company Static-dissipative coating technology
US20190127272A1 (en) 2017-10-26 2019-05-02 Guardian Glass, LLC Coated article including noble metal and polymeric hydrogenated diamond like carbon composite material having antibacterial and photocatalytic properties, and/or methods of making the same
US10611679B2 (en) 2017-10-26 2020-04-07 Guardian Glass, LLC Coated article including noble metal and polymeric hydrogenated diamond like carbon composite material having antibacterial and photocatalytic properties, and/or methods of making the same
US10705273B2 (en) * 2018-03-26 2020-07-07 Raytheon Company Multispectral interference coating with diamond-like carbon (DLC) film
KR20200130490A (ko) * 2018-04-09 2020-11-18 어플라이드 머티어리얼스, 인코포레이티드 패터닝 애플리케이션들을 위한 탄소 하드 마스크들 및 이와 관련된 방법들
TW202113121A (zh) 2019-05-29 2021-04-01 美商蘭姆研究公司 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩
US20220076945A1 (en) * 2020-09-08 2022-03-10 Applied Materials, Inc. Amorphous carbon for gap fill

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62167885A (ja) * 1986-11-19 1987-07-24 Semiconductor Energy Lab Co Ltd 炭素被膜を有する複合体の作製方法
JPH01246115A (ja) * 1988-03-26 1989-10-02 Semiconductor Energy Lab Co Ltd 炭素または炭素を主成分とする被膜を形成する方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4486286A (en) * 1982-09-28 1984-12-04 Nerken Research Corp. Method of depositing a carbon film on a substrate and products obtained thereby
US4698256A (en) * 1984-04-02 1987-10-06 American Cyanamid Company Articles coated with adherent diamondlike carbon films
DE3421739A1 (de) * 1984-06-12 1985-12-12 Battelle-Institut E.V., 6000 Frankfurt Verfahren zur herstellung von diamantartigen kohlenstoffschichten
US4783361A (en) * 1984-09-10 1988-11-08 Ovonic Synthetic Materials Company, Inc. Coated lenses
US4663183A (en) * 1984-09-10 1987-05-05 Energy Conversion Devices, Inc. Glow discharge method of applying a carbon coating onto a substrate
JPS61210518A (ja) * 1985-03-13 1986-09-18 Matsushita Electric Ind Co Ltd 磁気記録媒体の製造方法
US4603082A (en) * 1985-04-29 1986-07-29 Rca Corporation Diamond-like film
EP0221531A3 (en) * 1985-11-06 1992-02-19 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha High heat conductive insulated substrate and method of manufacturing the same
US4900628A (en) * 1986-07-23 1990-02-13 Sumitomo Electric Industries, Ltd. Gaseous phase synthesized diamond and method for synthesizing same
JPS63153275A (ja) * 1986-08-11 1988-06-25 Sumitomo Electric Ind Ltd ダイヤモンド被覆アルミナ
US4777090A (en) * 1986-11-03 1988-10-11 Ovonic Synthetic Materials Company Coated article and method of manufacturing the article
JPS63210099A (ja) * 1987-02-26 1988-08-31 Nissin Electric Co Ltd ダイヤモンド膜の作製方法
US4935303A (en) * 1987-10-15 1990-06-19 Canon Kabushiki Kaisha Novel diamond-like carbon film and process for the production thereof
US4961958A (en) * 1989-06-30 1990-10-09 The Regents Of The Univ. Of Calif. Process for making diamond, and doped diamond films at low temperature
EP0411435B1 (en) * 1989-07-31 1994-01-12 Matsushita Electric Industrial Co., Ltd. Apparatus for synthesizing diamondlike thin film
US5190807A (en) * 1990-10-18 1993-03-02 Diamonex, Incorporated Abrasion wear resistant polymeric substrate product
JPH059735A (ja) * 1991-07-09 1993-01-19 Kobe Steel Ltd ダイヤモンドの気相合成方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62167885A (ja) * 1986-11-19 1987-07-24 Semiconductor Energy Lab Co Ltd 炭素被膜を有する複合体の作製方法
JPH01246115A (ja) * 1988-03-26 1989-10-02 Semiconductor Energy Lab Co Ltd 炭素または炭素を主成分とする被膜を形成する方法

Also Published As

Publication number Publication date
EP0605814B1 (en) 1996-09-04
US5569501A (en) 1996-10-29
DE69304503D1 (de) 1996-10-10
JPH07242493A (ja) 1995-09-19
US5470661A (en) 1995-11-28
DE69304503T2 (de) 1997-03-20
EP0605814A1 (en) 1994-07-13

Similar Documents

Publication Publication Date Title
JP2553310B2 (ja) 基板上にダイアモンド状カーボン・フィルムを付着する方法
KR100332184B1 (ko) 조절 및 제거 가능한 플라즈마 침착 반사 방지 코팅
US7064078B2 (en) Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US6214637B1 (en) Method of forming a photoresist pattern on a semiconductor substrate using an anti-reflective coating deposited using only a hydrocarbon based gas
US7981810B1 (en) Methods of depositing highly selective transparent ashable hardmask films
Pelhos et al. Etching of high-k dielectric Zr 1− x Al x O y films in chlorine-containing plasmas
JP3117429B2 (ja) 多層基板構造
CN102915925A (zh) 具有改进的密度和阶梯覆盖率的无定形碳膜的沉积方法
KR20060127250A (ko) 금속 에칭 하드마스크 분야용 비정질 탄소막 증착 방법
JP3178375B2 (ja) 絶縁膜の形成方法
TW201701068A (zh) 先進圖案化中所用的降低線邊緣粗糙度的正形可剝離碳膜
Wang et al. Crystalline Si 3 N 4 thin films on Si (111) and the 4× 4 reconstruction on Si 3 N 4 (0001)
Pearton et al. Reactive ion etching of InP, InGaAs, InAlAs: comparison of C2H6/H2 with CCl2F2/O2
JPH05226304A (ja) ドライエッチング方法
Yamada et al. Process Technologies for Ta/SiC X‐Ray Masks
Schreck et al. Modification of diamond film growth by a negative bias voltage in microwave plasma chemical vapor deposition
KR100893675B1 (ko) 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
Smith et al. Use of polymethylmethacrylate as an initial pattern transfer layer in fluorine-and chlorine-based reactive-ion etching
Wang et al. Multilayer hexamethyldisiloxane film as bottom antireflective coating for ArF lithography
Kudrya et al. Applications of the technology of fast neutral particle beams in micro-and nanoelectronics
Chang et al. Plasma enhanced beam deposition of thin films at low temperatures
JPH06349782A (ja) ドライエッチング方法
Lin et al. TiSixNy and TiSixOyNz as embedded materials for attenuated phase-shifting mask in 193 nm
KR102574751B1 (ko) 구리 박막의 건식 식각방법
Lee et al. Characteristics of the Ru buffer layer for EUVL mask patterning

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees