KR100332184B1 - 조절 및 제거 가능한 플라즈마 침착 반사 방지 코팅 - Google Patents

조절 및 제거 가능한 플라즈마 침착 반사 방지 코팅 Download PDF

Info

Publication number
KR100332184B1
KR100332184B1 KR1019980016828A KR19980016828A KR100332184B1 KR 100332184 B1 KR100332184 B1 KR 100332184B1 KR 1019980016828 A KR1019980016828 A KR 1019980016828A KR 19980016828 A KR19980016828 A KR 19980016828A KR 100332184 B1 KR100332184 B1 KR 100332184B1
Authority
KR
South Korea
Prior art keywords
barc
substrate
layer
carbon
refractive index
Prior art date
Application number
KR1019980016828A
Other languages
English (en)
Other versions
KR19990006430A (ko
Inventor
캐스리나 이 바비흐
알레산드로 시저 칼레가리
줄리엔 폰테인
알프레드 그릴
크리스토퍼 쟌스
비쉬눕하이 비트할바이 파텔
Original Assignee
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 인터내셔널 비지네스 머신즈 코포레이션
Publication of KR19990006430A publication Critical patent/KR19990006430A/ko
Application granted granted Critical
Publication of KR100332184B1 publication Critical patent/KR100332184B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/2495Thickness [relative or absolute]
    • Y10T428/24967Absolute thicknesses specified
    • Y10T428/24975No layer or component greater than 5 mils thick
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • Y10T428/2651 mil or less
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/30Self-sustaining carbon mass or layer with impregnant or other layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 비정질 탄소막에 기반한 조절 및 제거 가능한 반사 방지 코팅 방법 및 기상 증착된 하부 반사 방지 코팅(Bottom Antireflective Coatings: BARC)구조에 관한 것이다. 이 막은 수소화, 불화, 질화 처리가 가능한 탄소막일 수 있다. 자외선(Ultraviolet: UV) 및 심층 자외선(Deep Ultraviolet: DUV)파장, 특히 365, 248, 193 nm에서, 이 막의 굴절율은 약 1.4 내지 약 2.1의 범위에서, 그리고 흡광율은 약 0.1 내지 0.6의 범위에서 조절가능하다. 더욱이 본 발명에 따라 제조되는 이 막은 장치 지형에 매우 부합적으로 침착될 수 있으며 산소 및/또는 불소 이온 챔버 공정에 의해 식각될 수 있다. 이 막은 그 고유한 특성으로 인해, UV와 DUV 파장에서, 조절 및 제거 가능한 반사 방지 코팅을 형성하여 레지스트/BARC 코팅 계면에서 거의 0에 가까운 반사도를 제조하는 데 사용할 수 있다. 이 BARC는 반도체 칩의 성능을 크게 향상시킨다.

Description

조절 및 제거 가능한 플라즈마 침착 반사 방지 코팅{TUNABLE AND REMOVABLE PLASMA DEPOSITED ANTIREFLECTIVE COATINGS}
본 발명은 비정질 탄소막(amorphous carbon film)에 기반한 조절 및 제거 가능한 반사 방지 코팅 방법에 관한 것이다.
논리 회로 및 메모리 칩에 대한 피쳐의 크기가 0.35μm이하로 줄어듦에 따라 임계 치수(Critical Dimension : CD) 공차 문제가 더욱 심각해진다. CD에 있어서의 편차는 기판 반사도에 직접적으로 관계되며, 심층 자외선(Deep Ultraviolet: DUV) 파장(365, 248, 193 nm)에서 급격히 증가한다. 기판 반사도는 정상파 효과와 광반응성 레지스트(photoreactive resist)의 노치(notch)를 만들어내는 것으로 유명하다. 정상파는 박막 간섭(Thin Film Interference: TFI) 또는 레지스트 두께에 따른 주기적 광도 편차이다. 이 광도 편차는 레지스트의 평탄화로 인해 하부 지형전체에 걸쳐 두께 차이가 있기 때문에 생긴다. 노치는 기판 지형과, 레지스트상의 노광 에너지의 국부적인 편차를 일으키는 불균일한 기판 반사도에 의해 생긴다. 이와 같은 반사도를 효과적으로 완화시키기 위해, 스핀온(Spin-on) 반사 방지 코팅(Antireflective Coatings : ARC)과 건식 침착 ARC가 사용된다.
기판/레지스트 계면에 형성되는 반사 방지 코팅은 하부 반사 방지 코팅(Bottom Antireflective Coatings : BARC)이라 지칭한다. 스핀온 BARC는 컴퓨터 칩의 제조에 널리 사용되지만, 그 사용이 매우 제약된다. 예를 들면, 스핀온 BARC는 광조절도가 떨어지는데, 이것은 그것의 굴절율 n과 흡광율 k가 레지스트와 기판의 광 특성에 일치하도록 미세하게 조절될 수 없음을 의미한다. 더욱이, 지형 크기가 1/4μm이하로 줄어듦에 따라, 이 막은 지형상에 부합적으로(conformally) 침착될 수 없게 된다. 현재 사용중인 스핀온 BARC에 대한 개괄은 러쓰 디쥴(Ruth dejule)에 의한 "Resist Enhancement with Antireflective Coatings", Semiconductor International, July 1996, pp 169-175에서 찾을 수 있다.
이와 같은 문제를 해결하기 위해 기상 증착식 BARC가 개발되었다. 이 막은 바람직하게는 플라즈마 강화 화학 기상 증착(Chemical Vapor Deposition)에 의해 침착된다. 따라서, 이 막은 지형상에 부합적으로 침착될 수 있다. 또한, 이 막의 광특성은 스핀온 BARC의 그것에 비해 월등히 우수하다. 어플라이드 머티어리얼 사(Applied Material Co.)는 "Applied Developed ARC Using Silane-based CVD", Soid State Technology, July 1996, pp 62의 논문에서, DUV BARC로서 기상 증착 실리콘 산화-질화물을 제안하였다. 이 막은 우수한 광조절도를 가지며, 즉 공정 조건을 변화시킴으로써 n과 k가 가변될 수 있는데, 그러나 DUV 노광후 그것을 제거하는 것이 매우 곤란하다. SiN 막을 제거하는 데 쓰이는 불소 화합물이 또한 하부 구조체를 식각한다.
에프 디 베일리(F. D. Bailey) 등(미국 특허 제 5, 569, 501 호)은 기상 증착에 의해 탄화수소 헬륨 플라즈마로부터 침착된 비정질 탄화수소막(Amorphous hydrogenated carbon film : a-C:H)을 사용할 것을 제안하였다. 이 막은 스핀온 ARC에 비해 리쏘그래피 특성이 우수한 것으로 판명되었고, 하부 구조체를 손상시키지 않으면서도 산소 플라즈마내에서 쉽게 제거될 수 있었다. 그러나, 공정 조건을 변화시킴으로써 이 막의 굴절율 n을 넓은 범위에서 조절할 수는 없었다.
따라서, 본 발명의 목적은 기상 증착에 의해 DUV(365, 248, 193 nm) BARC를 형성할 수 있는 광특성을 지닌 비정질 탄소막을 제공하는 것이다. 이 방법은 현재 반도체 산업에서 널리 사용되고 있는 제조 툴로 쉽게 확장될 수 있다.
본 발명의 또다른 목적은 아르곤/탄화수소/헬륨/수소/불화탄소/질소/산소 혼합물 내에서 기상 증착을 수행하는 것으로서, 바람직하게는 탄화수소로서 시클로헥산이나 아세틸렌을 사용하고, 불화탄소로서 헥사불화벤젠을 사용한다. 플라즈마 챔버내에서 불화탄소(HFB)를 제거 내지 제한하면 더 높은 굴절율을 얻을 수 있고, 반면에 HFB 흐름을 증가시키고 탄화수소 가스 흐름을 제거 내지 제한하면 더 낮은 굴절율을 얻을 수 있다. 질소 및/또는 산소를 적절한 흐름양으로 사용하면 보다미세한 광조절도를 얻을 수 있다. 막의 내구성을 개선할 뿐만 아니라 광특성을 조절하는 데 수소가 사용된다.
본 발명의 또 다른 목적은 레지스트/기판 계면에서의 반사도를 최소화하도록 최적화된 광특성을 지닌 BARC로서 사용하기 위한 막 구조를 기상 증착에 의해 침착하는 방법을 제공하는 것이다. 이 공정의 가스 화학 물질과 공정 파라미터는 일반적으로 미리 선택된 광특성을 구현하도록 고유하게 최적화된다.
본 발명의 또 다른 목적은, ARC의 하부로부터 상부쪽으로 굴절율 n을 연속적으로 변화시킴에 의해 구배 효과(graded effect)를 얻음으로써, 미세 조절될 수 있는 광특성을 지니는 막 구조를 기상 증착에 의해 침착하는 방법을 제공하는 것이다. 특히, ARC의 n이 인접층에 완벽하게 매칭되면 레지스트/ARC 계면에서 반사가 없게 되어 CD 제어성을 크게 개선할 수 있다.
본 발명의 개괄적 측면으로서, 조절가능한 광특성을 지니는 a-C:X:H 막의 기상 증착이 기술되며, 여기서 X는 불소, 질소, 산소, 실리콘 또는 이들의 조합이다.
본 발명에 따른 방법의 보다 세부적인 측면으로서, 탄화수소/불화탄소/수소 플라즈마로부터 기상 증착에 의해 수소화된 탄소막을 침착하고, 선택사양적으로 매 침착당 약 1 sccm 등의 미량의 질소 및/또는 산소를 유지하는 공정이 기술된다. 여기서 형성되는 막은 기판의 광특성을 365, 248, 193nm에서 매칭시켜 하부 반사 방지 코팅에 매우 유용하도록 조절될 수 있다. 또한, 본 발명에서 형성된 막은 다른 방법에 의해 만들어진 막과 달리, 지형 위에 부합적으로 침착되고 산소 및/또는 불소의 반응성 이온 식각 공정에서 쉽게 제거될 수 있어서, 칩 가공이 쉬워진다.
본 발명의 또다른 세부적인 측면으로서, 탄화수소, 불화탄소, 수소 가스를 선택사양적으로 미량의 산소 및/또는 질소와 함께 혼합하여 혼합 가스를 만드는 단계와, 캐소드와 기판을 갖는 반응 챔버를 마련하는 단계와, 상기 혼합 가스를 반응 챔버 안으로 유입시키는 단계와, 캐소드에 rf 바이어스 전위를 가하여 플라즈마를 여기(initiate)시키고 기상 증착에 의해 기판상에 a-C:X:H 막을 침착시키는 단계를 포함하는, 기상 증착에 의한 비정질 탄소막의 침착 공정이 기술된다.
보다 구체적으로 본 발명에 따르면, 헥사불화벤젠, 수소, 시클로헥산, 아세틸렌을 포함하는 가스 혼합물을 사용하여 비정질 탄소막을 침착하는 방법이 제공되며, 여기서 가스 혼합물은 기상 증착에 의해 반응성으로(reactively) 막을 침착시키기 위해 헬륨 및/또는 아르곤 가스에 의해 희석될 수도 있고 희석되지 않을 수도 있다. 이 방법을 사용함으로써, 굴절율 n과 흡광율 k를 UV 및 DUV 파장에서 독립적으로 조절할 수 있다. 보다 구체적으로, UV 및 DUV에서의 굴절율 n과 흡광율 k는, 365, 248, 193 nm에서 각각 약 1.40 내지 2.1의 범위와 약 0.1 내지 0.6의 범위에서 조절 가능하다. 따라서 이 막은 기판과 포토 레지스트 모두의 광특성을 매칭시키기 때문에 하부 반사 방지 코팅으로서 사용하기 위해 필요한 요건을 모두 충족한다.
본 발명의 상기 목적과 여러 가지 장점은, 첨부 도면을 참조하는 다음에 설명하는 본 발명의 바람직한 실시예에 의해 해당 기술분야의 숙련된 기술자에게 명확히 이해될 수 있을 것이다.
도 1은 본 발명을 구현하는 데 유용한 기상 증착 장치의 개략을 도시한 도면.
도 2a는 지형상의 포토 레지스트를 도시함에 있어, 기판 및 공기 계면에서의 반사도를 나타내는 도면.
도 2b는 지형을 갖는 기판상에서 상부 및 하부 ARC를 구비한 포토 레지스트를 도시한 도면.
도 3은 여러 광학적 상수 n과 k에 대해, 포토 레지스트/ARC 계면에서 시뮬레이션된 반사도 곡선을 단일 탄소 ARC 두께의 함수로서 도시한 도면.
도 4는 여러 두께의 상층을 갖는 조절가능한 3층 ARC에 대해, 포토 레지스트/ARC 계면에서의 시뮬레이션된 반사도 곡선을 불화탄소 ARC 두께의 함수로서 도시한 도면.
도 5는 표 1의 공정 10에 따라 침착된 단일 탄소층에 대해, 반사도를 포토 레지스트 두께의 함수로서 도시한 곡선(변동 곡선)을 도시한 도면.
도 6은 이중 대머신 공정내에서 탄소 ARC를 사용하는 것을 도시한 도면.
도면의 주요 부분에 대한 부호의 설명
8 : 플라즈마 강화 화학 기상 증착 장치
10 : 반응 챔버11 : 드로틀 밸브
12 : 샤워 헤드13 : 매칭 박스
14 : RF 소스15 : 기판
16 : 판17 : 저항성 히터
19 : 캐소드20,21,22,23,24,25,26 : 도관
이하, 첨부된 도면을 참조하여 본 발명에 따른 비정질 탄소막에 기반한 조절 및 제거 가능한 반사 방지 코팅 방법 및 기상 증착된 하부 반사 방지 코팅(Bottom Antireflective Coatings: BARC)구조체에 관하여 설명한다.
본 발명은, 탄화수소 및/또는 불화 탄화수소(불화탄소) 플라즈마로부터 광학적으로 조절이 가능한 반사 방지 코팅으로써 유용한 고품질의 수소화/불화된 탄소막을 기상 증착을 통해 제조하는 방법에 관한 것이다. 본 발명에 의해 제조된 막은 매우 조절 가능한 굴절율을 가지며, 선택사양적으로 막 두께를 따라 구배를 가짐(graded)에 의해 기판과 포토레지스트의 광특성에 매칭시킨다. 본 발명에 의해 제조된 막의 UV와 DUV에서의 광특성과 리쏘그래피 피쳐는 어플라이드 머티어리얼 사에 의해 제안된 막 또는 베일리 등에게 허여된 미국 특허 제 5,569,501호에서 볼 수 있는 실리콘 산화-질화물 등의 다른 막에 의해 얻어진 그것에 비해 매우 우수하다. 따라서, 본 발명의 비정질 탄소막으로 코팅된 실리콘 기판은 UV 및 DUV 파장에서 레지스트/BARC 계면에서 낮은 반사도를 만들어 냄으로써 박막 간섭을 획기적으로 줄이고 노치 효과를 제거하여 결과적으로 CD 제어성을 현저히 개선한다.
도 1은 본 발명의 비정질 탄소막을 침착하는 데 사용할 수 있는 플라즈마 강화 화학 기상 증착 장치(8)를 나타내는 도면이다. 플라즈마 강화 화학 침착 장치(8)는 반응 챔버(10)를 포함하며, 반응 챔버(10)는 진공 펌프(도시되지 않음)로부터 분리시키는 드로틀 밸브(throttle valve)(11)를 갖는다. 반응 챔버(10)에는 캐소드(19)가 탑재되고 유전체 스페이서(27)에 의해 그것으로부터 고립된다.캐소드(19)는 저항성 히터(17)를 구비한다. 캐소드(19)의 안쪽 끝에는 기판(15)이 장착된다. 여기에서 제시되듯이, 캐소드(19)는 조절가능한 RF 소스(14)에 전기적으로 접속된다. 그리고 RF 소스(14)와 캐소드(19)사이의 임피던스는 매칭 박스(13)에 의해 매칭된다. 전기적 복귀 접지(return ground)가 반응 챔버(10)에 연결된 판(16)에 의해 제공된다.
반응 챔버(10)는 또한 샤워 헤드(12)를 통해 반응 챔버(10) 내부로 여러 가스 재료를 집어넣기 위한 도관(20,21,22,23,24,25,26)을 포함한다. 예를 들면, 탄화수소 가스와 미리 혼합된 탄화수소 가스 혼합물이 각각 도관(25)와 도관(26)을 통해 반응 챔버(10) 내부로 유입된다. 불화탄소가스와 수소가스가 각각 도관(21)과 도관(20)을 통해 반응 챔버(10) 내부로 유입된다. 기판을 세정하기 위한 아르곤 가스는 도관(24)를 통해 유입되고, 반응성 산소가스와 반응성 질소 가스는 각각 도관(23)과 도관(22)를 통해 반응 챔버(10) 내부로 유입된다.
본 발명에서 사용될 수 있는 탄화수소 가스로는, 먼저 가스 상태일 수 있고 본 발명의 공정에서 채용되는 반응 조건에서 플라즈마를 형성할 수 있는 탄화수소 화합물이라면 어느 것이든 가능하다. 탄화수소라는 용어는 그 화합물을 이루는 분자가 오직 탄소와 수소 원자만을 가진다는 것을 의미한다. 본 발명의 일 실시예에 따르면, 포화 또는 불포화 탄화수소 화합물이 본 발명의 공정에 사용될 수 있다. 포화 탄화수소 화합물이란 그 분자가 단일 결합 탄소만을 갖는 화합물을 말하고, 불포화 탄화수소 화합물이란 그 분자가 이중 또는 삼중 결합 탄소를 적어도 하나 이상 갖는 화합물을 말한다.
본 발명의 특히 바람직한 실시예에 따르면, 비정질 탄소막을 형성하는 데 사용되는 바람직한 반응성 탄화수소 및 불화수소는 불활성 기체속에서 선택사양적으로 희석될 수 있는 시클로헥산과 헥사불화벤젠(Hexafluorobenzene: HFB)이다. 또한, 본 발명의 반응성 탄화수소 가스로서 시클로헥산/아세틸렌/메탄과 같은 탄화수소 가스 혼합물을 생각할 수 있음에 주의해야 한다.
본 발명에 사용되는 가스는 약 95.5%이상의 순도를 갖는다. 바람직한 실시예에 있어서, 상기 가스는 약 98.5 내지 99.99%에 이르는 순도를 가진다. 더욱 바람직하게는 상기 가스는 99.99%보다 높은 순도를 가진다.
먼저 충분한 흐름으로 탄화수소, 불화수소, 수소, 헬륨 그리고 아르곤 가스를 별도의 흐름 제어기를 통해 통과시킴으로써 챔버안으로 유입시키고, 아르곤, 수소, 탄화수소, 불화수소 그리고 헬륨가스에 의한 전체 압력이 약 1mTorr에서 1000mTorr에 이르도록 공급한다. 가장 효과적인 비정질 탄소막을 제공하기 위해서는 아르곤, 수소, 탄화수소 그리고 헬륨 혼합 가스의 전체 압력이 약 1mTorr에서 500mTorr에 이르도록 하는 것이 바람직하다. 위 조건은 원하는 가스 농도를 얻기 위해 임의의 가능한 조합으로, 아르곤, 수소, 불화수소, 탄화수소 그리고 헬륨을 하나, 둘 또는 세 개의 실린더내에서 미리 혼합하여 얻을 수 있다. 바람직하게는 아르곤, 수소, 불화수소, 탄화수소 가스는 별도의 흐름 제어기를 통해 챔버내로 유입된다.
본 발명의 비정질 탄소막으로 코팅할 수 있는 적절한 기판으로서는 플라스틱, 금속, 여러 유형의 유리, 자기 헤드, 전자 칩, 전자 회로 기판, 반도체 디바이스 등이 될 수 있다. 코팅할 기판의 모양이나 크기는 기판이 반응 챔버 장치내에 놓일 수 있는 것이라면 어떤 것이라도 무방하다. 따라서, 규칙적인 모양이건 불규칙적인 모양이건 어떤 크기의 물체라도 본 발명에서 사용할 수 있다. 바람직하게는, 기판은 반도체 디바이스의 제조에 사용되는 실리콘(Si)이다.
기판은 반응기 디바이스의 반응성 스퍼터링 챔버내의 캐소드 홀더 위에 탑재된다. 그 다음, 반응 챔버는 단단히 밀봉되고 1x10-3내지 1x10-7Torr의 압력이 얻어질 때까지 내부의 가스가 배출된다.
위에 언급한 범위의 원하는 압력에 이를 때까지 반응 챔버내의 가스를 배출한 후, 약 25 내지 400℃의 온도까지 기판을 가열한다. 바람직하게는, 기판을 전체 침착 공정에 걸쳐서 25 내지 400℃의 온도범위에서 일정한 온도로 유지한다.
비정질 탄소막을 침착하기에 앞서서, 챔버내에서 rf 캐소드를 사용하여 기판 재료에 rf 스퍼터 세정을 행할 수도 있고, 이것을 생략할 수도 있다. 본 발명에 사용되는 적절한 세정 기법은 수소, 아르곤, 산소, 질소 또는 이들의 혼합물을 사용하여 한 번에, 또는 적절한 순차적인 조합으로 rf 플라즈마 세정을 행하는 것이 있다.
원하는 강하 압력(pumpdown pressure)을 달성한 후, 혼합 가스를 약 1 내지 1000 sccm의 낮은 유속으로 반응 챔버내로 유입시킨다. 더욱 바람직하게는, 반응 가스의 유속은 약 1 내지 100 sccm이고, 수소 가스의 유속은 약 1 내지 100 sccm이다. 가장 바람직하게는, HFB가스의 유속은 약 4 sccm이고 수소 가스의 유속은 약10 sccm이다. 가스는 약 5 내지 200 mTorr의 압력으로 반응 챔버에 유입된다. 혼합 가스는 75 mTorr로 유입시키는 것이 가장 바람직하다.
침착중에 캐소드위에 탑재된 기판에 rf 바이어스를 가한다. 캐소드의 DC 자체 바이어스는 탄소 침착 공정중에 약 -10 내지 -1000 볼트에 이른다. 자체 바이어스 전압은 rf 전력을 캐소드에 인가하여 얻어진다. rf 매칭 박스를 사용하여 무선 주파수(Radio Frequency: RF) 임피던스 매칭을 얻는다. 가장 바람직하게는, 기판의 rf 바이어스 전압을 실험 전체에 걸쳐 약 -600 볼트(V)로 유지한다. 기판에 인가되는 전력 밀도는 약 0.005 내지 5 W/cm2의 범위일 수 있다. 가장 바람직하게는, 본 발명에 사용되는 전력 밀도를 침착 공정 전체에 걸쳐 약 1.07 W/cm2로 유지한다.
비정질 탄소막을 기판에 침착함에 있어, 막이 기판상에 실질적으로 연속적으로 코팅될 정도의 속도로 침착한다. 보다 구체적으로는, 앞서 기술한 동작 파라미터를 사용함으로서, 기판위에 약 20 내지 약 2000 Å/min의 속도로 비정질 탄소막을 침착한다. 가장 바람직하게는, 비정질 탄소막을 기판위에 침착하는 속도는 215 Å/min이다.
본 발명에 따르면, 기판위에 침착되는 비정질 탄소막의 두께는 약 100 내지 8000 Å에 이른다. 더욱 바람직하게는, 비정질 탄소막의 코팅 두께는 약 100 내지 1200 Å에 이른다. 여기서, 바이어스 전압, 가스 흐름 속도, 가스 압력과 같은 공정 파라미터를 변화시킴으로써 막의 광학적 상수를 변화시킬 수 있음에 주목할 필요가 있다. 여기서, 막의 광학적 상수는 굴절율 n과 흡광율 k로서 정의된다. 따라서, 단지 막의 불소 및/또는 수소/불소 비율을 증감시킴에 의해, 정의된 광학적 상수를 갖는 기판을 만들 수 있다. 본 발명의 공정에 의해 마련되는 반사 방지 코팅용 비정질 탄소막의 바람직한 광학적 상수는 365, 248, 193 nm의 파장에서 k는 약 0.1 내지 약 0.6의 범위, n은 약 1.40 내지 약 2.1의 범위에 있다.
본 발명에 의해 형성된 비정질 탄소막은 UV 및 DUV용의 이상적인 하부 반사 방지 코팅에 사용될 수 있다. 실리콘 질화물 ARC에 비해, 탄소 반사 방지 코팅(Antireflective coatings: ARC)은 하부의 층을 손상시키지 않고 산소 플라즈마에 의해 쉽게 제거될 수 있어서 집적 회로의 선폭 제어성 및 성능을 향상시킬 수 있다는 장점이 있다. 이에 비해, 불소 기반 화학 처리에 있어서의 실리콘 질화물(SiN) ARC의 제거는 하부 층의 모양을 식각하는 경향이 있어서 디바이스의 성능을 손상시킨다.
ARC 기법에 있어서, 임계 치수(Critical dimension: CD) 제어성을 개선하여 결국 선폭 제어성을 향상시키기 위해서는, 변동비(swing ratio) 또는 변동폭을 최소화해야 한다. 변동비는 다음의 식
과 같이 정의되는데, R1은 레지스트/공기 계면에서의 반사도이고 R2는 레지스트/기판 계면에서의 반사도이며, α는 흡수율, d는 레지스트 두께, k는 흡광율, λ는 광파장이다. 본 발명의 주 관심사는 하부 ARC를 사용하여 R2를 최소화함에 의해 변동비를 줄이는 것이다. 앞서 기술한 파라미터의 중요성을 설명하는 도면이 도 2에 도시되어 있다.
일반적으로, 하부 ARC의 두께는 레지스트/BARC에서의 반사도가 최소가 되도록 계산해야 한다. 이를 위해, 최소의 반사도를 얻을 수 있는 정확한 ARC 두께를 계산하기 위해서는 전체 막 구조의 광학적 상수에 대한 지식이 필요하다.
일반적으로, ARC 두께 d는 사용되는 막 구조에 따라 약 200 내지 약 1000 Å사이에서 변한다. 흡광율 k는 약 0.3 내지 약 0.6사이에서 변한다. 보다 통상적으로, k값은 DUV에서 약 0.4 내지 약 0.5사이에서 변한다. 굴절율 n은 약 1.4 내지 약 2.1사이에서 변한다. 보다 통상적으로, n값은 DUV에서 약 1.52 내지 약 2.0사이에서 변한다. 침착 공정중에 플라즈마에 미량, 예를 들면 약 1 내지 약 10 sccm의 불소와 수소를 첨가함으로써 더 낮은 굴절율을 얻을 수 있다.
이어지는 실시예들은 본 발명의 범위를 예시한다. 이 실시예들은 단지 예시를 위한 것일 뿐이므로, 본 발명의 실시가 이들 예에 국한되지 않음은 물론이다.
(실시예 1)
다음의 예에서는 서로 다른 두 박막 구조체에 대해 레지스트/BARC 계면에서 반사도 R2를 계산하는 예를 제시한다. 이 알고리듬은 이 헥트(E. Hecht)와 에이 자작(A. Zajac)에 의한 Optics, 1979, Wiley, pp312-313 등과 같은 표준 교과서에서발견될 수 있는 프레넬(Fresnel) 계수를 사용한다. 이 시뮬레이션은 다수의 상이한 구조에까지 확장될 수 있으며 다음에 기술할 두 예에 국한되지 않는다. 해당 구조의 각 층에 대해 광학적 상수가 명시되어야 한다. 만약 막이 DUV에서 투명 또는 반투명이라면, 막 내부의 다중 반사도를 포함하기 위해 막 두께가 특정되어야 한다.
도 3은 서로 다른 두 박막 구조에 대해 ARC 두께의 함수로서 248nm에서 계산된 레지스트/ARC 계면에서의 반사도를 도시한다. 상부 구조에 대해, ARC는 직접 Si위에 침착된다. 하부 구조에 대해, ARC는 SiO2박막위에 침착된다. 대응하는 반사도는 왼쪽에 표시된다. 이 시뮬레이션에서, 흡광율 k는 상수로서 유지되었으며 0.5였다. 굴절율 n은 약 1.5 내지 약 2.0사이에서 변화된다. Si위에 직접 침착된 박막 ARC에 대해, 굴절율에 따라 약 30nm 내지 약 60nm 사이에서 최소 반사도가 얻어진다(도 3 상부 참조). 박막 SiO2위에 침착된 박막 ARC에 대해, 굴절율에 따라 약 60nm 내지 약 90nm 사이에서 최소 반사도가 얻어진다(도 3 하부 참조). 도 3은 어떤 박막 스택이 사용되는가에 따라 서로 다른 ARC 두께에서 최소 반사도가 발생함을 보여준다. 따라서 시뮬레이션은 ARC의 특성을 최적화하는 데 필요하다. 248 nm에서의 포토 레지스트와 SiO2의 광학적 상수는 뒤에 기술할 실시예 3에서의 측정 기법에 따라 선택된다.
(실시예 2)
다음의 예는 도 1에서 시뮬레이션된 것들과 유사한 광 특성을 갖는 헥사불화벤젠/수소 가스 혼합물내에서 기상 증착에 의해 기판, 바람직하게는 Si위에 수소화되고 불화된 탄소막(ARC로서 사용될)을 침착시키는 공정을 예시하기 위한 것이다.
실험은 5인치 지름의 둥근 Si 기판과 8인치 지름의 둥근 Si 기판위에 비정질 탄소막을 침착시키기 위해 수행되었다. 기판은 미리 세정되어 있고, 도 1의 캐소드(19)에 장착되기 이전에 잔류 입자를 제거하기 위해 (필터링된)질소 가스로 송풍 건조된다. 그 다음, 이 시스템의 내부 가스를 배출하여 약 1x10-5Torr 이하의 기본 압력을 얻는다. 탄소막이 Si 기판에 잘 접착되도록 하기 위해, 기판을 100mTorr의 Ar 압력에서 0.4 W/cm2의 전력 밀도로 1분간 스퍼터 세정하였다. 비정질 탄소막은 헥사불화벤젠/수소 가스의 혼합물로부터 4:10의 유속으로 각각 침착되었다. 캐소드의 전력 밀도는 1.07 W/cm2로서, -600 볼트의 음(-)의 자체 바이어스를 발생했고, 압력은 100 mTorr였다. 전체 침착 공정동안, 기판은 180℃의 온도를 유지하였다. 불화되지 않은 막을 침착하고자 할 때는, 헥사불화벤젠/수소 가스를 이 경우에 10 sccm의 유속 및 100 mTorr의 압력에서 시클로헥산으로 대체하였다. 사용된 공정 파라미터에 대한 요약은 표 1에 도시되어 있다. 본 발명에 사용된 가스는 약 99.99%이상의 순도를 지녔다. 비정질 탄소막은 약 215 Å/min의 속도로 기판위에 침착되었다.
(실시예 3)
다음의 예에서는 시클로헥산/헥사불화벤젠 가스 혼합물내에서 기상 증착에 의해 침착된 비정질 탄소막의 광학적 상수 n과 k를 어떻게 측정하는지를 예시한다. 이 측정 기법은 여러 다른 공정에 적용될 수 있으며 다음에 기술할 예에 한정되지 않는다.
광학적 상수는 n&k Technology, Santa Clara, CA에 의해 제조된 n&k 분석기를 사용하여 측정되었다. 이 기구 및 그 작동에 대한 설명은 1990년의 미국 특허 제 4,905,170에 나와 있다. 이 기구는 포로우이(Forouhi)와 블루머(Bloomer)(Phys. Rev. B, 38, pp. 1865-1874,1998)에 의해 도출된 광역 스펙트로포토메트리와 광학적 상수에 대한 방정식에 기반한 방법이다. 이들의 분석은 굴절율 n과 흡광율 k에 대한 물리학적 모델에 기반한 것으로서, 광범위한 반도체 및 유전체 막에 응용될 수 있으며 적외선 파장 영역에서 심층 자외선에 이르기까지 효과적이다.
n과 k는 파장 λ의 함수, 즉, n=n(λ)이고 k=k(λ)이다. 순수 결정 물질의 경우, n(λ)와 k(λ)는 고유하고, 결정을 포함하는 화학 원소에 의해 특징지워진다. 한편, 박막 재료의 경우 n(λ)와 k(λ)는 공정 조건에 의존할 것이다. 박막 침착에 대한 공정 조건이 변하면 박막의 미세 구조와 조성이 달라질 수 있다. 미세 구조와 조성의 변화는 박막의 n(λ)와 k(λ) 스펙트럼 값의 변화를 일으킨다.
어떤 물질의 n(λ)와 k(λ) 스펙트럼 값을 직접적으로 측정할 수는 없으나 반사도 측정치 R(λ)의 디컨벌루션(de-convolution)으로부터 결정된다. 이 측정가능한 양은 막 두께, 막의 광학적 상수, 기판의 성질에 의존한다. "n&k 방법"은 반사도 측정을 분리(uncouple)시키기 위한 정확하고 빠르며 비파괴적인 방식을 제공한다. 이론적인 반사도를 측정된 것과 비교하는 알고리듬이 생성될 수 있다. 이 막 두께 비교로부터 n(λ)와 k(λ)스펙트럼이 결정될 수 있다.
표 1은 실시예 2에서 기술한 n&k 분석기에 의해 측정된 기상 증착된 탄소 침착막의 n과 k값을 보여준다. 이 예에서 사용된 공정에서, n은 약 1.42에서 약 2.14사이로 조절될 수 있고 k는 약 0.14 내지 약 0.58사이로 조절될 수 있다. 불소를 플라즈마에 첨가하면 낮은 굴절율이 얻어진다. 불소를 제외시키면, 높은 굴절율이 얻어진다.
(실시예 4)
이 예는 조절가능한 탄소 ARC가 어떻게 층상화된 막 구조를 사용하여 제조될 수 있는가를 보여준다. 조절가능한 ARC는 하부에서 기판(Si 또는 SiO2)과 매칭되는굴절율과 포토 레지스트 상부에 매칭되는 굴절율을 가진다. 실제로, 낮은 n의 막을 제조하는 불화된 플라즈마가 하부층인 Si 또는 SiO2를 손상시킬 수 있기 때문에 박막 ARC 접착층은 가장 먼저 침착되어야 한다(도 4 참조). 이 조절가능한 ARC층의 광학적 상수와 층 두께는 ARC/레지스트 계면에서의 반사도를 최소화할 수 있는 방식으로 선택되어야 한다. 이를 위해, 예 1에서 기술한 바와 같이 248 nm(DUV)에서의 시뮬레이션이 수행되어야 한다. 이 시뮬레이션은 n=1.83이고 k=0.3인 15 nm두께의 접착층과, n=1.52이고 k=0.48인 저지수(low index) 물질과, 이 접착층과 동일한 광학적 상수를 지니는 상부층을 지니는 3 층 구조를 사용한다. 이 지수 1.52와 1.83은 각각 기판과 포토 레지스트 지수에 아주 가까이 매칭된다. 반사도 곡선은 접착층이 얇으면 크게 변하지는 않는다. 막 구조와 시뮬레이션은 도 4에 도시된다. ARC/레지스트 계면에서의 반사도는 서로 다른 상부 ARC층 두께에 대하여 불화된 ARC 두께의 함수로서 계산된다. 실선은 표 1의 공정 13에 도시되듯이, 불화되지 않은 단일 ARC에 대한 반사도를 나타낸다. 도 4는 조절가능한 ARC 반사도를 사용하여 단일층 ARC에 비해 편차가 평균 인자 4만큼 현저히 줄어듦을 보여준다.
조절가능한 ARC의 광학적 상수는 본 발명에 의해 구현된 공정으로부터 얻어졌다. 접착 및 상부 ARC층은 표 1의 공정 8에 대응한다. 불화 ARC 층은 표 1의 공정 2에 대응한다. 굴절율은 침착중에 가스 흐름 조건을 완만하게 변화시킴으로서(약 1.83 내지 약 1.52 까지) 완만하게 구배를 가질 수 있다. 구배를 줌으로써불화 ARC층과 상부 ARC층 및 접착 ARC층과의 계면에서의 내부 반사도를 최소화할 수 있다.
(실시예 5)
이 예는 Si기판위에 형성된 박막 구조에 대해 어떻게 반사도 변동 곡선이 생성될 수 있는가를 보여준다. 변동 곡선은 주기적인 반사도의 편차를 포토 레지스트의 두께에 대한 함수로서 측정한 것이다. 임계 치수(CD) 제어성은 변동 곡선의 진폭 편차에 직접적으로 비례한다. 따라서, 장치의 성능을 더욱 개선하기 위해서는 변동 곡선의 진폭을 줄일 필요가 있다.
이 예에서, 여러가지 포토 레지스트 두께가 표 1의 공정 10에 기술된 바와 같이 ARC 막 위의 Si 기판상에 스핀되었다. ARC 막은 85 nm의 두께를 가진다. 포토 레지스트는 DUV 레지스트(UV4)였는데, 이것은 쉬플리(Shiply)로부터 얻어졌고 컴퓨터 칩의 제조에 사용된다. 비슷한 광 특성을 갖는 다른 DUV 레지스트도 이 실험에 쓰여질 수 있고, 이 실험은 UV4 레지스트만을 사용하는 것으로 한정되지 않는다. 레지스트가 기판위에 스핀된 후, 90℃에서 110초 동안 구워진다.
서로 다른 포토 레지스트 두께에 대한 248 nm에서의 반사도를 n&k 분석기를 사용하여 측정하였다. 비교를 위해 BARC를 포함하지 않는 기판위에서도 UV4 레지스트 공정과 반사도 측정을 수행하였다. BARC를 사용함으로서 변동비는 ARC가 없는 조건의 Si기판에 비해 약 4배 만큼 줄어든다.
(실시예 6)
이 예는 디바이스 피쳐가 0.35 nm 이하로 줄어듦에 따라 탄소 ARC가 어떻게 이중 대머신 공정(dual Damascene process)에 사용될 수 있는가를 보여준다. 스핀온 ARC는 서브미크론 지형에 대해 부합적이지 않으므로 사용할 수 없다. 이중 대머신 공정에서, 금속선이 비아들과 함께 침착되고 그 다음, 예를 들어 화학 기계적 연마에 의해 평탄화된다.
도 6은 이중 대머신 공정에 대한 공정도를 도시한다. 먼저, SiO2와 같은 절연체가 평탄화된 금속선을 갖는 기판위에 침착되고 도 6a에 도시된 바와 같이 반응성 이온 식각(Reactive ion etching: RIE)에 의해 홈이 절연체위에 식각된다. 이전의 예에서 기술된 바와 같이, 탄소 ARC가 절연체 상부에 침착되고 포토 레지스트가 그위에 스핀된 후, 포토 마스크를 통해 DUV에 노광되고 현상된다(도 6b참조). BARC는 산소 또는 불소 플라즈마내에서 이온 식각된다. 그 다음, SiO2는 제 1 금속선이 노광된 상태로 남을 때까지 불소 플라즈마내에서 제거된다(도 6c참조). 포토 레지스트와 BARC는 산소 플라즈마내에서 벗겨진다(도 6d참조). 끝으로, 제 2 금속이 기판위에 침착되고 화학 기계적 연마에 의해 평탄화된다(도 6e, 6f참조).
상술한 공정은 낮은 굴절율(표 1에서 n이 1.5까지)의 불화막이 SiO2대신 절연체로서 사용되면 크게 단순화될 수 있다. 이 경우에, 불화탄소막은 BARC로서 역할을 하고 침착, RIE, ARC 제거를 포함하는 도 6의 단계는 제외된다.
상술한 바와 같이, 본 발명을 도면을 참조하여 설명하였지만 해당 기술분야의 숙련된 당업자라면 하기의 특허청구범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음은 물론이다.
상술한 바와 같이, 본 발명에 따르면, 비정질 탄소막에 기반한 조절 및 제거 가능한 반사 방지 코팅 방법 및 기상 증착된 하부 반사 방지 코팅 구조체가 제공 되는데, 이 막은 장치 지형에 매우 부합적으로 침착될 수 있으며 산소 및/또는 불소 이온 식각 공정에 의해 식각될 수 있으며 또한 그 고유한 특성으로 인해, UV와 DUV 파장에서, 조절 및 제거 가능한 반사 방지 코팅을 형성하여 레지스트/BARC 코팅 계면에서 거의 0에 가까운 반사도를 구현할 수 있다.

Claims (21)

  1. 다층 레지스트 시스템 구조체(a multilayer resist system structure)에 있어서,
    적어도 하나의 주 표면 위에 적어도 하나의 광특성 미세조절가능 반사 방지 코팅(BARC)을 구비하는 적어도 하나의 기판을 포함하고,
    상기 BARC는 광반응성 재료의 층으로 덮여 있으며,
    상기 기판은 반도체, 폴리머, 유리, 금속 그리고 이들의 임의의 조합, 자기 헤드, 전자 칩, 회로 기판, 반도체 디바이스로 이루어진 그룹으로부터 선택되며,
    상기 광반응성 재료는 건식 판 형태의 포토레지스트로 이루어져 있고, 상기 포토레지스트는 UV 파장 범위에 민감한 조성물, DUV 파장 범위에 민감한 조성물, UV 및 DUV 파장 범위에 민감한 조성물, 이들의 조합으로 이루어진 그룹으로부터 선택된 것이고,
    상기 BARC는 다이아몬드형 탄소(Diamond-like carbon: DLC), 불화 다이아몬드형 탄소(Fluorinated diamond-like carbon: FDLC), 불화/수소화된 다이아몬드형 탄소(Fluorinated hydrogenated diamond-like carbon: FHDLC), 질화 다이아몬드형 탄소(Nitrogenated diamond-like carbon: NDLC), 비정질 불화 수소화 탄소, 비정질 불화탄소, 불화 4면체 탄소, 비정질 질화탄소, 비정질 질화 수소화 탄소, 질화 4면체 탄소, 이들의 조합물로 이루어진 그룹으로부터 선택된 기상 증착된 재료이며,
    상기 BARC 막은 굴절율(an index of refraction)이 약 1.4 내지 약 2.1에 이르고 흡광율(an extinction coefficient)이 약 0.1 내지 약 0.6에 이르는 광학 상수를 가지며,
    상기 기판과 상기 광반응성 재료 사이에 배치된 상기 BARC의 상기 굴절율과 상기 흡광율은 각각의 해당 계면에서 상기 광반응성 재료와 상기 기판의 그것들과 실질적으로 매칭되도록 미세조절된 것인
    다층 레지스트 시스템 구조체.
  2. 제 1 항에 있어서,
    상기 BARC의 변동비의 감소는 적어도 약 4인 다층 레지스트 시스템 구조체.
  3. 제 1 항에 있어서,
    상기 광반응성 재료 및 상기 BARC의 계면에서의 반사도가 거의 0인 다층 레지스트 시스템 구조체.
  4. 제 1 항에 있어서,
    상기 BARC는 두께가 균일하고 광학적으로 불균일하며 굴절율의 구배를 지니는 다층 레지스트 시스템 구조체.
  5. 제 1 항에 있어서,
    상기 기판과의 계면에서의 상기 BARC의 굴절율은, 상기 기판의 그것과 매칭되도록 약 1.5로 미세조절되며, 상기 포토레지스트와의 계면에서의 상기 BARC 굴절율은 상기 포토레지스트의 그것과 매칭되도록 1.8로 미세조절되는 다층 레지스트 시스템 구조체.
  6. 제 1 항에 있어서,
    상기 기상 증착된 재료는 산소, 실리콘, 이들의 혼합물로 이루어진 그룹중에서 선택된 도펀트를 포함하는 다층 레지스트 시스템 구조체.
  7. 제 1 항에 있어서,
    상기 기상 증착된 재료는 산소, 불소, 이들의 혼합물로 이루어진 그룹중에서 선택된 가스 내에서 반응성 이온 식각에 의해 패터닝할 수 있고 제거할 수 있는 다층 레지스트 시스템 구조체.
  8. 제 6 항에 있어서,
    균일한 두께를 갖는 단일층 막을 포함하며, 상기 단일층막은 365, 248, 193 nm 파장에서, 약 1.42 내지 약 2.1에 이르는 굴절율과 약 0.3 내지 약 0.6에 이르는 흡광율을 가지는 다층 레지스트 시스템 구조체.
  9. 2 층 구조체에 있어서,
    약 1.5의 굴절율, 약 0.5의 흡광율, 약 100 Å 내지 약 1000 Å의 두께를 가지는 BARC의 제 1 층이 기판의 제 1 주 표면 위에 증착되고,
    상기 BARC는 다이아몬드형 탄소(Diamond-like carbon: DLC), 불화 다이아몬드형 탄소(Fluorinated diamond-like carbon: FDLC), 불화/수소화된 다이아몬드형 탄소(Fluorinated hydrogenated diamond-like carbon: FHDLC), 질화 다이아몬드형 탄소(Nitrogenated diamond-like carbon: NDLC), 비정질 불화 수소화 탄소, 비정질 불화탄소, 불화 4면체 탄소, 비정질 질화탄소, 비정질 질화 수소화 탄소, 질화 4면체 탄소, 이들의 조합물로 이루어진 그룹으로부터 선택된
    2 층 구조체.
  10. 제 9 항에 있어서,
    상기 BARC 재료는 산소, 실리콘, 이들의 혼합물로 이루어진 그룹으로부터 선택된 도펀트를 포함하는 2층 구조체.
  11. 제 9 항에 있어서,
    약 1.8의 굴절율과 약 0.3의 흡광율을 가지며 약 200 Å 내지 약 1000Å사이의 두께를 가지는 BARC의 제 2 층이 상기 기판의 제 2 주 표면 위에 증착되고, 상기 제 2 층은 반응성 이온 식각에 의해 제거 가능한 광반응성 재료로 덮여있는 2층 구조체.
  12. 다층 구조체에 있어서,
    적어도 하나의 BARC 층내의 굴절율이 그 층의 두께 방향으로 최소값과 최대값 사이에서 완만하게 구배를 가지며,
    상기 BARC는 다이아몬드형 탄소(Diamond-like carbon: DLC), 불화 다이아몬드형 탄소(Fluorinated diamond-like carbon: FDLC), 불화/수소화된 다이아몬드형 탄소(Fluorinated hydrogenated diamond-like carbon: FHDLC), 질화 다이아몬드형 탄소(Nitrogenated diamond-like carbon: NDLC), 비정질 불화 수소화 탄소, 비정질 불화탄소, 불화 4면체 탄소, 비정질 질화탄소, 비정질 질화 수소화 탄소, 질화 4면체 탄소, 이들의 조합물로 이루어진 그룹으로부터 선택된
    다층 구조체.
  13. 제 12 항에 있어서,
    상기 적어도 하나의 BARC 층의 굴절율은 약 20 Å 내지 약 300 Å사이의 균일한 층두께에 걸쳐서, 약 1.8 내지 약 2.0 사이에서 완만하게 구배를 가지는 다층 구조체.
  14. 제 12 항에 있어서,
    약 1.5의 굴절율과 약 0.5의 흡광율을 가지며 약 100 Å 내지 약 1000Å사이의 두께를 가지는 적어도 하나의 추가의 BARC 층을 포함하는 다층 구조체.
  15. 제 12 항에 있어서,
    약 1.8의 굴절율과 약 0.3의 흡광율을 가지며 약 100 Å 내지 약 1000Å사이의 두께를 가지는 적어도 하나의 추가적인 BARC 층을 포함하는 다층 구조체.
  16. 제 12 항에 있어서,
    굴절율이 약 1.5 내지 약 1.8 사이에서 완만하게 구배를 가지는 적어도 하나의 BARC 층을 포함하는 다층 구조체.
  17. 기판 위에 건식 증착(dry deposited) BARC 막을 제조하기 위한 제조 방법에 있어서,
    a) 상기 BARC 막을 증착하기 위해, 챔버의 전극 위에 상기 기판을 탑재하는 단계와,
    b) 상기 챔버내의 가스를 배출시키는 단계와,
    c) 상기 기판을 가열하고 미리 세정하는 단계와,
    d) 건식 증착 BARC 생성 가스를 유입시키는 단계와,
    e) 20 내지 2000 Å/min의 속도로 BARC를 증착하기에 충분한 소정의 전력 밀도 및 시간 동안의 증착 중 상기 기판에 rf 바이어스를 인가하여, 상기 BARC 층의 총 두께가 100 내지 8000 Å가 되도록 하는 단계와,
    f) 사용된 특정 유형의 챔버에 대한 기지(旣知)의 수단에 의해 상기 챔버로부터 코팅된 기판을 제거하는 단계를 포함하는
    건식 증착 BARC막 제조 방법.
  18. 제 17 항에 있어서,
    상기 기상 증착 BARC 생성 가스를 유입시키는 단계는 헥사불화벤젠(Hexa fluorobenzene: HFB) 가스를 유입시키는 단계를 포함하는 건식 증착 BARC막 제조 방법.
  19. 제 18 항에 있어서,
    상기 HFB 가스 유입 단계는 여러 탄화수소 가스를 유입시키는 단계와, 각각의 탄화수소 가스의 상대적인 양을 HFB의 상대적인 증가에 따라 상기 BARC에서의 굴절율이 높아지도록 조절하는 단계를 포함하는 건식 증착 BARC막 제조 방법.
  20. 제 19 항에 있어서,
    증착 중에 수소, 산소, 이들의 조합으로 이루어진 그룹으로부터 선택된 도펀트 레벨의 가스를 상기 챔버 내로 유입시키는 단계를 더욱 포함하는 건식 증착 BARC막 제조 방법.
  21. a) 주 표면을 가지며 평탄화된 제 1 금속 패턴을 포함하는 기판을 마련하는 단계와,
    b) 상기 기판 위에 절연 물질을 침착하는 단계와,
    c) 상기 제 1 금속 패턴 위의 상기 절연 물질 내에 그루브를 반응성 이온 식각하는 단계와,
    d) BARC 층을 증착하는 단계와,
    e) 포토 레지스트층을 상기 BARC 층 위에 도포하고, 상기 포토 마스크를 통해 DUV에 노광시키고 현상시키는 단계와,
    f) 상기 금속 패턴 표면까지, 상기 BARC를 산소 또는 불소 플라즈마를 사용하여 반응성 이온 식각하고 불소 플라즈마를 사용하여 상기 절연 물질을 반응성 이온 식각하는 단계와,
    g) 산소 플라즈마 내에서 상기 포토 레지스트와 상기 잔류 BARC를 식각시키는 단계와,
    f) 제 2 금속층을 침착시키고 평탄화하는 단계를 포함하는
    이중 대머신 공정.
KR1019980016828A 1997-06-04 1998-05-11 조절 및 제거 가능한 플라즈마 침착 반사 방지 코팅 KR100332184B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US8/868,772 1997-06-04
US08/868,772 1997-06-04
US08/868,772 US6428894B1 (en) 1997-06-04 1997-06-04 Tunable and removable plasma deposited antireflective coatings

Publications (2)

Publication Number Publication Date
KR19990006430A KR19990006430A (ko) 1999-01-25
KR100332184B1 true KR100332184B1 (ko) 2002-08-21

Family

ID=25352286

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980016828A KR100332184B1 (ko) 1997-06-04 1998-05-11 조절 및 제거 가능한 플라즈마 침착 반사 방지 코팅

Country Status (7)

Country Link
US (1) US6428894B1 (ko)
JP (1) JP3004002B2 (ko)
KR (1) KR100332184B1 (ko)
CN (1) CN1130471C (ko)
MY (1) MY123962A (ko)
SG (1) SG115320A1 (ko)
TW (1) TW413855B (ko)

Families Citing this family (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6316167B1 (en) * 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
DE19937995C2 (de) * 1999-08-11 2003-07-03 Infineon Technologies Ag Verfahren zur Strukturierung einer organischen Antireflexionsschicht
US6331379B1 (en) * 1999-09-01 2001-12-18 Micron Technology, Inc. Photo-lithography process using multiple anti-reflective coatings
US20020086547A1 (en) * 2000-02-17 2002-07-04 Applied Materials, Inc. Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6599682B2 (en) 2000-04-26 2003-07-29 Tokyo Ohka Kogyo Co., Ltd. Method for forming a finely patterned photoresist layer
KR20020047879A (ko) * 2000-12-14 2002-06-22 엘지전자 주식회사 친수성 코팅막
US7132219B2 (en) * 2001-02-02 2006-11-07 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
JP3979138B2 (ja) * 2001-12-20 2007-09-19 住友電気工業株式会社 光アイソレータおよび偏光子
KR100734077B1 (ko) * 2001-12-20 2007-07-02 매그나칩 반도체 유한회사 반도체 소자의 제조방법
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US7097923B2 (en) * 2002-04-30 2006-08-29 Hitachi Global Storage Technologies Method for forming thin film heads using a tri-layer anti-reflection coating for photolithographic applications and a structure thereof
US6852474B2 (en) * 2002-04-30 2005-02-08 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US20030234371A1 (en) * 2002-06-19 2003-12-25 Ziegler Byron J. Device for generating reactive ions
US6927178B2 (en) * 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
US6884733B1 (en) 2002-08-08 2005-04-26 Advanced Micro Devices, Inc. Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation
US6989332B1 (en) 2002-08-13 2006-01-24 Advanced Micro Devices, Inc. Ion implantation to modulate amorphous carbon stress
US7521304B1 (en) 2002-08-29 2009-04-21 Advanced Micro Devices, Inc. Method for forming integrated circuit
US7084071B1 (en) 2002-09-16 2006-08-01 Advanced Micro Devices, Inc. Use of multilayer amorphous carbon ARC stack to eliminate line warpage phenomenon
US20040157430A1 (en) * 2003-02-07 2004-08-12 Asml Netherlands B.V. Methods and apparatus for processing semiconductor wafers with plasma processing chambers in a wafer track environment
US6750127B1 (en) * 2003-02-14 2004-06-15 Advanced Micro Devices, Inc. Method for fabricating a semiconductor device using amorphous carbon having improved etch resistance
US7015124B1 (en) 2003-04-28 2006-03-21 Advanced Micro Devices, Inc. Use of amorphous carbon for gate patterning
US7109101B1 (en) * 2003-05-06 2006-09-19 Amd, Inc. Capping layer for reducing amorphous carbon contamination of photoresist in semiconductor device manufacture; and process for making same
JP4209253B2 (ja) 2003-05-22 2009-01-14 忠弘 大見 フッ素添加カーボン膜の形成方法
US6972255B2 (en) * 2003-07-28 2005-12-06 Freescale Semiconductor, Inc. Semiconductor device having an organic anti-reflective coating (ARC) and method therefor
JP3857692B2 (ja) 2004-01-15 2006-12-13 株式会社東芝 パターン形成方法
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US7407893B2 (en) * 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US20050199585A1 (en) * 2004-03-12 2005-09-15 Applied Materials, Inc. Method of depositing an amorphous carbon film for metal etch hardmask application
US7079740B2 (en) * 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US20050255410A1 (en) * 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
JP2006039392A (ja) * 2004-07-29 2006-02-09 Sumitomo Electric Ind Ltd 薄膜と回折光学素子とそれらの製造方法
US7470633B2 (en) * 2004-08-09 2008-12-30 Asm Japan K.K. Method of forming a carbon polymer film using plasma CVD
US7504344B2 (en) * 2004-08-09 2009-03-17 Asm Japan K.K. Method of forming a carbon polymer film using plasma CVD
US7176130B2 (en) * 2004-11-12 2007-02-13 Freescale Semiconductor, Inc. Plasma treatment for surface of semiconductor device
KR100628029B1 (ko) * 2004-12-04 2006-09-26 주식회사 아이피에스 박막 증착 방법 및 이를 이용한 반도체 제조방법
JP2007224383A (ja) * 2006-02-24 2007-09-06 Tokyo Electron Ltd アモルファスカーボン膜の成膜方法、それを用いた半導体装置の製造方法、およびコンピュータ読取可能な記憶媒体
KR100734404B1 (ko) 2006-03-06 2007-07-03 (주) 디오브이 유기전계 발광소자 전처리 챔버용 진공장치
US7410915B2 (en) * 2006-03-23 2008-08-12 Asm Japan K.K. Method of forming carbon polymer film using plasma CVD
US20070275330A1 (en) * 2006-05-25 2007-11-29 International Business Machines Corporation Bottom anti-reflective coating
US20070286954A1 (en) * 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
US7867578B2 (en) * 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage
US20080153311A1 (en) * 2006-06-28 2008-06-26 Deenesh Padhi Method for depositing an amorphous carbon film with improved density and step coverage
US7776516B2 (en) * 2006-07-18 2010-08-17 Applied Materials, Inc. Graded ARC for high NA and immersion lithography
US7914974B2 (en) 2006-08-18 2011-03-29 Brewer Science Inc. Anti-reflective imaging layer for multiple patterning process
US7763404B2 (en) * 2006-09-26 2010-07-27 Tokyo Electron Limited Methods and apparatus for changing the optical properties of resists
US7555395B2 (en) * 2006-09-26 2009-06-30 Tokyo Electron Limited Methods and apparatus for using an optically tunable soft mask to create a profile library
US7300730B1 (en) * 2006-09-26 2007-11-27 Tokyo Electron Limited Creating an optically tunable anti-reflective coating
US7968473B2 (en) * 2006-11-03 2011-06-28 Applied Materials, Inc. Low temperature process for depositing a high extinction coefficient non-peeling optical absorber for a scanning laser surface anneal of implanted dopants
EP2088123A1 (en) * 2006-11-10 2009-08-12 Sumitomo Electric Industries, Ltd. Si-O CONTAINING HYDROGENATED CARBON FILM, OPTICAL DEVICE INCLUDING THE SAME, AND METHOD FOR MANUFACTURING THE Si-O CONTAINING HYDROGENATED FILM AND THE OPTICAL DEVICE
US20080160215A1 (en) * 2006-12-28 2008-07-03 Ball Aerospace & Technologies Corp. Contamination Resistant Surfaces
US8026040B2 (en) 2007-02-20 2011-09-27 Az Electronic Materials Usa Corp. Silicone coating composition
JP2010519398A (ja) 2007-02-27 2010-06-03 エイゼット・エレクトロニック・マテリアルズ・ユーエスエイ・コーポレイション ケイ素に基づく反射防止膜用組成物
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
US7638441B2 (en) * 2007-09-11 2009-12-29 Asm Japan K.K. Method of forming a carbon polymer film using plasma CVD
US20090090382A1 (en) * 2007-10-05 2009-04-09 Asm Japan K.K. Method of self-cleaning of carbon-based film
US20090093128A1 (en) * 2007-10-08 2009-04-09 Martin Jay Seamons Methods for high temperature deposition of an amorphous carbon layer
US20090179307A1 (en) * 2008-01-15 2009-07-16 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system employing feed-forward control
EP2245512B1 (en) 2008-01-29 2019-09-11 Brewer Science, Inc. On-track process for patterning hardmask by multiple dark field exposures
US7993462B2 (en) 2008-03-19 2011-08-09 Asm Japan K.K. Substrate-supporting device having continuous concavity
US20090269923A1 (en) * 2008-04-25 2009-10-29 Lee Sang M Adhesion and electromigration improvement between dielectric and conductive layers
US20090274974A1 (en) * 2008-04-30 2009-11-05 David Abdallah Spin-on graded k silicon antireflective coating
US7632549B2 (en) * 2008-05-05 2009-12-15 Asm Japan K.K. Method of forming a high transparent carbon film
US20090297731A1 (en) * 2008-05-30 2009-12-03 Asm Japan K.K. Apparatus and method for improving production throughput in cvd chamber
CN101640174B (zh) * 2008-07-31 2011-08-24 中芯国际集成电路制造(北京)有限公司 半导体结构的刻蚀方法和金属互连层的形成方法
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US20100104770A1 (en) * 2008-10-27 2010-04-29 Asm Japan K.K. Two-step formation of hydrocarbon-based polymer film
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
US20100291475A1 (en) * 2009-05-12 2010-11-18 Chenghong Li Silicone Coating Compositions
JP5387451B2 (ja) * 2010-03-04 2014-01-15 信越半導体株式会社 Soiウェーハの設計方法及び製造方法
JP5387450B2 (ja) 2010-03-04 2014-01-15 信越半導体株式会社 Soiウェーハの設計方法及び製造方法
US9125671B2 (en) 2010-04-29 2015-09-08 Dfine, Inc. System for use in treatment of vertebral fractures
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US8866271B2 (en) * 2010-10-07 2014-10-21 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing method, substrate processing apparatus and semiconductor device
US20120190216A1 (en) * 2011-01-20 2012-07-26 International Business Machines Corporation Annealing techniques for high performance complementary metal oxide semiconductor (cmos) device fabrication
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
US8679987B2 (en) * 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity
CN103594341A (zh) * 2012-08-14 2014-02-19 中芯国际集成电路制造(上海)有限公司 半导体结构及其掺杂方法、鳍式场效应管的形成方法
US9128384B2 (en) * 2012-11-09 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a pattern
CN103325709B (zh) * 2013-05-28 2016-08-10 上海华力微电子有限公司 一种无氮介质抗反射层的离线检测方法
WO2016179023A1 (en) * 2015-05-01 2016-11-10 Adarza Biosystems, Inc. Methods and devices for the high-volume production of silicon chips with uniform anti-reflective coatings
CN109862834B (zh) 2016-10-27 2022-05-24 Dfine有限公司 具有接合剂递送通道的可弯曲的骨凿
AU2017363356B2 (en) 2016-11-28 2023-02-09 Dfine, Inc. Tumor ablation devices and related methods
EP3551100B1 (en) 2016-12-09 2021-11-10 Dfine, Inc. Medical devices for treating hard tissues
WO2018129180A1 (en) 2017-01-06 2018-07-12 Dfine, Inc. Osteotome with a distal portion for simultaneous advancement and articulation
US11937864B2 (en) 2018-11-08 2024-03-26 Dfine, Inc. Ablation systems with parameter-based modulation and related devices and methods
WO2021150470A1 (en) * 2020-01-22 2021-07-29 IntriEnergy Inc. Method of forming anti-reflection coatings

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3706485A (en) * 1970-02-04 1972-12-19 Rank Organisation Ltd Multi-layer anti-reflection coatings using intermediate layers having monotonically graded refractive index
JPS59168637A (ja) * 1983-03-15 1984-09-22 Nec Corp 微細パタ−ンの形成方法
JPS62169446A (ja) * 1986-01-22 1987-07-25 Hitachi Micro Comput Eng Ltd 半導体装置とその製造方法
JPH0963928A (ja) * 1995-08-25 1997-03-07 Nippon Telegr & Teleph Corp <Ntt> 光リソグラフィ用反射防止膜およびその製造方法並びにその使用方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0612452B2 (ja) * 1982-09-30 1994-02-16 ブリュ−ワ−・サイエンス・インコ−ポレイテッド 集積回路素子の製造方法
US4698256A (en) * 1984-04-02 1987-10-06 American Cyanamid Company Articles coated with adherent diamondlike carbon films
CA1255142A (en) * 1985-03-11 1989-06-06 Edward C. Fredericks Method and composition of matter for improving conductor resolution in microelectronic circuits
US4898798A (en) * 1986-09-26 1990-02-06 Canon Kabushiki Kaisha Photosensitive member having a light receiving layer comprising a carbonic film for use in electrophotography
US5080455A (en) * 1988-05-17 1992-01-14 William James King Ion beam sputter processing
US5266409A (en) * 1989-04-28 1993-11-30 Digital Equipment Corporation Hydrogenated carbon compositions
JPH0817092B2 (ja) * 1989-11-21 1996-02-21 株式会社リコー 電極用基材及びその製造方法
KR950011563B1 (ko) * 1990-11-27 1995-10-06 가부시끼가이샤 도시바 반도체장치의 제조방법
US5159508A (en) * 1990-12-27 1992-10-27 International Business Machines Corporation Magnetic head slider having a protective coating thereon
JP2791525B2 (ja) * 1992-04-16 1998-08-27 三菱電機株式会社 反射防止膜の選定方法およびその方法により選定された反射防止膜
US5294518A (en) * 1992-05-01 1994-03-15 International Business Machines Corporation Amorphous write-read optical storage memory
JP2829555B2 (ja) * 1992-08-20 1998-11-25 三菱電機株式会社 微細レジストパターンの形成方法
US5470661A (en) * 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US5656128A (en) * 1993-03-26 1997-08-12 Fujitsu Limited Reduction of reflection by amorphous carbon
US5688608A (en) * 1994-02-10 1997-11-18 Industrial Technology Research Institute High refractive-index IR transparent window with hard, durable and antireflective coating
JP3441011B2 (ja) * 1994-03-18 2003-08-25 富士通株式会社 アモルファスカーボンを用いた半導体装置製造方法
US5559367A (en) * 1994-07-12 1996-09-24 International Business Machines Corporation Diamond-like carbon for use in VLSI and ULSI interconnect systems
US5462784A (en) * 1994-11-02 1995-10-31 International Business Machines Corporation Fluorinated diamond-like carbon protective coating for magnetic recording media devices
TW366367B (en) * 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
DE69625679T2 (de) * 1995-10-26 2003-11-06 Koninkl Philips Electronics Nv Verfahren zur herstellung einer halbleiteranordnung
US5968324A (en) * 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3706485A (en) * 1970-02-04 1972-12-19 Rank Organisation Ltd Multi-layer anti-reflection coatings using intermediate layers having monotonically graded refractive index
JPS59168637A (ja) * 1983-03-15 1984-09-22 Nec Corp 微細パタ−ンの形成方法
JPS62169446A (ja) * 1986-01-22 1987-07-25 Hitachi Micro Comput Eng Ltd 半導体装置とその製造方法
JPH0963928A (ja) * 1995-08-25 1997-03-07 Nippon Telegr & Teleph Corp <Ntt> 光リソグラフィ用反射防止膜およびその製造方法並びにその使用方法

Also Published As

Publication number Publication date
TW413855B (en) 2000-12-01
US6428894B1 (en) 2002-08-06
JPH118248A (ja) 1999-01-12
CN1204698A (zh) 1999-01-13
JP3004002B2 (ja) 2000-01-31
MY123962A (en) 2006-06-30
KR19990006430A (ko) 1999-01-25
SG115320A1 (en) 2005-10-28
CN1130471C (zh) 2003-12-10

Similar Documents

Publication Publication Date Title
KR100332184B1 (ko) 조절 및 제거 가능한 플라즈마 침착 반사 방지 코팅
JP3117429B2 (ja) 多層基板構造
US6514667B2 (en) Tunable vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and applications thereof
US7470611B2 (en) In situ deposition of a low K dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6951826B2 (en) Silicon carbide deposition for use as a low dielectric constant anti-reflective coating
JP2553310B2 (ja) 基板上にダイアモンド状カーボン・フィルムを付着する方法
TW201730682A (zh) 低粗糙度之極紫外光微影技術
KR20000067643A (ko) 하이드로 카본계의 가스를 이용한 반사방지막의 형성 및 적용방법
US20040072081A1 (en) Methods for etching photolithographic reticles
KR20060127250A (ko) 금속 에칭 하드마스크 분야용 비정질 탄소막 증착 방법
US7175966B2 (en) Water and aqueous base soluble antireflective coating/hardmask materials
US6534417B2 (en) Method and apparatus for etching photomasks
US7291446B2 (en) Method and system for treating a hard mask to improve etch characteristics
Callegari et al. Optical properties of hydrogenated amorphous‐carbon film for attenuated phase‐shift mask applications
US7115523B2 (en) Method and apparatus for etching photomasks
KR100715530B1 (ko) 비정질 탄소막의 제조 방법 및 이를 적용한 반도체 소자의제조 방법
JP2002526804A (ja) 低誘電率反射防止被膜に用いるシリコンカーバイドの堆積

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee