CN1204698A - 可调且可去除的等离子体淀积的抗反射涂层 - Google Patents
可调且可去除的等离子体淀积的抗反射涂层 Download PDFInfo
- Publication number
- CN1204698A CN1204698A CN98107775A CN98107775A CN1204698A CN 1204698 A CN1204698 A CN 1204698A CN 98107775 A CN98107775 A CN 98107775A CN 98107775 A CN98107775 A CN 98107775A CN 1204698 A CN1204698 A CN 1204698A
- Authority
- CN
- China
- Prior art keywords
- barc
- substrate
- specific refractory
- refractory power
- layer
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 239000006117 anti-reflective coating Substances 0.000 title abstract description 4
- 229910052799 carbon Inorganic materials 0.000 claims abstract description 27
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims abstract description 26
- 230000008033 biological extinction Effects 0.000 claims abstract description 22
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims abstract description 19
- 229910052760 oxygen Inorganic materials 0.000 claims abstract description 19
- 239000001301 oxygen Substances 0.000 claims abstract description 19
- 239000011248 coating agent Substances 0.000 claims abstract description 13
- 238000000576 coating method Methods 0.000 claims abstract description 13
- 239000004065 semiconductor Substances 0.000 claims abstract description 6
- 239000000758 substrate Substances 0.000 claims description 81
- 239000010408 film Substances 0.000 claims description 73
- 230000003287 optical effect Effects 0.000 claims description 51
- 239000007789 gas Substances 0.000 claims description 38
- 238000006243 chemical reaction Methods 0.000 claims description 32
- 239000004215 Carbon black (E152) Substances 0.000 claims description 22
- 229930195733 hydrocarbon Natural products 0.000 claims description 22
- 150000002430 hydrocarbons Chemical class 0.000 claims description 22
- 239000001257 hydrogen Substances 0.000 claims description 21
- 229910052739 hydrogen Inorganic materials 0.000 claims description 21
- 238000007740 vapor deposition Methods 0.000 claims description 21
- 239000000203 mixture Substances 0.000 claims description 18
- 238000005516 engineering process Methods 0.000 claims description 17
- 239000000463 material Substances 0.000 claims description 17
- 230000008859 change Effects 0.000 claims description 14
- 229910052731 fluorine Inorganic materials 0.000 claims description 11
- 239000011737 fluorine Substances 0.000 claims description 11
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims description 10
- 238000005137 deposition process Methods 0.000 claims description 10
- 239000002184 metal Substances 0.000 claims description 10
- 238000001020 plasma etching Methods 0.000 claims description 9
- 230000000295 complement effect Effects 0.000 claims description 8
- 150000002431 hydrogen Chemical class 0.000 claims description 8
- 238000000151 deposition Methods 0.000 claims description 6
- 238000002360 preparation method Methods 0.000 claims description 6
- 229910052710 silicon Inorganic materials 0.000 claims description 6
- 239000010703 silicon Substances 0.000 claims description 6
- 150000001721 carbon Chemical class 0.000 claims description 5
- 230000008021 deposition Effects 0.000 claims description 5
- 125000001153 fluoro group Chemical group F* 0.000 claims description 5
- 238000011161 development Methods 0.000 claims description 2
- 239000011521 glass Substances 0.000 claims description 2
- 238000005984 hydrogenation reaction Methods 0.000 claims description 2
- 230000010355 oscillation Effects 0.000 claims description 2
- 238000005546 reactive sputtering Methods 0.000 claims description 2
- 239000010409 thin film Substances 0.000 claims description 2
- 239000011810 insulating material Substances 0.000 claims 3
- 230000035945 sensitivity Effects 0.000 claims 3
- 238000010438 heat treatment Methods 0.000 claims 2
- 238000009434 installation Methods 0.000 claims 1
- 230000035515 penetration Effects 0.000 claims 1
- 239000000126 substance Substances 0.000 claims 1
- 238000000034 method Methods 0.000 abstract description 27
- 229910003481 amorphous carbon Inorganic materials 0.000 abstract description 23
- 230000008569 process Effects 0.000 abstract description 14
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 abstract 1
- 238000012876 topography Methods 0.000 abstract 1
- 239000010410 layer Substances 0.000 description 54
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 16
- 229920000642 polymer Polymers 0.000 description 15
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 14
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 13
- 238000012545 processing Methods 0.000 description 12
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 11
- 239000012528 membrane Substances 0.000 description 10
- 238000004528 spin coating Methods 0.000 description 9
- 229910052786 argon Inorganic materials 0.000 description 8
- 229910052757 nitrogen Inorganic materials 0.000 description 8
- 229910052734 helium Inorganic materials 0.000 description 7
- 239000001307 helium Substances 0.000 description 7
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 7
- 150000001412 amines Chemical class 0.000 description 6
- 238000004088 simulation Methods 0.000 description 6
- 238000004519 manufacturing process Methods 0.000 description 5
- 229910004298 SiO 2 Inorganic materials 0.000 description 4
- 150000001875 compounds Chemical class 0.000 description 4
- 230000009977 dual effect Effects 0.000 description 4
- 238000002310 reflectometry Methods 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- HSFWRNGVRCDJHI-UHFFFAOYSA-N alpha-acetylene Natural products C#C HSFWRNGVRCDJHI-UHFFFAOYSA-N 0.000 description 3
- 239000003153 chemical reaction reagent Substances 0.000 description 3
- 230000008878 coupling Effects 0.000 description 3
- 238000010168 coupling process Methods 0.000 description 3
- 238000005859 coupling reaction Methods 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 125000002534 ethynyl group Chemical group [H]C#C* 0.000 description 3
- 238000005259 measurement Methods 0.000 description 3
- 239000000376 reactant Substances 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 238000002474 experimental method Methods 0.000 description 2
- 238000007373 indentation Methods 0.000 description 2
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 230000001105 regulatory effect Effects 0.000 description 2
- 229930195734 saturated hydrocarbon Natural products 0.000 description 2
- 239000002356 single layer Substances 0.000 description 2
- 238000001228 spectrum Methods 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- 238000004458 analytical method Methods 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 125000004432 carbon atom Chemical group C* 0.000 description 1
- 229910052729 chemical element Inorganic materials 0.000 description 1
- 230000001143 conditioned effect Effects 0.000 description 1
- 238000010790 dilution Methods 0.000 description 1
- 239000012895 dilution Substances 0.000 description 1
- 238000003682 fluorination reaction Methods 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 239000000446 fuel Substances 0.000 description 1
- 239000008246 gaseous mixture Substances 0.000 description 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 230000003278 mimic effect Effects 0.000 description 1
- 229940110728 nitrogen / oxygen Drugs 0.000 description 1
- 238000005457 optimization Methods 0.000 description 1
- 238000006213 oxygenation reaction Methods 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 239000004033 plastic Substances 0.000 description 1
- 229920003023 plastic Polymers 0.000 description 1
- 230000000750 progressive effect Effects 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 229920006395 saturated elastomer Polymers 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000002798 spectrophotometry method Methods 0.000 description 1
- 238000000992 sputter etching Methods 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 229930195735 unsaturated hydrocarbon Natural products 0.000 description 1
- 238000003079 width control Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/26—Deposition of carbon only
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/09—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
- G03F7/091—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02115—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
- H01L21/0276—Photolithographic processes using an anti-reflective coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/312—Organic layers, e.g. photoresist
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/312—Organic layers, e.g. photoresist
- H01L21/3127—Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/24—Structurally defined web or sheet [e.g., overall dimension, etc.]
- Y10T428/24942—Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/24—Structurally defined web or sheet [e.g., overall dimension, etc.]
- Y10T428/24942—Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
- Y10T428/2495—Thickness [relative or absolute]
- Y10T428/24967—Absolute thicknesses specified
- Y10T428/24975—No layer or component greater than 5 mils thick
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/26—Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
- Y10T428/263—Coating layer not in excess of 5 mils thick or equivalent
- Y10T428/264—Up to 3 mils
- Y10T428/265—1 mil or less
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/30—Self-sustaining carbon mass or layer with impregnant or other layer
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Inorganic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Architecture (AREA)
- Plasma & Fusion (AREA)
- Structural Engineering (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Chemical Vapour Deposition (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
公开了气相淀积的BARC和制备基于非晶碳薄膜的可调且可去除的抗反射涂层的方法。这些薄膜可以是氢化的、氟化的、氮化的碳薄膜。该薄膜具有在UV和DUV波长,具体地365、248和193nm波长,分别从约1.4到约2.1和从约0.1到约0.6可调的折射率和消光系数。此外,本发明制备的薄膜可以高的保形性淀积于器件形貌上,且它们可用氧和/或氟离子刻蚀工艺刻蚀掉。因为它们独特的特性,这些薄膜可被用来在UV和DUV波长形成可调的且可去除的抗反射涂层,以在光致抗蚀剂层/BARC涂层界面达到接近零的反射系数。该BARC极大地改进了半导体芯片的性能。
Description
本发明涉及制备基于非晶碳薄膜的可调的且可去除的抗反射涂层的方法。
由于逻辑和存储芯片的特征尺度缩小到小于0.35μm,临界尺寸(CD)公差变得更加严格。CD的变化与衬底的反射直接相关,反射在DUV(深紫外光)波长(365,248,193nm)急剧增大。由于产生驻波效应和对光致抗蚀剂的刻痕,衬底反射是非常不好的。驻波是薄膜干涉(TFI)或贯穿抗蚀剂全厚度的光强的周期性变化。这些光强的变化是由于抗蚀剂平面化随下层形貌厚度不同而产生的。刻痕由衬底形貌和不均匀的衬底反射所造成,它将导致光致抗蚀剂层曝光能量的局域不同。为了有效地减弱这一反射,采用“旋涂”ARC和干法淀积抗反射涂层(ARC)。
在衬底/光致抗蚀剂层界面上形成的抗反射涂层被称作底ARC(BARC)。各类旋涂BARC被广泛地应用于计算机芯片的制造,但它们存在严重的局限性。例如,它们的光可调性差,这意味着它们的折射率n和消光系数k不能精确地调到与光致抗蚀剂层和衬底的光学性能相匹配。此外,随着特征尺寸缩小到四分之一微米,这些薄膜不能在底层形貌上保形淀积。对目前使用的旋涂BARC的综述见于Semiconductor International,July 1996,169-175页上,Ruth deJule所写的“Resist Enhancement with Antireflective Coatings”。
为了克服这些问题,正在研究各类气相淀积BARC。这些薄膜优选地由等离子体增强化学气相淀积所淀积。因此,它们可以克服在底层形貌上保形淀积。它们的光学性能也远优于各类旋涂BARC的光学性能。应用材料公司(Applied Material Co.)提出了一种作为DUV BARC的氮氧化硅气相淀积,发表在Solid State Technology,62页,July1996,题目为“Applied Developed ARC Using Silane-based CVD”。这些薄膜确实具有好的光学可调性,即,通过改变加工条件可以变化n和k,但是在DUV曝光后它们的去除可能是困难的。用于去除SiN薄膜的氟化学试剂会刻蚀下面的衬底。
F.D.Bailey等人(美国专利#5,569,501),提出使用利用气相淀积从碳氢化合物氦等离子体淀积非晶的氢化碳薄膜(α-C:H)作为BARC。发现这些薄膜显示出优于旋涂ARC薄膜的光刻性能,而且它们能够在氧等离子体中容易地去除且不会损害下面的结构。然而,折射率n不能通过改变加工条件在宽的数值范围内调节。
本发明的一个目的是通过气相淀积提供非晶碳薄膜,它们具有形成DUV(365,248,193nm)BARC所要求的光学特性。本方法可容易地推广到目前应用在半导体工业中的制造方法中。
本发明的另一个目的是通过气相淀积在氩/碳氢化合物/氦/氢气/碳氟化合物/氮气/氧气的混合物中实现淀积,优选地碳氢化合物是环已胺或乙炔而碳氟化合物优选的是六氟苯。通过限制或排除等离子体室中的碳氟化合物(HFB)流可得到较高的折射率,同样通过增加HFB流和限制或排除碳氢化合物气流可得到较低的折射率。较好的光学可调性可通过使用适当氮气和/或氧气的流量来得到。氢气用于调节光学特性还用于改进薄膜的耐久性。
本发明的另一个目的是提供一种利用气相淀积在光致抗蚀剂层/衬底界面上淀积用作BARC具有优化了的光学特性以减小反射的薄膜结构的方法。加工气体化学试剂和加工参数通常被特殊优化以得到预选的光学特性。
本发明的另一个目的是提供一种利用气相淀积来淀积具有能够精细地调节的光学特性通过从ARC底层到顶层连续地改变折射率n建立一种梯度效应的薄膜结构的方法。更重要的是,如果ARC层的n优选地与邻近层相匹配的话,光致抗蚀剂层/ARC界面上将没有反射,极大地改进CD控制。
本发明总体方案是具有可调的光学特性的α-C:X:H薄膜的气相淀积,其中X是氟,氮,氧,或硅,或它们的组合。
本发明的更具体方案是利用气相淀积从碳氢化合物/碳氟化合物/氢等离子体淀积氢化的碳薄膜,任选地保留少量的氮和/或氧,例如每次淀积大约1标准ccm。这里制成的薄膜能够被调节与衬底的光学特性在365,248,193nm相匹配,使得它们可有益于底抗反射涂层。此外,与其它人研究的一些薄膜不同,本发明制备的薄膜能够在形貌上保形地淀积,并还能够在氧和/或氟反应离子刻蚀过程中容易地去除,因此便于芯片制造中的构图。
本发明的另一个更具体方案是利用气相淀积来淀积非晶碳薄膜的工艺,它包括如下步骤:碳氢化合物、碳氟化合物和氢气与少量的氧和/或氮气混合;提供容纳阴极和衬底的反应室;引入上述反应气体到反应室中;和施加rf偏压到阴极上以引发等离子体并利用气相淀积在衬底上淀积α-C:X:H薄膜。
更具体地,本发明提供一种利用包括六氟苯、氢、环已胺和乙炔的混合气体来淀积非晶碳薄膜的方法,混合气体可以用或可以不用氦和/或氩气稀释,通过气相淀积反应淀积薄膜。通过采用这一方法,反射率n和消光系数k可在UV和DUV波长被独立地任意地调节。更具体地,UV和DUV反射率n和消光系数k可在365、248、193nm分别地从约1.40到约2.1和从约0.1到约0.6调节。因此,这些薄膜满足用于底抗反射涂层的全部要求,因为它们现在与衬底和光致抗蚀剂的光学特性都匹配了。
图1是用于实施本发明的气相淀积装置的简图。
图2(a)显示了底层形貌上的光致抗蚀剂层。标出了衬底和空气界面的反射系数;(b)显示了在衬底形貌上顶和底ARC的光致抗蚀剂层。
图3显示了对不同光学常数n和k的在光致抗蚀剂层/ARC界面上作为单层碳ARC厚度函数的模拟反射系数曲线。
图4显示了对可调的具有不同厚度顶层的三层ARC的在光致抗蚀剂层/ARC界面上作为氟化的碳ARC厚度函数的模拟反射系数曲线。
图5显示了根据表1过程10淀积的单层碳ARC的反射系数与光致抗蚀剂层厚度(振荡曲线)的关系图。
图6显示了在双镶嵌加工中碳ARC的应用。
本发明涉及从碳氢化合物和/或氟化的碳氢化合物(碳氟化合物)等离子体通过气相淀积被用作光学可调的底反射涂层的高质量的氢化/氟化的碳薄膜的制造方法。本发明制备的薄膜具有高度可调的折射率和消光系数,这些参数能够按照薄膜厚度任意地逐渐变化以与衬底和光致抗蚀剂层的光学特性相匹配。由本发明所制备的薄膜在UV和DUV的光学特性和光刻特征大大优于其它薄膜所得到的特征,如由应用材料公司(Applied Material Co.)提出或见于Bailey等人的美国专利#5,569,501的氮氧化硅。因此本发明的涂敷有非晶碳薄膜的Si衬底在光致抗蚀剂层/BARC界面对UV和DUV波长产生低的反射,大大地减少了薄膜干涉并减少了刻痕效应,并因此极大地改进CD控制。
图1是等离子体增强化学气相淀积装置8的简图,该装置被用于淀积本发明的非晶碳薄膜。该装置包括反应室10,具有节流阀11,它将反应室10和真空泵(未示出)隔开。阴极19被安装在反应室10上并用绝缘垫圈20与之隔离。阴极19具有电阻加热器17。衬底15被紧固在阴极19的内端。如这里所预期的,阴极19与可调的射频源14电连接,阴极19和射频源14之间的阻抗通过使用匹配箱13相匹配。电接地由连接到反应室10的平板16提供。
反应室10还包括导管20,21,22,23,24,25,以通过一喷头12将各种气体材料引入反应室10。例如,碳氢化合物气体和预先混合的碳氢化合物气体混合物被分别通过导管25和26引入反应室10。氟化的碳气体和氢气分别通过导管21和20引入反应室10。反应气体氧和氮被分别通过导管23和22引入反应室10,同时用于清洁衬底的氩气被通过导管24引入。
本发明使用的碳氢化合物气体可以是任何第一能够气化第二能够在本发明使用的反应条件下形成等离子体的碳氢化合物。碳氢化合物一词是指组成该化合物的分子仅仅是碳和氢原子。根据本发明的一个实施方案,本过程可以使用饱和的或不饱和的碳氢化合物。根据定义,饱和碳氢化合物是分子仅仅包括碳单键的化合物,而不饱和化合物是分子包括至少一个碳双键或三键的化合物。
在本发明一个具体的优选实施方案中,用于形成非晶碳薄膜的优选的反应碳氢化合物和碳氟化合物气体是环已胺和六氟苯(HFB),任意地在惰性气体中稀释。此外,应当认识到预计碳氢化合物气体的混合物例如环已胺/乙炔/甲烷也可作为本发明的反应碳氢化合物气体。
本发明使用的气体具有高于约95.5%的纯度。在优选实施方案中,气体具有约98.5%到99.99%的纯度。更优选地,气体具有高于99.99%的纯度。
碳氢化合物、碳氟化合物、氢、氦和氩气体被首先通过单独的流量控制器以充分的流量引入反应室,以提供从约1mTorr到1000mTorr的氩、氢、碳氢化合物、碳氟化合物和氦的总压强。为了提供更有效的非晶碳薄膜优选地氩、氢、碳氢化合物、氦的混合物的压强大约是1-500mTorr。上述条件可以通过在一个、两个或三个气缸中,以任何可能的组合预先混合氩、氢、碳氢化合物、碳氟化合物和氦来提供理想的气体浓度而得到。优选地氩、氢、碳氟化合物和碳氢化合物气体通过单独的流量流控制器引入反应室。
可用于涂敷本发明非晶碳薄膜的合适的衬底包括如下材料例如塑料;金属;各种玻璃;磁头;电子芯片;电路板;半导体器件等等。要涂敷的衬底可以是能够放入反应室装置的任何形状或尺寸。因此,任何尺寸的规则的或不规则形状的物体都可用在本发明中。优选地,衬底是用在半导体器件制造中的Si衬底。
衬底被固定在反应装置的反应溅射室内的阴极托架上。反应室被严格密封并抽真空到压力读数达到从约1×10-3到约1×10-7Torr范围内。
在反应室抽真空到上述范围的理想压力后,衬底被加热到从约25到约400℃的温度。优选地,衬底在整个淀积过程中在约50到约200℃的范围内保持恒温。
在淀积非晶碳薄膜之前,衬底材料可以利用也可以不利用反应室内的rf阴极进行rf溅射清洁。本发明中使用的合适的清洁工艺包括用氢、氩、氧、氮或其混合物的rf等离子体清洁,可以单独地进行或一系列合适的组合地进行。
在达到理想的抽气气压后,混合气体以约1到约1000sccm的流速被引入反应室。更具体地反应物气体的流速从约1到约100sccm,且氢气的流速从约1到约100sccm。最优选地,HFB气体的流速约4sccm且氢气的流速约10sccm。气体以约5到约200mTorr的压力引入反应室。最优选地混合物以约75mTorr的压力引入。
淀积过程中,rf偏压被施加在安装在阴极上的衬底上。在碳淀积过程中阴极的DC自偏压范围从约-10到约-1000Volt。该自偏压是由于对阴极施加rf电源而得到的。射频阻抗的匹配通过使用rf匹配箱得到。最优选地,在整个实验过程中衬底rf偏压保持在约-600V。施加在衬底上的功率通量可在从约0.005到约5W/cm2的范围内。最优选地,本发明中施加的功率通量在淀积过程中保持在约1.07W/cm2。
非晶碳薄膜以例如可以在衬底上连续涂敷薄膜的必要速率淀积在衬底上。更具体地,通过采用上面提到的操作参数,非晶碳薄膜以约20到2000A/min的速率淀积到衬底上。最优选地,在衬底上淀积非晶碳薄膜的速率是约215A/min。
根据本发明,淀积在衬底上的非晶碳薄膜的厚度在从约100到约8000A的范围。更优选地,非晶碳薄膜的厚度从约100到约1200A。要注意的是通过改变工艺参数例如偏压,气体流速,和气体压强,薄膜的光学常数可以改变。这里,薄膜的光学常数指的是折射率n和消光系数k。因此,非常可能仅仅通过增加或减少薄膜的氟和/或氢/氟比就可将衬底制得具有特定光学常数。利用本过程制备的作为抗反射涂层应用的非晶碳薄膜的优选的光学常数的范围在波长365,248和193nm时从约k=0.1到约k=0.6和约n=1.40到约n=2.1。
利用本发明制备的非晶碳薄膜能够在UV(365nm)和DUV(248和193nm)应用中用作理想的抗反射涂层(ARC)。比之氮化硅ARC而言,碳ARC的优点是碳可以利用氧等离子体容易地去除而不损坏下层,因此增强了集成电路的线宽控制和性能。相反地,用氟基化学试剂去除SiNARC有刻蚀的倾向且因此具有潜在的损坏器件性能的特性。
在ARC工艺中,为了改进临界尺寸(CD)控制并因此加强线宽控制,振荡比和振幅被减小。振荡比定义为:S=4(R1R2)0.5e-ad (1)a=4πk/λ
其中R1是光致抗蚀剂层/空气界面的反射系数,R2是光致抗蚀剂层/衬底界面的反射系数,a是吸收系数,d是光致抗蚀剂层厚度,k是消光系数以及λ是波长。在本发明中,我们主要考虑通过采用底ARC减小R2来降低振荡比。解释上述参数的重要性的图示于图2。通常,底ARC厚度被用这种方法计算即光致抗蚀剂层/BARC处的反射系数是最小值。为了实现这一点,对整个薄膜结构的光学常数的知识是必要的,以便计算对最小反射系数的精确ARC厚度。
通常ARC厚度d根据所使用的薄膜结构在约200到约1000A之间变化。消光系数k在约0.3到约0.6之间变化。更一般地,k值对DUV在约0.4到约0.5之间。折射率n在约1.4到约2.1之间变化。更一般地,n值对DUV在约1.52到约2.0之间。在淀积过程中通过向等离子体中加入少量的氟和氢得到较低的折射率,例如约1到约10sccm的氢和氟。
给出下面的例子用来说明本发明的范围。因为这些给出的例子仅仅是说明的目的,在这里,本发明的实施不局限于此。
例1
下面的例子说明了对两种不同的薄膜结构光致抗蚀剂层/BARC界面上反射系数R2的计算。运算采用了菲涅尔系数,可见于各类标准教科书例如<光学>(Optics),作者E.Hecht和A.Zajac,Wiley于1979年出版,第312和313页。这些模拟可被扩展到许多不同的结构且不局限于下面的两个例子。对结构的每一层指定光学常数。如果薄膜在DUV下是透明的或半透明的,则薄膜厚度需要被指定以便考虑薄膜内部多反射系数。
图3显示了在248nm对两种不同薄膜结构计算的作为ARC厚度函数的光致抗蚀剂层/ARC界面处的反射系数。对上部的结构,ARC直接淀积在Si上。对下部的结构ARC淀积在一层薄的SiO2薄膜上。相应的反射系数示于左侧。在这些模拟中消光系数k被保持恒定等于0.5。折射率n从约1.5到2.0变化。对直接淀积在Si上的ARC薄膜,根据反射率(图3上),厚度在约30到约60nm时得到最小反射系数。对淀积在SiO2薄膜上的ARC薄膜,根据反射率(图3下),厚度在约60到约90nm时出现最小反射系数。图3显示了出现于不同ARC厚度情况的最小反射系数,根据它可以使用薄膜组。因此,模拟对优化ARC特性是必要的。
光致抗蚀剂层和SiO2在248nm的光学常数根据例3中讨论的测量技术被选定。
例2
下面的例子用来说明利用气相淀积在六氟苯/氢气体混合物中,在衬底上,优选地为Si,淀积氢化的和氟化的非晶碳薄膜(用作ARC)的过程,具有与例1中所模拟的相类似的光学特性。
进行实验来在直径为五和八英寸的圆形Si衬底上淀积非晶碳薄膜。衬底,被预先清洁过,被(过滤过的)氮气吹干以便在放在图1中阴极19上之前去除残余颗粒。此后,系统被抽真空到基本压力读数为约1×10- 5Torr或更低。衬底在功率通量度0.4W/cm2、Ar压力100mTorr的情况下被溅射清洁1分钟以确保碳薄膜与Si衬底好的结合。非晶碳薄膜由六氟苯/氢气的混合物淀积流比量为4∶10。阴极功率通量为1.07W/cm2,产生-600Volt的负的自偏压,压力为100mTorr。在整个淀积过程中,衬底被保持在180℃。当非氟化的薄膜被淀积时,六氟苯/氢气被环已胺替换,在这种情况下,流速为10sccm且压力为100mTorr。工艺参数的概略示于表1。本过程中采用的气体具有高于99.99%的纯度。非晶碳薄膜以约215A/min的速率淀积在衬底上。
例3
下面的例子说明如何测量利用气相淀积在环已胺/六氟苯气体混合物中淀积的非晶碳薄膜的光学常数n和k。该测量技术可被应用到许多不同工艺中且并不局限于本例子的描述。
光学常数的测量可以使用加拿大Santa Clara n&k技术公司制造的n&k分析仪。这一装置的描述和操作见于美国专利No.4,905,170。该分析仪利用基于宽频分光光度测定法的方法和由Forouhi和Bloomer(Phys.Rev.B,38,pp.1865-1874,1988)推出的光学常数公式。他们的分析是基于一个关于折射率n和消光系数k的物理模型,该模型可用于许多半导体和电解质薄膜,对从深紫外到近红外波长范围是有效的。n和k都是光的波长λ的函数,即,n=n(λ)和k=k(λ)。对纯晶体金属,n(λ)和k(λ)的值是彼此不同的,且是组成该晶体的化学元素的特征量。另一方面,对材料薄膜,n(λ)和k(λ)的值会依赖工艺条件。如果淀积薄膜的工艺件改变,薄膜的微结构和组成会改变。微结构或组成的任何改变都会造成薄膜n(λ)和k(λ)谱的值的改变。
任何材料的n(λ)和k(λ)谱不能直接测量,但可由反射系数量度R(λ)的去旋(do-convolution)确定。这一可测的量依赖薄膜厚度,薄膜的光学常数和衬底的性质。“n&k方法”提供一种准确的、快速的、且非破坏性的方法来解决反射系数的测量。可由对理论的反射系数和测量值的比较来进行运算。由这一比较薄膜厚度,光谱的n(λ)和k(λ)可以确定。
表1显示了例2中所描述的由n&k分析仪测得的气相淀积碳淀积薄膜的n和k值。对本例子中所使用的过程,n可以从约1.42到约2.14调节,且k可以从约0.14到约0.58调节。当等离子体中加入氟时得到低的折射率。当没有氟时,得到较高的折射率。
例4
本例子描述如何能够利用分层的薄膜结构制备可调的碳ARC。可调的ARC具有与底部的衬底(Si或SiO2)匹配的折射率和与上部的光致抗蚀剂层匹配的折射率。事实上,薄ARC附着层必须被首先淀积,因为制备低n薄膜的氟化的等离子体会损坏下面的Si或SiO2(图4)。该可调ARC层的光学常数和层厚度必须通过减少ARC/光致抗蚀剂层界面上反射系数的方式选择。为了实现它,必须进行例1中描述的248nm(DUV)的模拟。这些模拟采用三层结构,带有15nm厚n=1.83且k=0.3的附着层,接着是n=1.52且k=0.48的低折射率层和与附着层光学常数相同的顶层。折射率1.52和1.83分别与衬底和光致抗蚀剂层的折射率严格匹配。如果附着层薄的话,反射系数曲线没有明显的变化。薄膜结构和模拟示于图4。ARC/光致抗蚀剂层界面的反射系数被当作对不同顶ARC层厚度的氟化ARC厚度的函数进行计算。实线表示表1中过程13所示的单非氟化ARC的反射系数。图4显示与单ARC层比较,通过采用可调的ARC反射系数,变化平均地以因子4显著地减小。
从本发明实际的加工过程可以得到可调的ARC的光学常数。附着层和顶ARC层对应于表1中的过程8。氟化ARC层对应于表1中的过程2。折射率可在淀积过程中通过光滑地改变气体流条件光滑地逐渐变化(从约1.83到约1.52)。建立一个渐变过程可减少带有顶ARC层和附着ARC层的氟化ARC层在界面处的内部反射系数。
例5
本例子说明如何产生形成在Si衬底上的薄膜结构的反射系数振荡曲线。振荡曲线是作为光致抗蚀剂层厚度的函数反射系数周期性变化的量度。临界尺寸(CD)控制正比于振荡曲线振幅的变化。因此,为了得到更好的器件性能有必要减少振荡曲线的振幅。
本例子中如表1过程10所描述的那样在Si衬底上在ARC薄膜上旋涂变化的光致抗蚀剂层厚度。ARC薄膜厚85nm。光致抗蚀剂层是DUV光致抗蚀剂层(UV4),来自Shipley,用于制造计算机芯片。具有类似光学特性的其它DUV光致抗蚀剂层也能用于本实验中,本例子并不仅仅局限于使用UV4光致抗蚀剂层。光致抗蚀剂层在衬底上旋涂后,在90℃烘110sec。
利用n&k分析仪测量不同光致抗蚀剂层厚度在248nm处的反射系数。作为比较,在不包括BARC的衬底上,UV4光致抗蚀剂层加工和反射系数的测量也被进行。图5显示结果。通过使用BARC,比之没有ARC的Si衬底振荡率减小4倍。
例6
本例子说明在器材特征尺寸减少到0.35nm以下时碳ARC如何用在双镶嵌加工中。不能使用旋涂ARC,因为它们在亚微形貌上不能保形。双镶嵌加工中,在加偏压的同时淀积金属然后平面化,例如,用化学-机械抛光。
图6显示了双镶嵌加工的流程简图。首先在包括平面化的金属线的衬底上淀积一个绝缘体如SiO2,然后在绝缘体上用反应离子刻蚀(RIE)刻出一个凹槽,像图6(a)所示的那样。如前面例子所描述的碳ARC被淀积在绝缘体的顶部,光致抗蚀剂层在顶部上旋涂,通过光掩模曝光DUV并显影(b)。BARC在氧或氟等离子体中反应离子刻蚀。然后在氟等离子体中去除SiO2直到第一金属线暴露(c)。光致抗蚀剂层和BARC被在氧等离子体中剥去。最后第二金属被淀积在衬底上并通过化学-机械抛光平面化(e和f)。
注意如果低折射率(n~1.5,表1)氟化的薄膜被用作绝缘体而不用SiO2层,则工艺可被极大地简化。在这种情况下氟化碳薄膜作为BARC,包括淀积、RIE、和ARC去除的图6的步骤都可减掉。
虽然本发明通过考虑这里的最佳的和不同的实施方案被具体地显示和描述,熟悉本领域的人将会理解可以进行不背离本发明精神和范围的形式上和细节上的发展和其它改变。
Claims (47)
- 权利要求书1.一种结构,包括至少一个衬底具有至少一个主要表面,至少一个精细的光学可调的抗反射涂层(BARC)。
- 2.根据权利要求1的结构,其特征在于BARC被一层光敏材料层涂敷。
- 3.根据权利要求1的结构,其特征在于衬底从一组材料中选择,包括半导体、聚合物、玻璃、金属及其任何一种组合,及磁头、电子芯片、电路板、和半导体器件。
- 4.根据权利要求1的结构,其特征在于BARC的摆动率减少至少约为4。
- 5.根据权利要求2的结构,其特征在于光敏材料包括干片形式的光致抗蚀剂层。
- 6.根据权利要求2的结构,其特征在于光敏材料和BARC界面反射系数接近0。
- 7.根据权利要求3的结构,其特征在于衬底是半导体,包括硅。
- 8.根据权利要求2的结构,其特征在于光致抗蚀剂层从一组材料中选择,包括对UV波长范围敏感的组合物,对DUV波长范围敏感的组合物,和对UV和DUV波长范围敏感的组合物。
- 9.一种抗反射涂层(BARC),置于衬底和光敏材料之间,其折射率和消光系数精细可调,以便与光敏材料和衬底的折射率和消光系数在各自的界面上相匹配。
- 10.根据权利要求9的BARC,其特征在于包括气相淀积材料。
- 11.根据权利要求9的BARC,其特征在于BARC在厚度上是均匀的,而光学不均匀,具有渐变的折射率。
- 12.根据权利要求9的BARC,其特征在于折射率对365、248和193nm波长在约1.4到约2.1之间可调。
- 13.根据权利要求9的BARC,其特征在于消光系数对365、248和193nm波长在约0.1到约0.6之间可调。
- 14.根据权利要求9的BARC,其特征在于第一主要表面的折射率被调节到1.5,以便和与第一主要表面相接触的衬底的主要表面的折射率相匹配,且第二主要表面被调节到1.8,以便和与第二主要表面相接触的光致抗蚀剂层的折射率相匹配。
- 15.根据权利要求10的BARC,其特征在于被包括进去的气相淀积的材料是从一组材料中选择的,包括类金刚石碳(DLC),氟化的类金刚石碳(FDLC),氟化的氢化类金刚石碳(FHDLC),氮化类金刚石碳(NDLC),非晶的氟化的氢化碳,非晶的氟化碳,氟化的四面体碳,非晶的氮化碳,非晶的氮化的氢化碳,氮化的四面体碳,和它们的任意组合。
- 16.根据权利要求15的BARC,其特征在于气相淀积的材料包括从一组中选择的掺杂物,包括氧,硅及它们的混合物。
- 17.根据权利要求10的BARC,其特征在于气相淀积的材料是可以构图的,并且是可以在从一组中选择的气体中通过反应离子刻蚀去除的,该组气体包括氧,氟,及氧和氟的组合。
- 18.根据权利要求16的BARC,其特征在于包括均匀厚度的单层薄膜,该薄膜对365,248和193nm的波长具有约1.42到约2.1的折射率和约0.3到约0.6的消光系数。
- 19.根据权利要求10的BARC,其特征在于对248nm波长具有约1.9的折射率和约0.4的消光系数。
- 20.根据权利要求10的BARC,其特征在于对248nm波长具有约1.8的折射率和约0.3的消光系数。
- 21.根据权利要求11的BARC,其特征在于渐变的折射率从约1.4到约2.1。
- 22.根据权利要求11的BARC,其特征在于消光系数从约0.2到约0.6。
- 23.根据权利要求11的BARC,其特征在于衬底上具有约100到约2000埃的均匀厚度。
- 24.根据权利要求11的BARC,其特征在于衬底上具有约400A的均匀厚度。
- 25.根据权利要求11的BARC,其特征在于衬底上具有约900A的均匀厚度。
- 26.根据权利要求14的BARC,其特征在于消光系数为约0.4到约0.5。
- 27.根据权利要求14的BARC,其特征在于厚度为约100到约2000埃。
- 28.一种双层结构,其中BARC的第一层淀积在衬底的第一主要表面上,具有约1.5的折射率,约.5的消光系数,和约100到1000A的厚度。
- 29.根据权利要求28的双层结构,其特征在于BARC的两层包括从从一组中选择的材料,包括DLC,FDLC,FHDLC,NDLC,非晶的氟化的氢化碳,非晶的氟化碳,氟化的四面体碳,非晶的氮化碳,非晶的氮化的氢化碳,氮化的四面体碳,和它们的组合。
- 30.根据权利要求29的双层结构,其特征在于BARC材料包括从一组中选择的掺杂物,包括氧,硅或它们的混合物。
- 31.权利要求28的双层结构,其特征在于BARC的第二层淀积在衬底的第二主要表面上并用光敏材料覆盖,光敏材料可用反应离子刻蚀去除,该第二层具有约1.8的折射率,约0.3的消光系数和约200到约1000A的厚度。
- 32.一种多层结构,其中至少一层BARC中的折射率在层厚度中在较低值和较高值之间光滑地渐变。
- 33.根据权利要求32的多层结构,其特征在于包括至少一层BARC,其中折射率在约20到300埃的均匀层厚度内从约1.8到约2.0光滑地渐变。
- 34.根据权利要求32的多层结构,其特征在于包括至少一个BARC附加层,具有约1.5的折射率,约0.5的消光系数,和约100到1000A的厚度。
- 35.根据权利要求32的多层结构,其特征在于包括至少一个BARC附加层,具有约1.8的折射率,约0.3的消光系数,和约100到1000A的厚度。
- 36.根据权利要求32的多层结构,其特征在于包括至少一个BARC层,其中折射率从约1.8到约1.5光滑的渐变。
- 37.一种在衬底上制备干燥的淀积的BARC的工艺,包括如下步骤:a)将衬底安装在反应室的电极上以便淀积BARC薄膜;b)反应室抽真空;c)衬底加热和预清洁;d)引入制备干燥的淀积BARC的气体; e)在淀积过程中以一定功率通量对衬底施加rf偏压,维持充分的时间,以便以约20到约2000A/min的速率淀积BARC,BARC的整个厚度为约100到约8000A;和f)采用关于所用特定类型的反应室的已知办法从反应室中取出被涂敷的衬底。
- 38.根据权利要求37的工艺,其特征在于安装衬底的步骤包括安装半导体衬底。
- 39.根据权利要求37的工艺,其特征在于将衬底安装入反应室的步骤包括将衬底安装入反应溅射室。
- 40.根据权利要求37的工艺,其特征在于反应室抽真空的步骤包括将反应室抽到约10-3到约10-7Torr。
- 41.根据权利要求37的工艺,其特征在于衬底加热的步骤包括将衬底加热到约25到约400℃。
- 42.根据权利要求37的工艺,其特征在于衬底预清洁的步骤包括衬底的rf溅射清洁。
- 43.根据权利要求37的工艺,其特征在于引入制备气相淀积的BARC的气体的步骤包括引入六氟苯气体。
- 44.根据权利要求37的工艺,其特征在于在淀积过程中对衬底施加rf偏压和功率的步骤包括以约-10到约-1000伏特施加rf偏压和提供约1.07W/cm2的功率通量。
- 45.根据权利要求43的工艺,其特征在于引入HFB气体的步骤还包括引入碳氢化合物气体和调节各自相对量,相对增加HFB时,BARC的折射率较高。
- 46.根据权利要求45的工艺,其特征在于包括在淀积过程中向反应室中引入掺杂水平的气体的步骤,所述气体从包括氢、氧、及其组合的一组中选择。
- 47.一种双镶嵌工艺,包括如下步骤:a)提供衬底,包括平面化的与衬底的一个主要表面一样高的第一金属图形;b)在衬底上淀积绝缘材料;c)在第一金属线之上的绝缘材料上反应离子刻蚀凹槽,但不完全穿透它; d)淀积BARC层;e)通过光掩模对DUV曝光,并显影BARC层上的光致抗蚀剂层;f)利用氧或氟等离子体反应离子刻蚀BARC,用氟等离子体刻蚀绝缘材料,直到金属线表面;g)刻掉光致抗蚀剂层并保持BARC在氧等离子体中;和h)淀积并平面的第二金属层。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/868,772 US6428894B1 (en) | 1997-06-04 | 1997-06-04 | Tunable and removable plasma deposited antireflective coatings |
US868772 | 1997-06-04 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN1204698A true CN1204698A (zh) | 1999-01-13 |
CN1130471C CN1130471C (zh) | 2003-12-10 |
Family
ID=25352286
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN98107775A Expired - Fee Related CN1130471C (zh) | 1997-06-04 | 1998-04-30 | 可调且可去除的等离子体淀积的抗反射涂层 |
Country Status (7)
Country | Link |
---|---|
US (1) | US6428894B1 (zh) |
JP (1) | JP3004002B2 (zh) |
KR (1) | KR100332184B1 (zh) |
CN (1) | CN1130471C (zh) |
MY (1) | MY123962A (zh) |
SG (1) | SG115320A1 (zh) |
TW (1) | TW413855B (zh) |
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN100490063C (zh) * | 2003-02-07 | 2009-05-20 | Asml控股股份有限公司 | 在晶片流水线环境中通过等离子处理室处理半导体晶片的方法和装置 |
US7776516B2 (en) | 2006-07-18 | 2010-08-17 | Applied Materials, Inc. | Graded ARC for high NA and immersion lithography |
CN102782852A (zh) * | 2010-03-04 | 2012-11-14 | 信越半导体股份有限公司 | Soi晶片的设计方法及制造方法 |
CN103325709A (zh) * | 2013-05-28 | 2013-09-25 | 上海华力微电子有限公司 | 一种无氮介质抗反射层的离线检测方法 |
CN103594341A (zh) * | 2012-08-14 | 2014-02-19 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其掺杂方法、鳍式场效应管的形成方法 |
Families Citing this family (83)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6316167B1 (en) * | 2000-01-10 | 2001-11-13 | International Business Machines Corporation | Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof |
DE19937995C2 (de) * | 1999-08-11 | 2003-07-03 | Infineon Technologies Ag | Verfahren zur Strukturierung einer organischen Antireflexionsschicht |
US6331379B1 (en) * | 1999-09-01 | 2001-12-18 | Micron Technology, Inc. | Photo-lithography process using multiple anti-reflective coatings |
US6573030B1 (en) * | 2000-02-17 | 2003-06-03 | Applied Materials, Inc. | Method for depositing an amorphous carbon layer |
US20020086547A1 (en) * | 2000-02-17 | 2002-07-04 | Applied Materials, Inc. | Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask |
US6599682B2 (en) | 2000-04-26 | 2003-07-29 | Tokyo Ohka Kogyo Co., Ltd. | Method for forming a finely patterned photoresist layer |
KR20020047879A (ko) * | 2000-12-14 | 2002-06-22 | 엘지전자 주식회사 | 친수성 코팅막 |
US7132219B2 (en) * | 2001-02-02 | 2006-11-07 | Brewer Science Inc. | Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition |
JP3979138B2 (ja) * | 2001-12-20 | 2007-09-19 | 住友電気工業株式会社 | 光アイソレータおよび偏光子 |
KR100734077B1 (ko) * | 2001-12-20 | 2007-07-02 | 매그나칩 반도체 유한회사 | 반도체 소자의 제조방법 |
US6541397B1 (en) * | 2002-03-29 | 2003-04-01 | Applied Materials, Inc. | Removable amorphous carbon CMP stop |
US6852474B2 (en) * | 2002-04-30 | 2005-02-08 | Brewer Science Inc. | Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition |
US7097923B2 (en) * | 2002-04-30 | 2006-08-29 | Hitachi Global Storage Technologies | Method for forming thin film heads using a tri-layer anti-reflection coating for photolithographic applications and a structure thereof |
US20030234371A1 (en) * | 2002-06-19 | 2003-12-25 | Ziegler Byron J. | Device for generating reactive ions |
US6927178B2 (en) * | 2002-07-11 | 2005-08-09 | Applied Materials, Inc. | Nitrogen-free dielectric anti-reflective coating and hardmask |
US6884733B1 (en) | 2002-08-08 | 2005-04-26 | Advanced Micro Devices, Inc. | Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation |
US6989332B1 (en) | 2002-08-13 | 2006-01-24 | Advanced Micro Devices, Inc. | Ion implantation to modulate amorphous carbon stress |
US7521304B1 (en) | 2002-08-29 | 2009-04-21 | Advanced Micro Devices, Inc. | Method for forming integrated circuit |
US7084071B1 (en) | 2002-09-16 | 2006-08-01 | Advanced Micro Devices, Inc. | Use of multilayer amorphous carbon ARC stack to eliminate line warpage phenomenon |
US6750127B1 (en) * | 2003-02-14 | 2004-06-15 | Advanced Micro Devices, Inc. | Method for fabricating a semiconductor device using amorphous carbon having improved etch resistance |
US7015124B1 (en) | 2003-04-28 | 2006-03-21 | Advanced Micro Devices, Inc. | Use of amorphous carbon for gate patterning |
US7109101B1 (en) * | 2003-05-06 | 2006-09-19 | Amd, Inc. | Capping layer for reducing amorphous carbon contamination of photoresist in semiconductor device manufacture; and process for making same |
JP4209253B2 (ja) * | 2003-05-22 | 2009-01-14 | 忠弘 大見 | フッ素添加カーボン膜の形成方法 |
US6972255B2 (en) * | 2003-07-28 | 2005-12-06 | Freescale Semiconductor, Inc. | Semiconductor device having an organic anti-reflective coating (ARC) and method therefor |
JP3857692B2 (ja) | 2004-01-15 | 2006-12-13 | 株式会社東芝 | パターン形成方法 |
US7638440B2 (en) * | 2004-03-12 | 2009-12-29 | Applied Materials, Inc. | Method of depositing an amorphous carbon film for etch hardmask application |
JP4879159B2 (ja) | 2004-03-05 | 2012-02-22 | アプライド マテリアルズ インコーポレイテッド | アモルファス炭素膜堆積のためのcvdプロセス |
US7079740B2 (en) * | 2004-03-12 | 2006-07-18 | Applied Materials, Inc. | Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides |
US20050199585A1 (en) * | 2004-03-12 | 2005-09-15 | Applied Materials, Inc. | Method of depositing an amorphous carbon film for metal etch hardmask application |
US20050255410A1 (en) * | 2004-04-29 | 2005-11-17 | Guerrero Douglas J | Anti-reflective coatings using vinyl ether crosslinkers |
JP2006039392A (ja) * | 2004-07-29 | 2006-02-09 | Sumitomo Electric Ind Ltd | 薄膜と回折光学素子とそれらの製造方法 |
US7504344B2 (en) * | 2004-08-09 | 2009-03-17 | Asm Japan K.K. | Method of forming a carbon polymer film using plasma CVD |
US7470633B2 (en) * | 2004-08-09 | 2008-12-30 | Asm Japan K.K. | Method of forming a carbon polymer film using plasma CVD |
US7176130B2 (en) * | 2004-11-12 | 2007-02-13 | Freescale Semiconductor, Inc. | Plasma treatment for surface of semiconductor device |
KR100628029B1 (ko) * | 2004-12-04 | 2006-09-26 | 주식회사 아이피에스 | 박막 증착 방법 및 이를 이용한 반도체 제조방법 |
JP2007224383A (ja) * | 2006-02-24 | 2007-09-06 | Tokyo Electron Ltd | アモルファスカーボン膜の成膜方法、それを用いた半導体装置の製造方法、およびコンピュータ読取可能な記憶媒体 |
KR100734404B1 (ko) | 2006-03-06 | 2007-07-03 | (주) 디오브이 | 유기전계 발광소자 전처리 챔버용 진공장치 |
US7410915B2 (en) * | 2006-03-23 | 2008-08-12 | Asm Japan K.K. | Method of forming carbon polymer film using plasma CVD |
US20070275330A1 (en) * | 2006-05-25 | 2007-11-29 | International Business Machines Corporation | Bottom anti-reflective coating |
US20070286954A1 (en) * | 2006-06-13 | 2007-12-13 | Applied Materials, Inc. | Methods for low temperature deposition of an amorphous carbon layer |
US7867578B2 (en) * | 2006-06-28 | 2011-01-11 | Applied Materials, Inc. | Method for depositing an amorphous carbon film with improved density and step coverage |
US20080153311A1 (en) * | 2006-06-28 | 2008-06-26 | Deenesh Padhi | Method for depositing an amorphous carbon film with improved density and step coverage |
US7914974B2 (en) | 2006-08-18 | 2011-03-29 | Brewer Science Inc. | Anti-reflective imaging layer for multiple patterning process |
US7763404B2 (en) * | 2006-09-26 | 2010-07-27 | Tokyo Electron Limited | Methods and apparatus for changing the optical properties of resists |
US7300730B1 (en) * | 2006-09-26 | 2007-11-27 | Tokyo Electron Limited | Creating an optically tunable anti-reflective coating |
US7555395B2 (en) * | 2006-09-26 | 2009-06-30 | Tokyo Electron Limited | Methods and apparatus for using an optically tunable soft mask to create a profile library |
US7968473B2 (en) * | 2006-11-03 | 2011-06-28 | Applied Materials, Inc. | Low temperature process for depositing a high extinction coefficient non-peeling optical absorber for a scanning laser surface anneal of implanted dopants |
JP5293186B2 (ja) * | 2006-11-10 | 2013-09-18 | 住友電気工業株式会社 | Si−O含有水素化炭素膜とそれを含む光学デバイスおよびそれらの製造方法 |
US20080160215A1 (en) * | 2006-12-28 | 2008-07-03 | Ball Aerospace & Technologies Corp. | Contamination Resistant Surfaces |
US8026040B2 (en) | 2007-02-20 | 2011-09-27 | Az Electronic Materials Usa Corp. | Silicone coating composition |
WO2008104881A1 (en) | 2007-02-27 | 2008-09-04 | Az Electronic Materials Usa Corp. | Silicon-based antifrelective coating compositions |
US20080254233A1 (en) * | 2007-04-10 | 2008-10-16 | Kwangduk Douglas Lee | Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes |
US7638441B2 (en) * | 2007-09-11 | 2009-12-29 | Asm Japan K.K. | Method of forming a carbon polymer film using plasma CVD |
US20090090382A1 (en) * | 2007-10-05 | 2009-04-09 | Asm Japan K.K. | Method of self-cleaning of carbon-based film |
US20090093128A1 (en) * | 2007-10-08 | 2009-04-09 | Martin Jay Seamons | Methods for high temperature deposition of an amorphous carbon layer |
US20090179307A1 (en) * | 2008-01-15 | 2009-07-16 | Chartered Semiconductor Manufacturing Ltd. | Integrated circuit system employing feed-forward control |
EP2245512B1 (en) | 2008-01-29 | 2019-09-11 | Brewer Science, Inc. | On-track process for patterning hardmask by multiple dark field exposures |
US7993462B2 (en) | 2008-03-19 | 2011-08-09 | Asm Japan K.K. | Substrate-supporting device having continuous concavity |
US20090269923A1 (en) * | 2008-04-25 | 2009-10-29 | Lee Sang M | Adhesion and electromigration improvement between dielectric and conductive layers |
US20090274974A1 (en) * | 2008-04-30 | 2009-11-05 | David Abdallah | Spin-on graded k silicon antireflective coating |
US7632549B2 (en) * | 2008-05-05 | 2009-12-15 | Asm Japan K.K. | Method of forming a high transparent carbon film |
US20090297731A1 (en) * | 2008-05-30 | 2009-12-03 | Asm Japan K.K. | Apparatus and method for improving production throughput in cvd chamber |
CN101640174B (zh) * | 2008-07-31 | 2011-08-24 | 中芯国际集成电路制造(北京)有限公司 | 半导体结构的刻蚀方法和金属互连层的形成方法 |
US8133555B2 (en) | 2008-10-14 | 2012-03-13 | Asm Japan K.K. | Method for forming metal film by ALD using beta-diketone metal complex |
US20100104770A1 (en) * | 2008-10-27 | 2010-04-29 | Asm Japan K.K. | Two-step formation of hydrocarbon-based polymer film |
US9640396B2 (en) | 2009-01-07 | 2017-05-02 | Brewer Science Inc. | Spin-on spacer materials for double- and triple-patterning lithography |
US20100291475A1 (en) * | 2009-05-12 | 2010-11-18 | Chenghong Li | Silicone Coating Compositions |
JP5387450B2 (ja) * | 2010-03-04 | 2014-01-15 | 信越半導体株式会社 | Soiウェーハの設計方法及び製造方法 |
EP2563233B1 (en) | 2010-04-29 | 2020-04-01 | Dfine, Inc. | System for use in treatment of vertebral fractures |
US8361906B2 (en) | 2010-05-20 | 2013-01-29 | Applied Materials, Inc. | Ultra high selectivity ashable hard mask film |
US8866271B2 (en) * | 2010-10-07 | 2014-10-21 | Hitachi Kokusai Electric Inc. | Semiconductor device manufacturing method, substrate processing apparatus and semiconductor device |
US20120190216A1 (en) * | 2011-01-20 | 2012-07-26 | International Business Machines Corporation | Annealing techniques for high performance complementary metal oxide semiconductor (cmos) device fabrication |
US9653327B2 (en) | 2011-05-12 | 2017-05-16 | Applied Materials, Inc. | Methods of removing a material layer from a substrate using water vapor treatment |
US8679987B2 (en) * | 2012-05-10 | 2014-03-25 | Applied Materials, Inc. | Deposition of an amorphous carbon layer with high film density and high etch selectivity |
US9128384B2 (en) * | 2012-11-09 | 2015-09-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming a pattern |
WO2016179023A1 (en) * | 2015-05-01 | 2016-11-10 | Adarza Biosystems, Inc. | Methods and devices for the high-volume production of silicon chips with uniform anti-reflective coatings |
WO2018081279A1 (en) | 2016-10-27 | 2018-05-03 | Dfine, Inc. | Articulating osteotome with cement delivery channel |
WO2018098433A1 (en) | 2016-11-28 | 2018-05-31 | Dfine, Inc. | Tumor ablation devices and related methods |
US10470781B2 (en) | 2016-12-09 | 2019-11-12 | Dfine, Inc. | Medical devices for treating hard tissues and related methods |
WO2018129180A1 (en) | 2017-01-06 | 2018-07-12 | Dfine, Inc. | Osteotome with a distal portion for simultaneous advancement and articulation |
WO2020097339A1 (en) | 2018-11-08 | 2020-05-14 | Dfine, Inc. | Tumor ablation device and related systems and methods |
US11986229B2 (en) | 2019-09-18 | 2024-05-21 | Merit Medical Systems, Inc. | Osteotome with inflatable portion and multiwire articulation |
US20210222293A1 (en) * | 2020-01-22 | 2021-07-22 | IntriEnergy Inc. | Method of Forming Anti-Reflection Coatings |
Family Cites Families (25)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
GB1292717A (en) * | 1970-02-04 | 1972-10-11 | Rank Organisation Ltd | Improvements relating to anti-reflection coatings |
JPH0612452B2 (ja) * | 1982-09-30 | 1994-02-16 | ブリュ−ワ−・サイエンス・インコ−ポレイテッド | 集積回路素子の製造方法 |
JPS59168637A (ja) * | 1983-03-15 | 1984-09-22 | Nec Corp | 微細パタ−ンの形成方法 |
US4698256A (en) * | 1984-04-02 | 1987-10-06 | American Cyanamid Company | Articles coated with adherent diamondlike carbon films |
CA1255142A (en) * | 1985-03-11 | 1989-06-06 | Edward C. Fredericks | Method and composition of matter for improving conductor resolution in microelectronic circuits |
JPS62169446A (ja) * | 1986-01-22 | 1987-07-25 | Hitachi Micro Comput Eng Ltd | 半導体装置とその製造方法 |
US4898798A (en) * | 1986-09-26 | 1990-02-06 | Canon Kabushiki Kaisha | Photosensitive member having a light receiving layer comprising a carbonic film for use in electrophotography |
US5080455A (en) * | 1988-05-17 | 1992-01-14 | William James King | Ion beam sputter processing |
US5266409A (en) * | 1989-04-28 | 1993-11-30 | Digital Equipment Corporation | Hydrogenated carbon compositions |
JPH0817092B2 (ja) * | 1989-11-21 | 1996-02-21 | 株式会社リコー | 電極用基材及びその製造方法 |
KR950011563B1 (ko) * | 1990-11-27 | 1995-10-06 | 가부시끼가이샤 도시바 | 반도체장치의 제조방법 |
US5159508A (en) * | 1990-12-27 | 1992-10-27 | International Business Machines Corporation | Magnetic head slider having a protective coating thereon |
JP2791525B2 (ja) * | 1992-04-16 | 1998-08-27 | 三菱電機株式会社 | 反射防止膜の選定方法およびその方法により選定された反射防止膜 |
US5294518A (en) * | 1992-05-01 | 1994-03-15 | International Business Machines Corporation | Amorphous write-read optical storage memory |
JP2829555B2 (ja) * | 1992-08-20 | 1998-11-25 | 三菱電機株式会社 | 微細レジストパターンの形成方法 |
US5470661A (en) * | 1993-01-07 | 1995-11-28 | International Business Machines Corporation | Diamond-like carbon films from a hydrocarbon helium plasma |
US5656128A (en) * | 1993-03-26 | 1997-08-12 | Fujitsu Limited | Reduction of reflection by amorphous carbon |
US5688608A (en) * | 1994-02-10 | 1997-11-18 | Industrial Technology Research Institute | High refractive-index IR transparent window with hard, durable and antireflective coating |
JP3441011B2 (ja) * | 1994-03-18 | 2003-08-25 | 富士通株式会社 | アモルファスカーボンを用いた半導体装置製造方法 |
US5559367A (en) * | 1994-07-12 | 1996-09-24 | International Business Machines Corporation | Diamond-like carbon for use in VLSI and ULSI interconnect systems |
US5462784A (en) * | 1994-11-02 | 1995-10-31 | International Business Machines Corporation | Fluorinated diamond-like carbon protective coating for magnetic recording media devices |
TW366367B (en) * | 1995-01-26 | 1999-08-11 | Ibm | Sputter deposition of hydrogenated amorphous carbon film |
JPH0963928A (ja) * | 1995-08-25 | 1997-03-07 | Nippon Telegr & Teleph Corp <Ntt> | 光リソグラフィ用反射防止膜およびその製造方法並びにその使用方法 |
JPH10513013A (ja) * | 1995-10-26 | 1998-12-08 | フィリップス エレクトロニクス ネムローゼ フェンノートシャップ | 半導体デバイスの製造方法 |
US5968324A (en) * | 1995-12-05 | 1999-10-19 | Applied Materials, Inc. | Method and apparatus for depositing antireflective coating |
-
1997
- 1997-06-04 US US08/868,772 patent/US6428894B1/en not_active Expired - Fee Related
-
1998
- 1998-04-30 CN CN98107775A patent/CN1130471C/zh not_active Expired - Fee Related
- 1998-05-06 MY MYPI98002015A patent/MY123962A/en unknown
- 1998-05-11 KR KR1019980016828A patent/KR100332184B1/ko not_active IP Right Cessation
- 1998-05-29 JP JP10149136A patent/JP3004002B2/ja not_active Expired - Fee Related
- 1998-06-02 TW TW087108629A patent/TW413855B/zh not_active IP Right Cessation
- 1998-06-04 SG SG9801197A patent/SG115320A1/en unknown
Cited By (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN100490063C (zh) * | 2003-02-07 | 2009-05-20 | Asml控股股份有限公司 | 在晶片流水线环境中通过等离子处理室处理半导体晶片的方法和装置 |
US7776516B2 (en) | 2006-07-18 | 2010-08-17 | Applied Materials, Inc. | Graded ARC for high NA and immersion lithography |
US8125034B2 (en) | 2006-07-18 | 2012-02-28 | Applied Materials, Inc. | Graded ARC for high NA and immersion lithography |
CN102782852A (zh) * | 2010-03-04 | 2012-11-14 | 信越半导体股份有限公司 | Soi晶片的设计方法及制造方法 |
CN102782852B (zh) * | 2010-03-04 | 2015-07-22 | 信越半导体股份有限公司 | Soi晶片的设计方法及制造方法 |
CN103594341A (zh) * | 2012-08-14 | 2014-02-19 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其掺杂方法、鳍式场效应管的形成方法 |
CN103325709A (zh) * | 2013-05-28 | 2013-09-25 | 上海华力微电子有限公司 | 一种无氮介质抗反射层的离线检测方法 |
CN103325709B (zh) * | 2013-05-28 | 2016-08-10 | 上海华力微电子有限公司 | 一种无氮介质抗反射层的离线检测方法 |
Also Published As
Publication number | Publication date |
---|---|
JP3004002B2 (ja) | 2000-01-31 |
TW413855B (en) | 2000-12-01 |
US6428894B1 (en) | 2002-08-06 |
SG115320A1 (en) | 2005-10-28 |
KR19990006430A (ko) | 1999-01-25 |
CN1130471C (zh) | 2003-12-10 |
MY123962A (en) | 2006-06-30 |
KR100332184B1 (ko) | 2002-08-21 |
JPH118248A (ja) | 1999-01-12 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN1130471C (zh) | 可调且可去除的等离子体淀积的抗反射涂层 | |
JP3117429B2 (ja) | 多層基板構造 | |
TWI783121B (zh) | 半導體製程中之間隔物限定的直接圖案化方法 | |
US6514667B2 (en) | Tunable vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and applications thereof | |
US9240320B1 (en) | Methods of depositing smooth and conformal ashable hard mask films | |
US6214637B1 (en) | Method of forming a photoresist pattern on a semiconductor substrate using an anti-reflective coating deposited using only a hydrocarbon based gas | |
CN100477135C (zh) | 一种在沟槽蚀刻中降低线条边缘粗糙度的方法及其半导体器件 | |
WO2000019498A1 (en) | In situ deposition of low k si carbide barrier layer, etch stop, and anti-reflective coating for damascene applications | |
CN101431015B (zh) | 减少光刻胶掩模倒塌的方法以及图案化抗反射涂层的方法 | |
CN101174099A (zh) | 用于高数值孔径和浸润式光刻的分级式抗反射涂层 | |
EP0588087B1 (en) | Method of forming a resist pattern using an optimized anti-reflective layer | |
US7175966B2 (en) | Water and aqueous base soluble antireflective coating/hardmask materials | |
JP3532830B2 (ja) | 半導体装置及びその製造方法 | |
David et al. | Plasma deposition and etching of diamond‐like carbon films | |
CN101046626A (zh) | 一种在制造光掩模时蚀刻钼层的方法 | |
JP2002093805A (ja) | 半導体装置及びその製造方法 | |
KR20060062586A (ko) | 박막 증착 방법 및 이를 이용한 반도체 제조방법 | |
Callegari et al. | Optical properties of hydrogenated amorphous‐carbon film for attenuated phase‐shift mask applications | |
US10996562B2 (en) | Method and structure for nanoimprint lithography masks using optical film coatings | |
JP4763131B2 (ja) | 低誘電率反射防止被膜に用いるシリコンカーバイドの堆積 | |
Kumar et al. | Dielectric bottom anti-reflective coatings for copper dual damascene interconnects | |
CN1445818A (zh) | 多层式电介质抗反射层及其形成方法 | |
CN1303648C (zh) | 半导体元件的制造方法 | |
TW560050B (en) | Method of manufacturing semiconductor device | |
Ono et al. | Development of high-performance multi-layer resist process with hardening treatment |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C06 | Publication | ||
PB01 | Publication | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
C17 | Cessation of patent right | ||
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20031210 Termination date: 20100430 |