JP2023181326A - 結合リング内に電極を使用することによってエッジ領域におけるイオンの方向性を制御するためのシステム及び方法 - Google Patents

結合リング内に電極を使用することによってエッジ領域におけるイオンの方向性を制御するためのシステム及び方法 Download PDF

Info

Publication number
JP2023181326A
JP2023181326A JP2023183665A JP2023183665A JP2023181326A JP 2023181326 A JP2023181326 A JP 2023181326A JP 2023183665 A JP2023183665 A JP 2023183665A JP 2023183665 A JP2023183665 A JP 2023183665A JP 2023181326 A JP2023181326 A JP 2023181326A
Authority
JP
Japan
Prior art keywords
ring
coupled
signal
electrode
edge
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023183665A
Other languages
English (en)
Inventor
マイケル・シー.・ケロッグ
C Kellogg Michael
アレクセイ・マラクタノフ
Marakhtanov Alexei
ジョン・パトリック・ホランド
patrick holland John
ジーガン・チェン
Zhigang Chen
フェリックス・コザケビッチ
Kozakevich Felix
ケニス・ルチェッシ
Lucchesi Kenneth
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2023181326A publication Critical patent/JP2023181326A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/147Arrangements for directing or deflecting the discharge along a desired path
    • H01J37/1471Arrangements for directing or deflecting the discharge along a desired path for centering, aligning or positioning of ray or beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation

Landscapes

  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【解決手段】プラズマチャンバ内でエッジ領域におけるイオン束の方向性を制御するためのシステム及び方法が提供される。システムの1つは、RF信号を生成するように構成されたRF発生器と、該RF発生器に結合され、RF信号を受信して修正RF信号を生成するためのインピーダンス整合回路と、プラズマチャンバとを含む。プラズマチャンバは、エッジリングと、該エッジリングの下方に位置付けられ、修正RF信号を受信するためにインピーダンス整合回路に結合された結合リングとを含む。結合リングは、修正RF信号を受信すると電極とエッジリングとの間にキャパシタンスを生成してイオン束の方向性を制御する電極を含む。【選択図】図2A

Description

本実施形態は、結合リング内に電極を使用することによってプラズマチャンバのエッジ領域におけるイオンの方向性を制御するためのシステム及び方法に関する。
プラズマプロセスを制御するために、プラズマシステムが使用される。プラズマシステムは、複数の高周波数(RF)源と、インピーダンス整合部と、プラズマリアクタとを含む。プラズマチャンバの内部に被加工物が配置され、その被加工物を処理するためにプラズマチャンバ内にプラズマが生成される。
被加工物は、同様な又は均一なやり方で処理されることが重要である。同様な又は均一なやり方で被加工物を処理するために、プラズマリアクタに関係付けられた様々なパラメータが制御される。一例として、被加工物の処理中にイオン束の方向性を制御することが重要である。方向性の制御は、エッチング速度の増加に有用であり、特定のアスペクト比を有する被加工物の特徴を実現する。
被加工物を均一なやり方で処理する際は、それと同時に、プラズマチャンバの各種コンポーネントの寿命を維持することが重要である。幾つかのコンポーネントにRF電力を印加すると、これらのコンポーネントは、速く摩耗し、それらの寿命を全うすることができなくなる。更に、このような摩耗ゆえに、これらのコンポーネントは、イオン束の方向性に悪影響を及ぼし、これは、被加工物の処理の均一性に悪影響を及ぼす。
本開示で説明される実施形態が想起されるのは、このような状況においてである。
本開示の実施形態は、結合リング内に電極を使用することによってプラズマチャンバのエッジ領域におけるイオンの方向性を制御するための装置、方法、及びコンピュータプログラムを提供する。これらの実施形態は、例えば、プロセス、装置、システム、ハードウェア、又はコンピュータ読み取り可能媒体上の方法などの、数々の形態で実現できることがわかる。以下で、幾つかの実施形態が説明される。
ウエハがエッチングされるところにおけるプロフィールの角度又は傾斜と、エッチング速度との間の二律背反性ゆえに、ウエハのエッジにおけるプロセス仕様を満たすことは困難である。エッチング速度は、ウエハのエッジにおけるイオン束と、ウエハを処理するために使用される1種類以上のプロセスガスの例えば混合やタイプ等の化学的性質とに依存する。ウエハに到達する、エッジにおけるイオン束は、プラズマシースに進入するイオン束と、エッジにおけるプラズマシースの形状との関数である。イオン集束効果は、ウエハの上方におけるウエハプラズマシースの厚さと、ウエハのエッジを超えたところにおけるプラズマシースを制御するエッジリングの上方におけるエッジリングプラズマシースの厚さとの差の関数である。エッチング速度を向上させるためには、及びプロフィール角度を例えば89.5度と90.5度との間や89度と91度との間等のように約90度に維持するためには、ウエハのエッジを超えたところにおいて均一なプラズマ密度を維持すること、及びウエハプラズマシースとエッジリングプラズマシースとの差を最小限に抑えることが重要である。また、エッジリングが例えば500時間を超える等のようにその寿命を全うするまで使用できるように、エッジリングの摩耗を制御することが望まれる。
一部の実施形態では、エッジリングに関係付けられたプラズマパラメータを独立制御するためのつまみが提供される。つまみは、結合リングに通電電極を埋め込むことと、高周波数(RF)電力を電極に提供する又は可変インピーダンスRFフィルタを通じて電極を接地することとによって提供される。RF電力の提供は、電極への能動(有効)電力の提供として言及されることもあり、可変インピーダンスを通じた電極の接地は、電極への受動電力の提供として言及されることもある。プラズマパラメータを制御するために、上部電極の段差位置、エッジリングの高さ及び形状、エッジリングの結合材料等を最適化することは行われない。しかしながら、一部の実施形態では、プラズマパラメータを制御するために、電極に提供される能動電力又は受動電力に加えて上部電極の段差位置、エッジリングの高さ及び形状、並びに/又はエッジリングの材料が制御される。
様々な実施形態では、ウエハのエッジにおけるパフォーマンスを向上させるために、容量結合RF通電エッジリングが説明される。エッジリングに結合される能動電力又は受動電力の量を変化させることによって、エッジ領域におけるプラズマのプラズマ密度、エッジ領域におけるプラズマのシース均一性、エッジ領域におけるプラズマのエッチング速度均一性、及びエッジ領域においてウエハがエッチングされる傾斜が制御される。エッジリングへの、RF電力又は直流(DC)電力の直接的な提供はない。エッジリングへの電力の容量結合は、エッジリングの材料と、エッジリングに電力を直接的に供給するために使用されるRFフィードパーツとの間におけるアーク放電の可能性を、例えば排除する等のように低減する。
一部の実施形態では、プラズマチャンバ内でエッジ領域におけるイオン束の方向性を制御するためのシステムが説明される。システムは、RF信号を生成するように構成されたRF発生器と、該RF発生器に結合され、RF信号を受信して修正RF信号を生成するためのインピーダンス整合回路と、プラズマチャンバとを含む。プラズマチャンバは、エッジリングと、該エッジリングの下方に位置付けられ、修正RF信号を受信するためにインピーダンス整合回路に結合された結合リングとを含む。結合リングは、修正RF信号を受信すると電極とエッジリングとの間にキャパシタンスを生成してイオン束の方向性を制御する電極を含む。
様々な実施形態では、プラズマチャンバ内でエッジ領域におけるイオン束の方向性を制御するためのシステムが説明される。システムは、第1のフィルタリングされたRF信号を出力するように構成された第1のRFフィルタと、該第1のRFフィルタに結合され、第1のフィルタリングされたRF信号を受信して第2のフィルタリングされたRF信号を出力するための第2のRFフィルタと、プラズマチャンバとを含む。プラズマチャンバは、エッジリングと、該エッジリングの下方に位置付けられ、第2のRFフィルタに結合された結合リングとを含む。結合リングは、第2のフィルタリングされたRF信号を受信し、該第2のフィルタリングされたRF信号を受信すると更に電極とエッジリングとの間にキャパシタンスを生成してイオン束の方向性を制御するように構成される。
一部の実施形態では、プラズマチャンバ内でエッジ領域におけるイオン束の方向性を制御するためのシステムが説明される。システムは、フィルタリングされたRF信号を出力するように構成されたRFフィルタと、プラズマチャンバとを含む。プラズマチャンバは、エッジリングと、該エッジリングの下方に位置付けられ、フィルタリングされたRF信号を受信するためにRFフィルタに結合された結合リングとを含む。結合リングは、フィルタリングされたRF信号を受信すると電極とエッジリングとの間にキャパシタンスを生成してイオン束の方向性を制御する電極を含む。
本書で説明されるシステム及び実施形態の利点の幾つかとして、おおよそ90度のプロフィール角度を実現することが挙げられる。エッジリングに結合された結合リング内の電極に供給される能動電力又は受動電力の量が、90度のプロフィール角度を実現するために変更される。イオン束が測定され、該イオン束は、測定結果に基づいて制御される。イオン束は、結合リング内の電極に結合された能動電源又は受動電源を制御して電極とエッジリングとの間のキャパシタンスを変更することによって制御される。キャパシタンスは、おおよそ90度のプロフィール角度を実現するように変更される。キャパシタンスは、エッジリングの電圧を制御して更にエッジ領域におけるウエハのエッチング速度を制御するために使用される。エッジリングの電圧は、大地と比べたエッジリングのインピーダンスに比例する。プロフィール角度は、例えば頂部CDや反りCD等のエッジプロフィールの均一性を例えば3%未満、2%未満、4%未満等のように所定の量未満に実現するのに有用である。
更に、本書で説明されるシステム及び方法のその他の利点として、エッジリング電圧を変化させることによってエッジリング寿命が延びることが挙げられる。エッジリングが例えば高さが減る等のように摩耗されると、プラズマシースが曲がり、イオン束がウエハエッジに集中する。その結果、エッジの傾斜が、仕様に定められた範囲外になる。エッジリング電圧の調整は、プラズマシースを更に均一にし、ウエハエッジプロセスパラメータを、仕様に定められた範囲内に戻す。エッジリングの代わりに結合リング内に電極を実装することによって、エッジリングの寿命が延びる。
添付の図面に関連付けた以下の詳細な説明から、その他の態様が明らかになる。
実施形態は、添付の図面に関連付けた以下の説明を参照することによって理解されるだろう。
結合リングを使用してプラズマチャンバのエッジ領域におけるイオンの方向性を制御することを説明するための、プラズマシステムの一実施形態の図である。
高周波数(RF)フィルタを通じて結合リング内の電極をインピーダンス整合回路(IMC)に結合すること、及び電極に能動電力を提供することを説明するための、システムの一実施形態の図である。
結合リングに埋め込まれた電極に受動電力を提供することを説明するための、システムの一実施形態の図である。
エッジ領域内におけるプラズマのインピーダンスを制御して、更にエッジ領域におけるイオン束の方向性を制御するために、xメガヘルツ(MHz)RF発生器又はx1キロヘルツ(kHz)RF発生器によって供給される電力をイオン束を使用して調整することを説明するための、一実施形態の図である。
エッジ領域内におけるインピーダンスを制御して、更にエッジ領域内におけるイオン束の方向性を制御するために、イオン束を使用してRFフィルタを調整することを説明するための、システムの一実施形態の図である。
エッジ領域内におけるプラズマのインピーダンスを制御して、更にエッジ領域におけるイオン束の方向性を制御するために、xMHz RF発生器又はx1kHz RF発生器によって供給される電力を直流(DC)バイアスを使用して調整することを説明するための、一実施形態の図である。
エッジ領域内におけるインピーダンスを制御して、更にエッジ領域におけるイオン束の方向性を制御するために、DCバイアスを使用してRFフィルタを調整することを説明するための、システムの一実施形態の図である。
結合リングに埋め込まれる電極の一例であるメッシュ電極の一実施形態の図である。
電極の別の一例であるリング状電極の一実施形態の図である。
フィードリングの一部分、及び該部分と電力ピンとの間の接続を説明するための、プラズマチャンバの一実施形態の図である。
電極の場所をプラズマチャンバのその他のコンポーネントに関連付けて説明するための、プラズマチャンバの一部分の一実施形態の図である。
RFロッドに結合されるフィードリングを説明するための、システムの一実施形態の図である。
電極に供給される電力の量の変化にともなう、プラズマチャンバ内で処理されるウエハの正規化エッチング速度の変化を説明するための、グラフの一実施形態の図である。
電極に供給される電力の量の変化にともなうイオン束の方向性の変化を説明するための、プラズマチャンバの一部分の図である。
RFフィルタのキャパシタンスの変化にともなう基板のエッチング速度の変化を説明するための、グラフの一実施形態の図である。
エッジリングのピーク電圧を図9Aの受動RFフィルタのキャパシタンスに対してプロットしたグラフの一実施形態の図である。
以下の実施形態は、結合リング内に電極を使用してプラズマチャンバのエッジ領域におけるイオンの方向性を制御するためのシステム及び方法を説明する。本実施形態は、これらの具体的詳細の一部又は全部を伴わずに実施されてもよいことが明らかである。また、本実施形態を不必要に不明瞭にしないために、周知のプロセス動作の詳細は説明されていない。
図1は、結合リング112を使用してプラズマチャンバ104のエッジ領域102におけるイオンの方向性を制御することを説明するための、プラズマシステム100の一実施形態の図である。プラズマシステム100は、xメガヘルツ(MHz)高周波数(RF)発生器と、zMHz RF発生器と、x1キロヘルツ(kHz)RF発生器と、インピーダンス整合回路(IMC)108と、別のIMC113と、プラズマチャンバ104とを含む。プラズマチャンバ104は、エッジリング110と、結合リング112と、例えば静電チャック(ESC)等のチャック114とを含む。エッジリング110は、チャック114上に基板120を位置決めすること、プラズマチャンバ104の下の、基板120によって保護されていないコンポーネントを、プラズマチャンバ104内で形成されるプラズマのイオンによって損傷されないように遮断することなどの、多くの機能を実施する。例えば下部電極等のチャック114は、例えば陽極酸化アルミニウムやアルミニウム合金等の金属で作成される。
結合リング112は、エッジリング110の下方に位置付けられ、エッジリング110に結合される。結合リング112は、例えば誘電体材料、セラミック、ガラス、複合ポリマ、酸化アルミニウム等の電気絶縁体材料で作成される。エッジリング110は、プラズマを基板120の上方のエリアに閉じ込める、及び/又はチャック114をプラズマによる浸食から保護する。エッジリング110は、例えば結晶シリコン、多結晶シリコン、炭化シリコン、石英、酸化アルミニウム、窒化アルミニウム、窒化シリコン等の、1種類以上の材料で作成される。エッジリング110及び結合リング112は、ともに、チャック114の側方に位置付けられる。基板120のエッジが、エッジリング110の上に置かれ、エッジリング110のエッジは、エッジ領域102内に位置付けられる。一例として、エッジ領域102は、チャック114のエッジからチャック114の半径に沿って10ミリメートルから15ミリメートルの所定の距離にわたって広がる。プラズマチャンバ104は、接地されるチャンバ壁115を有する。
xMHz RF発生器は、RFケーブル126、IMC108、及びRF伝送路122を通じて結合リング112に結合される。更に、x1kHz RF発生器及びzMHz RF発生器は、IMC113及び別のRF伝送路124を通じてチャック114に結合される。RF伝送路は、RFロッドと、該RFロッドを取り巻く絶縁体スリーブとを含む。x1kHz RF発生器は、RFケーブル128を通じてIMC113に結合され、zMHz RF発生器は、RFケーブル130を通じてIMC113に結合される。x1kHz RF発生器の例には、400kHzの動作周波数を有する発生器や、360kHzから440kHzの範囲にわたる動作周波数を有する発生器等がある。xMHz RF発生器の例には、2MHzの動作周波数を有する発生器や、27MHzの動作周波数を有する発生器等がある。zMHz RF発生器の例には、27MHzの動作周波数を有する発生器や、60MHzの動作周波数を有する発生器等がある。
x1kHz RF発生器は、RF信号を生成し、該RF信号をIMC113に送信する。同様に、zMHz RF発生器は、RF信号を生成し、該RF信号をIMC113に送信する。IMC113は、その出力において修正RF信号を提供するために、IMC113の出力に結合された、例えばRF伝送路124やプラズマチャンバ104等の負荷のインピーダンスを、IMC113の入力に結合された、例えばRFケーブル128、RFケーブル130、x1kHz RF発生器、及びzMHz RF発生器等のソースのインピーダンスに一致させる。同様に、IMC108は、その出力において修正RF信号を提供するために、IMC108の出力に結合された、例えばプラズマチャンバ104やRF伝送路112等の負荷のインピーダンスを、IMC108の入力に結合された、例えばxMHz RF発生器やRFケーブル126等のソースのインピーダンスに一致させる。
IMC113の出力における修正RF信号は、例えばプラズマチャンバ104内のその中央領域132においてプラズマを発生させて維持するなどのようにプラズマのインピーダンスを修正するために、チャック114に送信される。中央領域132は、エッジ領域102に隣接して位置付けられ、エッジ領域102に取り囲まれる。中央領域は、エッジ領域102の一端からチャック114の中央を経てエッジ領域102の反対側の端に到る。更に、IMC108の出力における修正RF信号は、プラズマチャンバ104のエッジ領域102内におけるプラズマのインピーダンス及びイオンの方向性を修正するために、結合リング112に送信される。プラズマは、例えば酸素含有ガスやフッ素含有ガス等の1種類以上のプロセスガスが上部電極121を通じてプラズマチャンバ104の中央領域132に供給されるときに生成又は維持される。
上部電極121は、チャック114に面しており、上部電極121とチャック114との間には、隙間が形成される。上部電極121は、プラズマチャンバ104内に位置付けられ、導電性材料で作成される。プラズマチャンバ104内のプラズマは、基板120を処理するために使用される。例えば、プラズマは、基板120をエッチングする、基板120上に材料を堆積させる、基板120を洗浄する等のために使用される。
一部の実施形態では、プラズマチャンバ104は、例えば上部電極121を取り巻く上部電極延長部、上部電極と上部電極延長部との間の誘電体リング、プラズマチャンバ104内で隙間を取り囲むために上部電極121及びエッジリング110のエッジの側方に位置付けられる閉じ込めリング等の、追加のパーツを含む。
様々な実施形態では、xMHz RF発生器によって生成されるRF信号は、x1kHz RF発生器によって生成されるRF信号及びzMHz RF発生器によって生成されるRF信号と同期化される。例えば、xMHz RF発生器によって生成されるRF信号が、パルス状で低い状態から高い状態に移行するときに、x1kHz RF発生器によって生成されるRF信号は、パルス状で低い状態から高い状態に移行し、zMHz RF発生器によって生成されるRF信号は、パルス状で低い状態から高い状態に移行する。別の例として、xMHz RF発生器によって生成されるRF信号が、パルス状で高い状態から低い状態に移行するときに、x1kHz RF発生器によって生成されるRF信号は、パルス状で高い状態から低い状態に移行し、zMHz RF発生器によって生成されるRF信号は、パルス状で高い状態から低い状態に移行する。高い状態のRF信号は、低い状態のRF信号と比較して、RF信号の電力の例えば二乗平均平方根値やピーク・ツー・ピーク振幅等のレベルが高い。
一部の実施形態では、xMHz RF発生器によって生成されるRF信号は、x1kHz RF発生器によって生成されるRF信号に同期化されない、又はzMHz RF発生器によって生成されるRF信号と同期化されない、又はx1kHz RF発生器によって生成されるRF信号と同期化されない尚且つzMHz RF発生器によって生成されるRF信号と同期化されない。
図2Aは、RFフィルタ208を通じて結合リング112内の電極202をIMC108に結合すること、及び電極202に能動電力を提供することを説明するための、システム200の一実施形態の図である。RFフィルタ208は、x1kHz RF発生器又はxMHz RF発生器への及びIMC108と電極202との間のRF供給システムの任意のコンポーネントへのRF電流のRF電力によって引き起こされるあらゆる損傷を防ぐために、IMC108を通じてRFフィルタ208に結合されたx1kHz RF発生器又はxMHz RF発生器に到達するRF電流の量を減少させる。一例として、RFフィルタ208は、1つ以上のコンデンサ、又は1つ以上のインダクタ、又はコンデンサとインダクタとの組み合わせを含む。RF電流は、プラズマチャンバ206内のプラズマによって生成される。
システム200は、プラズマチャンバ104(図1)の一例であるプラズマチャンバ206を含む。システム200は、更に、xMHz RF発生器又はx1kHz RF発生器と、IMC108と、RFフィルタ208とを含む。xMHz RF発生器又はx1kHz RF発生器は、RFケーブル126を通じてIMC108に結合され、IMC108は、RF伝送路122を通じてRFフィルタ208に結合される。RFフィルタ208は、電力ピン204を通じて電極202に結合される。電極202は、結合リング112に埋め込まれる。例えば、電極202のいかなる部分も、結合リング112の外に露出されない。別の例として、電極202は、結合リング112の下面214よりも結合リング112の上面212に近いように、結合リング112に埋め込まれる。上面212は、エッジリング110に隣接し、下面214は、プラズマチャンバ206の絶縁体リング216に隣接する。絶縁体リング216は、結合リング112の下方に位置付けられ、例えば石英等の電気絶縁材料で作成される。
電力ピン204は、同軸ケーブル220及びスリーブ222を含む。スリーブ222は、同軸ケーブル220を取り巻く電場から同軸ケーブル220を絶縁するために、同軸ケーブル220を覆う。スリーブ222は、例えばプラスチック、ガラス、プラスチックとガラスとの組み合わせ等の、電気絶縁体材料で作成される。電力ピン204は、電極202に結合され、フィードリングを通じてRF伝送路に結合され、該RF伝送路は、RFフィルタ208に結合される。一例として、フィードリングは、例えばアルミニウムや銅等の導電性材料で作成される。電力ピン204の一部分は、絶縁体リング216及び設備板224の側方に位置付けられ、電力ピン204の残りの部分は、結合リング112に取り囲まれる。設備板224は、例えばアルミニウム等の金属で作成される。
設備板224は、チャック114の下方に位置付けられ、RF伝送路124に結合される。例えばアルミニウム等の金属で作成される複数の接地リング226が、絶縁体リング228の一部分及び絶縁体リング216を取り囲み、接地される。絶縁体リング228は、例えば石英等の絶縁材料で作成され、エッジリング110が直流(DC)電力に結合されないように保護する。
プラズマチャンバ206は、更に、チャック114に面した上部電極121を含む。上部電極121とチャック114との間には、隙間232が形成される。隙間232内で、基板120を処理するためのプラズマが形成される。隙間232、及び上部電極121の一部分を取り囲むために、複数の閉じ込めリング238が積み重ねられる。閉じ込めリング238は、隙間232内の圧力を制御するために、及び/又は隙間232から流れ出てプラズマチャンバ206の下方に位置付けられた1つ以上の真空ポンプに到るプラズマの量を制御するために、モータメカニズムを通じて開かれる又は閉じられる。接地リング226の上には、接地リング226をプラズマのRF電力から保護するために、例えば石英カバーリング等のカバーリング241が被せられる。
xMHz RF発生器又はx1kHz RF発生器は、IMC108にRF信号を供給する。IMC108は、修正RF信号を提供するために、例えばRF伝送路122、RFフィルタ208、及びプラズマチャンバ206等の負荷のインピーダンスを、例えばRFケーブル126、及びxMHz RF発生器又はx1kHz RF発生器等のソースのインピーダンスに一致させる。修正RF信号は、RF伝送路122、RFフィルタ208、フィードリング、及び電力ピン204を経て電極202に到る。電極202による修正RF信号の受信は、その一部分が隙間232内に位置付けられるエッジ領域102内におけるプラズマのインピーダンスを変化させる。インピーダンスの変化は、エッジ領域102におけるイオン束の方向性を変化させて、エッジ領域102内における基板120の例えばエッチング、堆積、洗浄等のプラズマ処理を制御するために使用される。
一実施形態では、システム200は、RFフィルタ208を排除しており、IMC108は、RF伝送路122を通じてフィードリングに結合される。
図2Bは、結合リング112に埋め込まれた電極202に受動電力制御を提供することを説明するための、システム250の一実施形態の図である。システム250は、出力においてRFケーブル254を通じてRFフィルタ208に結合された、接地されるRFフィルタ207を含むことを除いて、システム200と同じである。RFフィルタ207は、1つ以上のコンデンサ、又は1つ以上のインダクタ、又はコンデンサとインダクタとの組み合わせを含む。例えば、RFフィルタ207は、インダクタと並列なコンデンサを含む。別の例として、RFフィルタ207は、コンデンサを含む。更に別の例として、RFフィルタ207は、インダクタと直列なコンデンサを含む。一実施形態では、RFフィルタ207の1つ以上のコンデンサが可変であり、RFフィルタ207の1つ以上のインダクタが可変である。
RFフィルタ207は、エッジ領域102内のプラズマから受信されるRF信号に対し、大地へのインピーダンス経路を提供する。RF信号は、エッジ領域102内のプラズマから生成され、エッジリング110、及び電極202とエッジリング110との間のキャパシタンスを経て電極202に流れ、電極202は、RF信号を出力する。電極202からのRF信号は、電力ピン204及びフィードリングを経てRFフィルタ208に到る。RFフィルタ208は、フィルタリングされたRF信号を出力するために、RF信号内のDC電力をフィルタリング除去する。フィルタリングされたRF信号は、RFケーブル254及びRFフィルタ207を経て大地に到る。エッジ領域102内におけるプラズマのインピーダンスを修正して、更にエッジ領域102におけるイオン束の方向性を制御するために、RFフィルタ207のキャパシタンス、又はインダクタンス、又はキャパシタンスとインダクタンスとの組み合わせが、大地へ流れるフィルタリングされたRF信号の量を決定する。
様々な実施形態では、RFフィルタ207は、フィルタリングされた信号をRF伝送路254を通じてRFフィルタ208に出力するために、エッジ領域102内のプラズマから受信されたRF信号の一部分をフィルタリングする。RF信号の上記一部分は、RFフィルタ207に結合された大地へ流れる。RF伝送路254を通じてRFフィルタ208によって受信されたフィルタリングされた信号は、フィルタリングされた信号を電力ピン204の同軸ケーブルに出力するために、RFフィルタ208によってフィルタリングされてDC電力を除去される。フィルタリングされた信号は、電極202とエッジリング110との間のキャパシタンスを変更するために、同軸ケーブル220を通じて電極202に提供される。キャパシタンスは、エッジ領域102内におけるプラズマのインピーダンスを変化させるために変更される。
一部の実施形態では、RFフィルタ208は、除外され、RFフィルタ207は、RF伝送路254を通じて電力ピン204に結合される。
図3Aは、エッジ領域102内におけるプラズマのインピーダンスを制御して、更にエッジ領域102におけるイオン束の方向性を制御するために、xMHz RF発生器又はx1kHz RF発生器によって供給される電力を調整することを説明するための、一実施形態の図である。システム300は、平面イオン束プローブ302と、測定センサ304と、ホストコンピュータシステム306とを更に含むことを除いて、図2Aのシステム200と同じである。平面イオン束プローブの一例は、Langmuirプローブである。ホストコンピュータシステム306の例には、コンピュータ、タブレット、スマートフォン等がある。測定センサ304の例には、複合電圧センサ又は複合電流センサがある。
平面イオン束プローブ302は、上部電極121の開口を通して挿入され、イオン束プローブ302の例えばシリコン等の導電性部分と上部電極121との間にスペーサを有する。平面イオン束プローブ302は、エッジ領域102に関係付けられたプラズマに曝される表面を有する例えば円筒形部分や多角形部分等の部分を有する。平面イオン束プローブ302は、RFケーブル308を通じて測定センサ304に結合され、該測定センサ304は、例えばシリアル転送ケーブル、パラレル転送ケーブル、ユニバーサルシリアルバス(USB)ケーブル等の転送ケーブル310を通じてホストコンピュータシステム306に結合される。ホストコンピュータシステム306は、例えばシリアル転送ケーブル、パラレル転送ケーブル、USBケーブル等の転送ケーブル312を通じてxMHz RF発生器又はx1kHz RF発生器に結合される。シリアル転送ケーブルは、例えば一度に1ビットずつ等のようにシリアル方式でデータを転送するために使用される。パラレル転送ケーブルは、例えば一度に複数ビットずつ等のようにパラレル方式でデータを転送するために使用される。
平面イオン束プローブ302は、RF信号を生成するために、エッジ領域102に関係付けられたプラズマの、例えばイオン束プローブ302の単位表面積あたりのイオンフローの量やイオン束プローブ302の単位表面積あたりの電流の量等のイオン束を測定する。RF信号は、RFケーブル308を経て測定センサ304に到り、測定センサ304は、RF信号の複合電圧又は複合電流を測定する。測定センサ304は、測定された複合電圧又は測定された複合電流を、転送ケーブル310を通じてデータとしてホストコンピュータシステム306に出力する。ホストコンピュータシステム306は、プロセッサと、メモリデバイスとを含む。プロセッサの例には、中央演算処理装置(CPU)、コントローラ、特殊用途向け集積回路(ASIC)、又はプログラマブルロジックデバイス(PLD)等がある。メモリデバイスの例には、読み出し専用メモリ(ROM)、ランダムアクセスメモリ(RAM)、ハードディスク、揮発性メモリ、不揮発性メモリ、ストレージディスクの冗長アレイ、フラッシュメモリ等がある。
ホストコンピュータシステム306のプロセッサは、測定された複合電圧又は測定された複合電流に基づいて、IMC108に結合されたxMHz RF発生器又はx1kHz RF発生器によって供給されるべき電力の量を決定する。例えば、プロセッサに結合されたメモリデバイスに、所定の複合電圧又は所定の複合電流と、xMHz RF発生器又はx1kHz RF発生器によって供給されるべき電力との間の例えば1対1の関係、関係付け、マッピング等などの対応関係が保存される。所定の複合電圧又は所定の複合電流は、エッジ領域102内で生成される所定の量のイオン束に対し、例えば1対1の関係を有する、マッピングされる等のように対応し、該関係は、ホストコンピュータシステム306のメモリデバイスに格納される。プロセッサは、測定された複合電流から、その測定された複合電流が、実現されるべき所定の複合電流に一致しない又は実現されるべき所定の複合電流から所定の範囲内にないことを決定する。プロセッサは、所定の複合電流と、xMHz RF発生器又はx1kHz RF発生器によって供給されるべき電力の量との間の対応関係に基づいて、電力の量を決定する。プロセッサは、その量の電力がxMHz RF発生器又はx1kHz RF発生器によって供給されるべきであることをxMHz RF発生器又はx1kHz RF発生器に示す制御信号を生成する。
一実施形態では、プロセッサは、測定された複合電圧から、その測定された複合電圧が、実現されるべき所定の複合電圧に一致しない又は実現されるべき所定の複合電圧から所定の範囲内にないことを決定する。プロセッサは、所定の複合電圧と、xMHz RF発生器又はx1kHz RF発生器によって供給されるべき電力の量との間の対応関係に基づいて、電力の量を決定する。プロセッサは、その量の電力がxMHz RF発生器又はx1kHz RF発生器によって供給されるべきであることをxMHz RF発生器又はx1kHz RF発生器に示す制御信号を生成する。
上記電力の量を受信すると、xMHz RF発生器又はx1kHz RF発生器は、その電力の量を有するRF信号を生成し、該RF信号をRFケーブル126を通じてIMC108に供給する。IMC208は、xMHz RF発生器又はx1kHz RF発生器から受信されたRF信号から修正RF信号を生成するために、IMC208に結合された負荷のインピーダンスをIMC108に結合されたソースのインピーダンスに一致させる。修正RF信号は、RFフィルタ208、該RFフィルタ208に結合されたフィードリング、及び同軸ケーブル220を通じて電極202に提供される。エッジ領域102内におけるプラズマのインピーダンスを変化させて、更にエッジ領域102内におけるイオン束の方向を修正するために、電極202と、エッジリング110の下面との間のキャパシタンスは、電極202が修正RF信号を受信するときに変化する。
図3Bは、エッジ領域102内におけるインピーダンスを制御して、更にエッジ領域102内におけるイオン束の方向性を制御するために、RFフィルタ207を調整することを説明するための、システム320の一実施形態の図である。システム320は、平面イオン束プローブ302、測定センサ304、ホストコンピュータシステム306、電源328、及び例えばDCモータや交流(AC)モータ等のモータ322を含むことを除いてシステム250(図2B)と同じである。電源328の例には、AC電源又はDC電源がある。電源328は、転送ケーブル324を通じてホストコンピュータシステム306に結合される。更に、モータ322は、ケーブル330を通じて電源328に結合され、接続メカニズム326を通じてRFフィルタ207に結合される。接続メカニズム326の例には、1本以上のロッド、1つ以上のギア、又はそれらの組み合わせがある。接続メカニズム326は、RFフィルタ207の例えばインダクタやコンデンサ等の回路コンポーネントに、該回路コンポーネントの例えばキャパシタンスやインダクタンス等のパラメータを変化させるために接続される。例えば、接続メカニズム326は、RFフィルタ207のコンデンサの2枚の並行板の間のエリア及び/又はそれらの板の間の距離を変化させるために回転する。別の例として、接続メカニズム326は、RFフィルタ207のインダクタのインダクタンスを変化させるために、そのインダクタのコイルに取り囲まれたコアを変位させる。
プロセッサは、測定センサ304によって測定された複合電流から、該測定された複合電流が、実現されるべき所定の複合電流に一致しない又は実現されるべき所定の複合電流から所定の範囲内にないことを決定する。プロセッサは、所定の複合電流と、電源328によって供給されるべき例えばDC電力やAC電力等の電力の量と、実現されるべきRFフィルタ207の所定のキャパシタンスとの対応関係に基づいて、電力の量を決定する。プロセッサは、RFフィルタ207の所定のキャパシタンスを実現するために上記量の電力が電源328によって供給されるべきであることを示す制御信号を生成する。
一実施形態では、プロセッサは、測定された複合電圧から、該測定された複合電圧が、実現されるべき所定の複合電圧に一致しない又は実現されるべき所定の複合電圧から所定の範囲内にないことを決定する。プロセッサは、所定の複合電圧と、実現されるべきRFフィルタ207の所定のキャパシタンスと、電源328によって供給されるべき電力の量との対応関係に基づいて、電力の量を決定する。プロセッサは、上記量の電力が電源328によって供給されるべきであることを示す制御信号を生成する。
制御信号は、転送ケーブル324を通じて電源328に送信される。上記電力の量を受信すると、電源328は、上記量の電力を生成し、ケーブル330を通じてモータ322に供給する。モータ322のステータが、上記量の電力を受信して電場を形成し、該電場は、モータ322のロータを回転させる。ロータの回転は、所定のキャパシタンスを実現するために、RFフィルタ207のパラメータを変化させるように接続メカニズム326を回転させる。例えばキャパシタンス等のパラメータの変化は、RFフィルタ207に結合された大地へRFフィルタ207を経て流れるRF電力の量を変化させて、更に電極202とエッジリング110との間のキャパシタンスを変化させる。電極202とエッジリング110との間のキャパシタンスは、RFケーブル254、RFフィルタ208、該RFフィルタ208に結合されたフィードリング、及び同軸ケーブル220を通じて変化される。キャパシタンスの変化は、RF伝送路254を通ってRFフィルタ207からRFフィルタ208に流れるフィルタリングされた信号の電力の量を変化させる。電力の量の変化は、エッジ領域102内におけるプラズマのインピーダンスを変化させて、更にエッジ領域102内におけるイオン束の方向性を修正する。
図3Cは、エッジ領域102内におけるプラズマのインピーダンスを制御して、更にエッジ領域102におけるイオン束の方向性を制御するために、xMHz RF発生器又はx1kHz RF発生器によって供給される電力をDCバイアスを使用して調整することを説明するための、一実施形態の図である。システム350は、平面イオン束プローブ302(図3A)及び測定センサ304(図3A)の代わりに測定センサ354及びDCバイアスプローブ352を含むことを除いてシステム300(図3A)と同じである。測定センサ354の一例が、DCバイアス電圧センサである。
DCバイアスセンサ352の一部分が、エッジリング110の開口を通ってエッジリング110内へ伸びており、DCバイアスセンサ352の残りの部分が、絶縁体リング228の開口を通って絶縁体リング228内へ伸びている。DCバイアスセンサ352は、測定センサ354に到るケーブル356を通じて測定センサ354に接続される。測定センサ354は、エッジリング110のRF電力によって生成される例えばDCバイアス電圧等のDCバイアスの測定を提供する。エッジリング110のRF電力は、エッジ領域102内におけるプラズマのRF電力に基づく。測定センサ354は、転送ケーブル310を通じてホストコンピュータシステム306に接続される。
DCバイアスプローブ352は、電気信号を生成するためにエッジリング110のDCバイアス電圧を検出し、該DCバイアス電圧は、エッジ領域102におけるプラズマのRF電力によって誘起される。電気信号は、ケーブル356を通って測定センサ354に送信され、測定センサ354は、電気信号に基づいてDCバイアス電圧を測定する。測定されたDCバイアス電圧の大きさが、測定センサ354から転送ケーブル310を通じてデータとしてホストコンピュータシステム306に送信される。
ホストコンピュータシステム306のプロセッサは、測定されたDCバイアス電圧に基づいて、IMC108に結合されたxMHz RF発生器又はx1kHz RF発生器によって供給されるべき電力の量を決定する。例えば、DCバイアス電圧と、xMHz RF発生器又はx1kHz RF発生器によって供給されるべき電力との間の例えば1対1の関係、関係付け、マッピング等などの対応関係が、プロセッサに結合されたメモリデバイスに格納される。ホストコンピュータシステム306のプロセッサは、測定されたDCバイアス電圧から、その測定されたDCバイアス電圧が、実現されるべき所定のDCバイアス電圧に一致しない又は実現されるべき所定のDCバイアス電圧から所定の範囲内にないことを決定する。プロセッサは、所定のDCバイアス電圧と、xMHz RF発生器又はx1kHz RF発生器によって供給されるべき電力の量との間の対応関係に基づいて、電力の量を決定する。プロセッサは、その量の電力がxMHz RF発生器又はx1kHz RF発生器によって供給されるべきであることをxMHz RF発生器又はx1kHz RF発生器に示す制御信号を生成する。
上記電力の量を受信すると、xMHz RF発生器又はx1kHz RF発生器は、その電力の量を有するRF信号を生成し、該RF信号をRFケーブル126を通じてIMC108に供給する。IMC108は、xMHz RF発生器又はx1kHz RF発生器から受信されたRF信号から修正RF信号を生成するために、IMC208に結合された負荷のインピーダンスをIMC108に結合されたソースのインピーダンスに一致させる。修正RF信号は、RFフィルタ208、該RFフィルタ208に結合されたフィードリング、及び同軸ケーブル220を通じて電極202に提供される。エッジ領域102内におけるプラズマのインピーダンスを変化させて、更にエッジ領域102内におけるイオン束の方向を修正するために、電極202と、エッジリング110との間のキャパシタンスは、電極202が修正RF信号を受信するときに変化する。
図3Dは、エッジ領域102内におけるインピーダンスを制御して、更にエッジ領域102におけるイオン束の方向性を制御するために、DCバイアス電圧を使用してRFフィルタ207を調整することを説明するための、システム370の一実施形態の図である。システム370は、平面イオン束プローブ302(図3B)及び測定センサ304(図3B)の代わりに測定センサ354及びDCバイアスプローブ352を含むことを除いてシステム320(図3B)と同じである。図3Cを参照にして説明されたように、測定センサ354は、測定されたDCバイアス電圧を転送ケーブル310を通じてホストコンピュータシステム306に出力する。
ホストコンピュータシステム306のプロセッサは、測定されたDCバイアス電圧に基づいて、電源328によって供給されるべき電力の量を決定する。例えば、DCバイアス電圧と、電源328によって供給されるべき電力との間の例えば1対1の関係、関係付け、マッピング等などの対応関係が、プロセッサに結合されたメモリデバイスに格納される。ホストコンピュータシステム306のプロセッサは、測定されたDCバイアス電圧から、その測定されたDCバイアス電圧が、実現されるべき所定のDCバイアス電圧に一致しない又は実現されるべき所定のDCバイアス電圧から所定の範囲内にないことを決定する。プロセッサは、所定のDCバイアス電圧と、電源328によって供給されるべき電力の量との間の対応関係に基づいて、電力の量を決定する。プロセッサは、その量の電力が電源328によって供給されるべきであることを電源328に示す制御信号を生成する。
制御信号は、転送ケーブル324を通じて電源328に送信される。図3Bを参照にして上述されたように、電源328は、上記電力の量を受信すると、その量の電力を生成し、ケーブル330を通じてモータ322に供給する。モータ322は、RFフィルタ207のパラメータを変化させるように回転し、パラメータの変化は、電極202とエッジリング110との間のキャパシタンスを変化させる。電極202とエッジリング110との間のキャパシタンスは、エッジ領域102内におけるプラズマのインピーダンスを変化させて更にエッジ領域102内におけるイオン束の方向性を変化させるように変化される。
一部の実施形態では、例えば複合電流等の電流、又は例えばDCバイアス電圧や複合電圧等の電圧が、本書において変数として言及される。
図4Aは、結合リング112(図1)に埋め込まれるメッシュ電極402の一実施形態の図である。メッシュ電極402は、網の目状構造を形成するための複数の配線の交わりを含み、電極202(図2A)の一例である。メッシュ電極402は、例えばアルミニウムや銅等の金属で作成される。
図4Bは、電極202(図2A)の一例であるリング状電極404の一実施形態の図である。リング状電極404は、筒状の構造である、又は例えば板状のように平坦な構造である。リング状電極404は、例えばアルミニウムや銅等の金属で作成される。
図5は、フィードリング502の一部分、及び該部分と電力ピン204との間の接続を説明するための、プラズマチャンバ500の一実施形態の図である。プラズマチャンバ500は、プラズマチャンバ104(図1)の一例である。フィードリング502は、一方の端506においてRF伝送路122(図1)のRFロッド504に接続され、反対側の端508において電力ピン204の同軸ケーブル220に接続される。プラズマチャンバ500は、RF伝送路124(図1)のRFロッド510を含む。RFロッド510は、RFシリンダ512内に位置し、該RFシリンダ512は、その底部を別のRFシリンダ514に取り囲まれる。
RF伝送路122を通じてIMC108から送信される修正RF信号は、RF伝送路122のRFロッド504及び端506を通じてフィードリング502に送信される。修正RF信号の一部分は、電極202とエッジリング110との間に容量結合を提供するために、端506から端508及び同軸ケーブル220を経て、結合リング112に埋め込まれた電極202に移動する。
電極202に受動電力が提供される一部の実施形態では、RFロッド504は、RF伝送路122(図1)に代わってRF伝送路254である。RF伝送路254は、RFフィルタ207をRFフィルタ208(図2B)に結合する。
様々な実施形態では、RFフィルタ208は、RF伝送路254のRFロッド504に結合され、フィードリング502に結合される。例えば、RFフィルタ207に接続された大地から電極202に向かって受動RF電力が流れる一実施形態では、RFフィルタ208の入力が、RFロッド504に結合され、RFフィルタ208の出力が、フィードリング502に結合される。別の一例として、エッジ領域102からの受動RF電力が、RFフィルタ207に結合された大地に流れる一実施形態では、RFフィルタ208の入力が、フィードリング502に結合され、RFフィルタ208の出力が、RFロッド504に結合される。更に別の一例として、RFフィルタ208は、アーム716の端506に結合され、RFロッド504に結合される。
能動電力が使用される一実施形態では、RFフィルタ208の入力が、IMC108(図2A)に更に結合されるRFロッド504に結合され、RFフィルタ208の出力が、フィードリング502に結合される。
図6は、電極202の場所をプラズマチャンバのその他のコンポーネントに関連付けて説明するための、プラズマチャンバ104(図1)の一例であるプラズマチャンバの一部分650の一実施形態の図である。部分650は、プラズマチャンバの絶縁体リング652を含む。絶縁体リング652は、絶縁体リング604の一部分を取り囲み、絶縁体リング652の一部分は、絶縁体リング604の下方に位置付けられる。絶縁体リング604は、別の絶縁体リング654の下方に位置付けられる。
絶縁体リング654は、結合リング112に隣接しており、エッジリング110を取り巻く絶縁体リング612の下方である。結合リング112は、チャック114に隣接している。エッジリング110は、結合リング112の一部分608の上に被せられる。結合リング112の部分608は、電極202とエッジリング110との間に容量結合が確立されるように、電極202とエッジリング110の下面との間で誘電体のように機能する。部分608は、エッジリング110と、結合リング112の残りの部分606との間に誘電体を形成する。絶縁体リング612は、接地される可動接地リング614に取り囲まれる。可動接地リング614は、やはり接地される固定接地リング616の上に位置付けられる。
絶縁体654は、その内側で、チャック114、設備板224、及び結合リング112に隣接して位置付けられ、その外側で、固定接地リング616に隣接して位置付けられる。更に、絶縁体リング604は、チャック114を支える設備板224の下方に位置付けられる。固定接地リング616は、絶縁体リング654に隣接して絶縁体リング654を取り囲み、尚且つ絶縁体リング652の上にある。
閉じ込めリング238(図2A及び図2B)は、閉じ込めリング部分656と、例えばスロットを開けられたリング等の閉じ込めリング水平部分658とを含む。上部電極121は、上部電極延長部660に取り囲まれる。
上部電極121とチャック114との間に形成された隙間232は、上部電極121、上部電極延長部660、閉じ込めリング部分656、閉じ込めリング水平部分658、絶縁体リング612、エッジリング110、及びチャック114に取り囲まれる。
結合リング112は、エッジリング110、絶縁体リング654、及びチャック114に取り囲まれる。例えば、結合リング112は、チャック114、エッジリング110、及び絶縁体リング654に隣接している。別の一例として、エッジリング110は、電極202が埋め込まれた結合リング112の上に位置付けられ、チャック114は、結合リング112の内側に隣接して位置付けられ、絶縁体リング654は、結合リング112の外側に隣接して位置付けられる。同軸ケーブル220は、結合リング112の部分606内に位置付けられた電極202に接続されるために、絶縁体リング604及び絶縁体リング654を通る。
図7は、RFロッド504に結合されるフィードリング502を説明するための、システム700の一実施形態の図である。フィードリング502は、複数のアーム710、712、714、及び716に接続される円形部分708を含む。円形部分708は、平坦又はリング状である。アーム716は、端506においてRFロッド504に接続され、反対側の端718において円形部分708に接続される。例えば、アーム716は、例えばネジ、ボルト、クランプ(締め具)、ナット、又はそれらの組み合わせ等の取り付けメカニズムを通じて端506においてRFロッド504に取り付けられる。同様に、アーム710は、端720において電力ピン702に接続される。例えば、アーム710は、取り付けメカニズムを通じて端720において電力ピン702に取り付けられる。電力ピン702は、電力ピン204と構造的に及び機能的に同じである。例えば、電力ピン702は、同軸ケーブルと、該同軸ケーブルの少なくとも一部分を取り囲むスリーブとを含む。アーム710は、反対側の端722において円形部分708に接続される。
更に、アーム712は、端724において電力ピン704に接続され、該電力ピン704は、電力ピン204と構造的に及び機能的に同じである。例えば、電力ピン704は、同軸ケーブルと、該同軸ケーブルの少なくとも一部分を取り囲むスリーブとを含む。一例として、アーム712は、取り付けメカニズムを通じて端724において電力ピン704に取り付けられる。アーム712は、反対側の端726において円形部分708に接続される。
更に、アーム714は、端508において電力ピン204に接続される。アーム714は、反対側の端728において円形部分708に接続される。アーム710は、電力ピン702の同軸ケーブルに接続するために円形部分708から伸びており、アーム712は、電力ピン704の同軸ケーブルに接続するために円形部分708から伸びており、アーム714は、電力ピン204の同軸ケーブル220に接続するために円形部分798から伸びている。例えば電力ピン702の同軸ケーブル等の電力ピン702は、一点730において、結合リング112に埋め込まれた電極202に接続される。更に、例えば電力ピン704の同軸ケーブル等の電力ピン704は、一点732において電極202に接続され、例えば同軸ケーブル220等の電力ピン204は、一点734において電極202に接続される。
RFロッド504及びインピーダンス整合回路108(図1)を通じて受信された修正RF信号は、アーム716を通じて円形部分708に送信され、アーム710、712、及び714の間で分割される。修正RF信号の電力の一部分が、アーム710、及び例えば電力ピン702の同軸ケーブル等の電力ピン702を経て電極202に到り、修正RF信号の電力の別の一部分が、アーム712、及び例えば電力ピン704の同軸ケーブル等の電力ピン704を経て電極202に到り、電力の更に別の一部分が、アーム714、及び例えば同軸ケーブル220等の電力ピン204を経て電極202に到る。
一部の実施形態では、フィードリング502は、円形部分708から伸びて結合リング112内の電極202に接続するアームを、例えば2本、1本、4本、5本等のように任意のその他の本数含む。
様々な実施形態では、円形部分708に代わって、例えば楕円形や多角形等の別の形状の部分が使用される。
図8Aは、電極202(図2A)に供給される電力の量の変化にともなう、プラズマチャンバ104内で処理されるウエハの正規化エッチング速度の変化を説明するための、グラフ800の一実施形態の図である。ウエハは、基板120(図1)の一例である。グラフ800は、プラズマチャンバ104のチャック114(図1)がIMC113(図1)を通じてx1kHz RF発生器及びzMHz RF発生器からRF電力を供給されるときにおける、並びに電極202がIMC108(図1)を通じてxMHz RF発生器からRF電力を供給されるときにおける正規化エッチング速度を、ウエハの半径に対してプロットしている。
グラフ800は、3つのプロット802、804、及び806を含む。プロット802は、xMHz RF発生器のRF電力P1がIMC108を通じて電極202に供給されるときに生成される。プロット804は、xMHz RF発生器のRF電力P2がIMC108を通じて電極202に供給されるときに生成され、プロット806は、xMHz RF発生器のRF電力P3がIMC108を通じて電極202に供給されるときに生成される。電力P3は、電力P2よりも大きく、電力P2は、電力P1よりも大きい。
図8Bは、電極202に供給される電力の量の変化にともなうイオン束の方向性の変化を説明するための、プラズマチャンバ104(図1)の一部分の図である。上記量の電力P1が電極202に供給されるときに、イオン束810の方向性812aは、基板120に向かって垂直に方向付けられるのではなく、結合リング112の直径に直角である90度のイオン入射角に対して負の角度-θに方向付けられるような方向性である。角度θは、結合リング112の直径に直角である垂直軸に対して測定される。これは、エッジ領域102における基板120のエッチング速度を増加させる。
更に、上記量の電力P2が電極202に供給されるときに、イオン束810の方向性812bは、イオンが垂直に方向付けられるような、例えばθ=0であるような方向性である。電力P2は、エッジリング110の電圧を電力P1の場合と比べて増加させる。これは、エッジ領域102における基板120のエッチング速度を、上記量の電力P1が供給されるときと比べて低減させる。エッチング速度は、エッジ領域102において均一なエッチング速度を実現するために、及びエッジ領域102において平らなプラズマシースを実現するために低減される。例えば、ウエハの上方とエッジリング110の上方との間で、プラズマシースのレベルにはほとんど又は全く差がない。
また、上記量の電力P3が電極202に供給されるときに、イオン束810の方向性812cは、イオンが基板120に向かって垂直に方向付けられるのではなく、正の角度θで方向付けられるような方向性である。これは、エッジ領域102における基板120のエッチング速度を、上記量の電力P2が供給されるときと比べて低減させる。電極202に供給される電力の量を制御することによって、電力ピン204(図2A)及び電極202を通じてイオン束810の方向性が制御される。
一部の実施形態では、角度θを負の値からゼロに及び更に正の値に変化させて、イオン束810の方向性を制御するために、電極202によって供給される電力を増加させる代わりにRFフィルタ207(図2B)のキャパシタンスの大きさが増加される。
図9Aは、RFフィルタ207(図2B)のキャパシタンスの変化にともなう基板120(図1)のエッチング速度の変化を説明するための、グラフ900の一実施形態の図である。グラフ900は、RFフィルタ207の様々なキャパシタンス値について、正規化エッチング速度をウエハの半径に対してプロットしている。RFフィルタ207のキャパシタンスが増加するにつれて、エッジ領域102(図1)におけるウエハのエッチング速度が減少し、該エッチング速度の更なる均一性が実現される。
図9Bは、エッジリング110(図1)のピーク電圧をRFフィルタ207(図2B)のキャパシタンスに対してプロットしたグラフ902の一実施形態の図である。RFフィルタ207のキャパシタンスが増加するにつれて、エッジリング110のピーク電圧は増加し、イオン束810(図8B)の方向性を負のθからゼロに及び更に正のθに変化させる。
留意すべきは、上述された実施形態の幾つかでは、RF信号がチャック114に供給され、上部電極121が接地されることである。様々な実施形態では、RF信号が上部電極121に供給され、チャック114が接地される。
一部の実施形態では、電極202及び結合リング112は、それぞれ複数の区分に区分けされる。電極の各区分は、1つ以上のRF発生器からRF電力を独立に提供される。
本書で説明される実施形態は、手持ち式ハードウェアユニット、マイクロプロセッサシステム、マイクロプロセッサをベースにした若しくはプログラマブルな家庭用電子機器、ミニコンピュータ、メインフレームコンピュータなどの、様々なコンピュータシステム構成で実施されてよい。本書で説明される実施形態は、また、コンピュータネットワークを通じてリンクされた遠隔処理ハードウェアユニットによってタスクが実施される分散コンピューティング環境内でも実施できる。
一部の実施形態では、コントローラは、システムの一部であってよく、該システムは、上述された例の一部であってよい。システムは、1つ若しくは複数の処理ツール、1つ若しくは複数のチャンバ、処理のための1つ若しくは複数のプラットフォーム、及び/又は特定の処理コンポーネント(ウエハ台座、ガスフローシステム等)を含む。これらのシステムは、半導体ウエハ又は基板の処理の前、最中、及び後にそれらの動作を制御するための電子機器と一体化される。電子機器は、「コントローラ」と称され、システムの様々なコンポーネント又は副部品を制御してよい。コントローラは、処理要件及び/又はシステムタイプに応じ、プロセスガスの配送、温度の設定(例えば、加熱及び/又は冷却)、圧力の設定、真空の設定、電力の設定、RF発生器の設定、RF整合回路の設定、周波数の設定、流量の設定、流体配送の設定、位置及び動作の設定、ツールへの、及びシステムに接続された若しくはインターフェース接続されたその他の移送ツール及び/若しくはロードロックに対してウエハを出入りさせるウエハ移送などの、本書で開示される任意のプロセスを制御するようにプログラムされる。
概して、多様な実施形態において、コントローラは、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、終点測定を可能にするなどを行う様々な集積回路、ロジック、メモリ、及び/又はソフトウェアを有する電子機器として定義される。集積回路は、プログラム命令を格納するファームウェアの形態をとるチップ、デジタル信号プロセッサ(DSP)、特殊用途向け集積回路(ASIC)として定められたチップ、プログラマブルロジックデバイス(PLD)、及び/又はプログラム命令(例えば、ソフトウェア)を実行する1つ以上のマイクロプロセッサ若しくはマイクロコントローラを含む。プログラム命令は、様々な個別設定(又はプログラムファイル)の形でコントローラに伝えられて、半導体ウエハに対して又は半導体ウエハのためにプロセスを実行に移すための動作パラメータを定義する命令である。動作パラメータは、一部の実施形態では、1枚以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、及び/又はウエハダイの製作における1つ以上の処理工程を実現するためにプロセスエンジニアによって定義されるレシピの一部である。
コントローラは、一部の実施形態では、システムと一体化された、システムに結合された、それ以外の形でシステムにネットワーク接続された、若しくはこれらの組み合わせである、コンピュータの一部である、又はそのようなコンピュータに結合される。例えば、コントローラは、「クラウド」の中、即ちファブホストコンピュータシステムの全体若しくは一部の中にあり、これは、ウエハ処理のための遠隔アクセスを可能にする。コントローラは、製作動作の現進行状況を監視するために、又は過去の製作動作の履歴を調査するために、又は複数の製作動作から傾向若しくは性能基準を調査するために、又は現処理のパラメータを変更するために、又は処理工程を設定して現処理を追跡するために、又は新しいプロセスを開始させるために、システムへの遠隔アクセスを可能にする。
一部の実施形態では、遠隔コンピュータ(例えば、サーバ)が、ローカルネットワーク又はインターネットを含むコンピュータネットワークを通じてシステムにプロセスレシピを提供する。遠隔コンピュータは、パラメータ及び/若しくは設定の入力又はプログラミングを可能にするユーザインターフェースを含み、これらのパラメータ及び/又は設定は、次いで、遠隔コンピュータからシステムに伝えられる。一部の例では、コントローラは、ウエハを処理するための設定の形式で命令を受信する。理解すべきは、これらの設定が、ウエハに対して実施されるプロセスのタイプに、及びコントローラがインターフェース接続されるように又は制御するように構成されたツールのタイプに特有であることである。したがって、上述のように、コントローラは、ネットワークによって結ばれて本書で説明される完成までの一連のプロセスなどの共通の目的に向かって作業する1つ以上の個別のコントローラを含むなどによって、分散される。このような目的のための分散コントローラの一例に、(プラットフォームレベルで又は遠隔コンピュータの一部としてなどで)遠隔設置されてチャンバにおけるプロセスを協同で制御する1つ以上の集積回路とやり取りするチャンバ上の1つ以上の集積回路がある。
様々な実施形態では、システムは、プラズマエッチングチャンバ、堆積チャンバ、スピンリンスチャンバ、金属めっきチャンバ、洗浄チャンバ、ベベルエッジエッチングチャンバ、物理蒸着(PVD)チャンバ、化学気相成長(CVD)チャンバ、原子層堆積(ALD)チャンバ、原子層エッチング(ALE)チャンバ、イオン注入チャンバ、追跡チャンバ、並びに半導体ウエハの製作及び/若しくは製造に関係付けられた若しくは使用されるその他のあらゆる半導体処理システムがある。
更に留意すべきは、上述された動作が、例えば容量結合プラズマチャンバ等の並行平板型プラズマチャンバに言及して説明されている一方で、一部の実施形態では、上述された動作が、例えば誘導結合プラズマ(ICP)リアクタ、トランス結合プラズマ(TCP)リアクタ、導体ツール、誘電体ツール、電子サイクロトロン共鳴(ECR)リアクタを含むプラズマチャンバ等の、その他のタイプのプラズマチャンバにも適用することである。例えば、1つ以上のRF発生器が、ICPプラズマチャンバ内でインダクタに結合される。インダクタの形状の例として、ソレノイド、ドーム状コイル、平らな形状のコイル等が挙げられる。
上記のように、ツールによって実施されるプロセス動作に応じて、コントローラは、その他のツール回路若しくはツールモジュール、その他のツールコンポーネント、クラスタツール、その他のツールインターフェース、隣接するツール、近隣のツール、工場の随所に設置されたツール、メインコンピュータ、別のコントローラ、又は半導体製造工場におけるツール場所及び/若しくは装填ポートに対してウエハが入った容器を出し入れする材料輸送に使用されるツールのうちの、1つ以上とやり取りする。
上記の実施形態を念頭に置くと、理解すべきは、一部の実施形態が、コンピュータシステムに格納されたデータを伴う様々なコンピュータ実行動作を利用することである。これらのコンピュータ実行動作は、物理量の物理的操作を必要とする動作である。
一部の実施形態は、また、これらの動作を実施するためのハードウェアユニット又は装置にも関する。装置は、特殊用途コンピュータ用に特別に構成される。特殊用途コンピュータとして定められるときは、コンピュータは、その特殊用途のために動作可能でありつつ、特殊用途の一部ではないその他の処理、プログラム実行、又はルーチンも実施する。
一部の実施形態では、本書で説明される動作は、コンピュータメモリに格納された又はコンピュータネットワークを通じて得られた1つ以上のコンピュータプログラムによって選択的にアクティブにされた又は構成されたコンピュータによって処理される。データがネットワークを通じて得られるときは、そのデータは、例えばコンピューティングリソースのクラウドなどの、コンピュータネットワーク上のその他のコンピュータによって処理されてよい。
本書で説明される1つ又は複数の実施形態が、非一時的なコンピュータ読み取り可能媒体上のコンピュータ読み取り可能コードとしても製作できる。非一時的なコンピュータ読み取り可能媒体は、コンピュータシステムによって後で読み出されるデータを格納する例えばメモリデバイス等の任意のデータストレージハードウェアユニットである。非一時的なコンピュータ読み取り可能媒体の例として、ハードドライブ、ネットワーク接続ストレージ(NAS)、ROM、RAM、コンパクトディスクROM(CD-ROM)、記録可能CD(CD-R)、書き換え可能CD(CD-RW)、磁気テープ、並びにその他の光及び非光データストレージハードウェアユニットが挙げられる。一部の実施形態では、非一時的なコンピュータ読み取り可能媒体は、コンピュータ読み取り可能コードが分散方式で格納及び実行されるようにネットワーク結合コンピュータシステムに分散された有形のコンピュータ読み取り可能媒体を含む。
上述された方法の動作は、特定の順番で提示されているが、様々な実施形態では、これらの動作は、間にその他のハウスキーピング動作が実施されること、又は僅かに異なる時点で生じるように調整されること、又は方法動作が様々な時間間隔で発生する若しくは上述されたものとは異なる順番で実施されることを許容するシステム内で分散されることが、理解されるべきである。
更に留意すべきは、一実施形態では、上述された任意の実施形態からの1つ又は複数の特徴が、本開示で説明された様々な実施形態で説明された範囲から逸脱することなくその他の任意の実施形態の1つ又は複数の特徴と組み合わされることである。
以上の実施形態は、理解を明瞭にする目的で幾らか詳細に説明されてきたが、添付の特許請求の範囲内で特定の変更及び修正が実施できることが明らかである。したがって、これらの実施形態は、例示的であって、限定的ではないと見なされ、本明細書で与えられる詳細に限定されず、添付の特許請求の範囲及びそれらの均等物の範囲内で変更されえる。
x1kHz RF発生器は、RF信号を生成し、該RF信号をIMC113に送信する。同様に、zMHz RF発生器は、RF信号を生成し、該RF信号をIMC113に送信する。IMC113は、その出力において修正RF信号を提供するために、IMC113の出力に結合された、例えばRF伝送路124やプラズマチャンバ104等の負荷のインピーダンスを、IMC113の入力に結合された、例えばRFケーブル128、RFケーブル130、x1kHz RF発生器、及びzMHz RF発生器等のソースのインピーダンスに一致させる。同様に、IMC108は、その出力において修正RF信号を提供するために、IMC108の出力に結合された、例えばプラズマチャンバ104やRF伝送路122等の負荷のインピーダンスを、IMC108の入力に結合された、例えばxMHz RF発生器やRFケーブル126等のソースのインピーダンスに一致させる。
上記電力の量を受信すると、xMHz RF発生器又はx1kHz RF発生器は、その電力の量を有するRF信号を生成し、該RF信号をRFケーブル126を通じてIMC108に供給する。IMC108は、xMHz RF発生器又はx1kHz RF発生器から受信されたRF信号から修正RF信号を生成するために、IMC108に結合された負荷のインピーダンスをIMC108に結合されたソースのインピーダンスに一致させる。修正RF信号は、RFフィルタ208、該RFフィルタ208に結合されたフィードリング、及び同軸ケーブル220を通じて電極202に提供される。エッジ領域102内におけるプラズマのインピーダンスを変化させて、更にエッジ領域102内におけるイオン束の方向を修正するために、電極202と、エッジリング110の下面との間のキャパシタンスは、電極202が修正RF信号を受信するときに変化する。
DCバイアスセンサ352の一部分が、エッジリング110の開口を通ってエッジリング110内へ伸びており、DCバイアスセンサ352の残りの部分が、絶縁体リング228の開口を通って絶縁体リング228内へ伸びている。DCバイアスセンサ352は、ケーブル356を通じて測定センサ354に接続される。測定センサ354は、エッジリング110のRF電力によって生成される例えばDCバイアス電圧等のDCバイアスの測定を提供する。エッジリング110のRF電力は、エッジ領域102内におけるプラズマのRF電力に基づく。測定センサ354は、転送ケーブル310を通じてホストコンピュータシステム306に接続される。
上記電力の量を受信すると、xMHz RF発生器又はx1kHz RF発生器は、その電力の量を有するRF信号を生成し、該RF信号をRFケーブル126を通じてIMC108に供給する。IMC108は、xMHz RF発生器又はx1kHz RF発生器から受信されたRF信号から修正RF信号を生成するために、IMC108に結合された負荷のインピーダンスをIMC108に結合されたソースのインピーダンスに一致させる。修正RF信号は、RFフィルタ208、該RFフィルタ208に結合されたフィードリング、及び同軸ケーブル220を通じて電極202に提供される。エッジ領域102内におけるプラズマのインピーダンスを変化させて、更にエッジ領域102内におけるイオン束の方向を修正するために、電極202と、エッジリング110との間のキャパシタンスは、電極202が修正RF信号を受信するときに変化する。
更に、アーム714は、端508において電力ピン204に接続される。アーム714は、反対側の端728において円形部分708に接続される。アーム710は、電力ピン702の同軸ケーブルに接続するために円形部分708から伸びており、アーム712は、電力ピン704の同軸ケーブルに接続するために円形部分708から伸びており、アーム714は、電力ピン204の同軸ケーブル220に接続するために円形部分708から伸びている。例えば電力ピン702の同軸ケーブル等の電力ピン702は、一点730において、結合リング112に埋め込まれた電極202に接続される。更に、例えば電力ピン704の同軸ケーブル等の電力ピン704は、一点732において電極202に接続され、例えば同軸ケーブル220等の電力ピン204は、一点734において電極202に接続される。
以上の実施形態は、理解を明瞭にする目的で幾らか詳細に説明されてきたが、添付の特許請求の範囲内で特定の変更及び修正が実施できることが明らかである。したがって、これらの実施形態は、例示的であって、限定的ではないと見なされ、本明細書で与えられる詳細に限定されず、添付の特許請求の範囲及びそれらの均等物の範囲内で変更されえる。本開示は、以下の形態により実現されてもよい。
[形態1]
プラズマチャンバ内でエッジ領域におけるイオン束の方向性を制御するためのシステムであって、
第1のRF信号を生成するように構成された第1の高周波数(RF)発生器と、
前記第1のRF発生器に結合され、前記第1のRF信号を受信して第1の修正RF信号を生成するための第1のインピーダンス整合回路と、
プラズマチャンバであって、
エッジリングと、
前記エッジリングの下方に位置付けられ、前記第1の修正RF信号を受信するために前記第1のインピーダンス整合回路に結合された結合リングであって、前記第1の修正RF信号を受信すると電極と前記エッジリングとの間にキャパシタンスを生成してイオン束の方向性を制御するように構成された前記電極を含む、結合リングと、
を含むプラズマチャンバと、
を備えるシステム。
[形態2]
形態1に記載のシステムであって、更に、
第2のRF信号を生成するように構成された第2のRF発生器と、
前記第2のRF発生器に結合され、前記第2のRF信号を受信して第2の修正RF信号を生成するように構成された第2のインピーダンス整合回路と、
前記第2のインピーダンス整合回路に結合され、前記第2の修正RF信号を受信して前記プラズマチャンバ内におけるプラズマのインピーダンスを変化させるように構成されたチャックであって、前記エッジリング及び前記結合リングの側方に位置付けられたチャックと、
を備えるシステム。
[形態3]
形態1に記載のシステムであって、
前記電極は、前記結合リングに埋め込まれ、ワイヤメッシュ又はリングである、システム。
[形態4]
形態1に記載のシステムであって、更に、
前記結合リングの下方に位置付けられた第1の絶縁体リングと、
前記第1の絶縁体リングの下方に位置付けられた第2の絶縁体リングと、
前記電極に結合するために前記第2の絶縁体リング、前記第1の絶縁体リング、及び前記結合リングの一部分を通って延設されているケーブルであって、前記結合リングにおける前記電極と前記エッジリングとの間の部分は、前記電極と前記エッジリングとの間の誘電体として機能する、ケーブルと、
を備えるシステム。
[形態5]
形態4に記載のシステムであって、更に、
前記第1の絶縁体リングの側方に位置付けられた接地リングを備えるシステム。
[形態6]
形態1に記載のシステムであって、更に、
前記電極に結合された電力ピンの同軸ケーブルと、
一点において前記同軸ケーブルに結合されたフィードリングと、
前記フィードリング及び前記第1のインピーダンス整合回路に結合されたRFロッドと、
を備えるシステム。
[形態7]
形態1に記載のシステムであって、更に、
前記プラズマチャンバ内に位置付けられたプローブと、
前記プローブに結合され、前記イオン束に関係付けられた変数を測定するように構成されたセンサと、
前記変数に基づいて、前記第1のRF発生器によって前記電極に供給される電力の量が修正されるべきであるかどうかを決定するために、前記センサに結合されたホストコンピュータシステムと、
を備え、
前記ホストコンピュータシステムは、前記第1のインピーダンス整合回路を通じて前記第1のRF発生器によって前記電極に供給される前記電力の量を変更するために、前記第1のRF発生器に結合される、システム。
[形態8]
プラズマチャンバ内でエッジ領域におけるイオン束の方向性を制御するためのシステムであって、
第1のフィルタリングされたRF信号を出力するように構成された第1の高周波数(RF)フィルタと、
前記第1のRFフィルタに結合され、前記第1のフィルタリングされたRF信号を受信して第2のフィルタリングされたRF信号を出力するための第2のRFフィルタと、
プラズマチャンバであって、
エッジリングと、
前記エッジリングの下方に位置付けられ、前記第2のRFフィルタに結合された結合リングであって、前記第2のフィルタリングされたRF信号を受信し、前記第2のフィルタリングされたRF信号を受信すると更に電極と前記エッジリングとの間にキャパシタンスを生成してイオン束の方向性を制御するように構成された前記電極を含む、結合リングと、
を含むプラズマチャンバと、
を備えるシステム。
[形態9]
形態8に記載のシステムであって、更に、
RF信号を生成するように構成されたRF発生器と、
前記RF発生器に結合され、前記RF信号を受信して修正RF信号を生成するように構成されたインピーダンス整合回路と、
前記インピーダンス整合回路に結合され、前記修正RF信号を受信して前記プラズマチャンバ内におけるプラズマのインピーダンスを変化させるように構成されたチャックであって、前記エッジリング及び前記結合リングの側方に位置付けられたチャックと、
を備えるシステム。
[形態10]
形態8に記載のシステムであって、
前記電極は、前記結合リングに埋め込まれ、ワイヤメッシュ又はリングである、システム。
[形態11]
形態8に記載のシステムであって、更に、
前記結合リングの下方に位置付けられた第1の絶縁体リングと、
前記第1の絶縁体リングの下方に位置付けられた一部分を有する第2の絶縁体リングと、
前記電極に結合するために前記第2の絶縁体リング、前記第1の絶縁体リング、及び前記結合リングの一部分を通って延設されている電力ピンであって、前記結合リングにおける前記電極と前記エッジリングとの間の部分は、前記電極と前記エッジリングとの間の誘電体として機能する、電力ピンと、
を備えるシステム。
[形態12]
形態11に記載のシステムであって、更に、
前記第1の絶縁体リングの側方に位置付けられた接地リングを備えるシステム。
[形態13]
形態8に記載のシステムであって、更に、
前記電極に結合された電力ピンの同軸ケーブルと、
一点において前記同軸ケーブルに結合されたフィードリングと、
前記フィードリングに結合された前記第2のRFフィルタに結合されたRFロッドと、
を備えるシステム。
[形態14]
形態8に記載のシステムであって、更に、
前記プラズマチャンバ内に位置付けられたプローブと、
前記プローブに結合され、イオン束に関係付けられた変数を測定するように構成されたセンサと、
前記変数に基づいて、前記第1のRFフィルタのキャパシタンスが修正されるべきであるかどうかを決定するために、前記センサに結合されたホストコンピュータシステムと、
前記ホストコンピュータシステムに結合されたモータと、
を備え、
前記ホストコンピュータシステムは、前記モータを通じて前記第1のRFフィルタの前記キャパシタンスを修正するように構成される、システム。
[形態15]
プラズマチャンバ内でエッジ領域におけるイオン束の方向性を制御するためのシステムであって、
フィルタリングされたRF信号を出力するように構成された高周波数(RF)フィルタと、
プラズマチャンバであって、
エッジリングと、
前記エッジリングの下方に位置付けられ、前記フィルタリングされたRF信号を受信するために前記RFフィルタに結合された結合リングであって、前記フィルタリングされたRF信号を受信すると電極と前記エッジリングとの間にキャパシタンスを生成してイオン束の方向性を制御するように構成された前記電極を含む、結合リングと、
を含むプラズマチャンバと、
を備えるシステム。
[形態16]
形態15に記載のシステムであって、更に、
RF信号を生成するように構成されたRF発生器と、
前記RF発生器に結合され、前記RF信号を受信して修正RF信号を生成するように構成されたインピーダンス整合回路と、
前記インピーダンス整合回路に結合され、前記修正RF信号を受信して前記プラズマチャンバ内におけるプラズマのインピーダンスを変化させるように構成されたチャックであって、前記エッジリング及び前記結合リングの側方に位置付けられたチャックと、
を備えるシステム。
[形態17]
形態15に記載のシステムであって、
前記電極は、前記結合リングに埋め込まれ、ワイヤメッシュ又はリングである、システム。
[形態18]
形態15に記載のシステムであって、更に、
前記結合リングの下方に位置付けられた第1の絶縁体リングと、
前記第1の絶縁体リングの下方に位置付けられた一部分を有する第2の絶縁体リングと、
前記電極に結合するために前記第2の絶縁体リング、前記第1の絶縁体リング、及び前記結合リングの一部分を通って延設されている電力ピンであって、前記結合リングにおける前記電極と前記エッジリングとの間の部分は、前記電極と前記エッジリングとの間の誘電体として機能する、電力ピンと、
を備えるシステム。
[形態19]
形態18に記載のシステムであって、更に、
前記第1の絶縁体リングの側方に位置付けられた接地リングを備えるシステム。
[形態20]
形態15に記載のシステムであって、更に、
前記電極に結合された電力ピンの同軸ケーブルと、
一点において前記同軸ケーブルに結合されたフィードリングと、
を備えるシステム。
[形態21]
形態15に記載のシステムであって、更に、
前記プラズマチャンバ内に位置付けられたプローブと、
前記プローブに結合され、イオン束に関係付けられた変数を測定するように構成されたセンサと、
前記変数に基づいて、前記RFフィルタのキャパシタンスが修正されるべきであるかどうかを決定するために、前記センサに結合されたホストコンピュータシステムと、
前記ホストコンピュータシステムに結合されたモータと、
を備え、
前記ホストコンピュータシステムは、前記モータを通じて前記RFフィルタの前記キャパシタンスを修正するように構成される、システム。

Claims (21)

  1. プラズマチャンバ内でエッジ領域におけるイオン束の方向性を制御するためのシステムであって、
    第1のRF信号を生成するように構成された第1の高周波数(RF)発生器と、
    前記第1のRF発生器に結合され、前記第1のRF信号を受信して第1の修正RF信号を生成するための第1のインピーダンス整合回路と、
    プラズマチャンバであって、
    エッジリングと、
    前記エッジリングの下方に位置付けられ、前記第1の修正RF信号を受信するために前記第1のインピーダンス整合回路に結合された結合リングであって、前記第1の修正RF信号を受信すると電極と前記エッジリングとの間にキャパシタンスを生成してイオン束の方向性を制御するように構成された前記電極を含む、結合リングと、
    を含むプラズマチャンバと、
    を備えるシステム。
  2. 請求項1に記載のシステムであって、更に、
    第2のRF信号を生成するように構成された第2のRF発生器と、
    前記第2のRF発生器に結合され、前記第2のRF信号を受信して第2の修正RF信号を生成するように構成された第2のインピーダンス整合回路と、
    前記第2のインピーダンス整合回路に結合され、前記第2の修正RF信号を受信して前記プラズマチャンバ内におけるプラズマのインピーダンスを変化させるように構成されたチャックであって、前記エッジリング及び前記結合リングの側方に位置付けられたチャックと、
    を備えるシステム。
  3. 請求項1に記載のシステムであって、
    前記電極は、前記結合リングに埋め込まれ、ワイヤメッシュ又はリングである、システム。
  4. 請求項1に記載のシステムであって、更に、
    前記結合リングの下方に位置付けられた第1の絶縁体リングと、
    前記第1の絶縁体リングの下方に位置付けられた第2の絶縁体リングと、
    前記電極に結合するために前記第2の絶縁体リング、前記第1の絶縁体リング、及び前記結合リングの一部分を通って延設されているケーブルであって、前記結合リングにおける前記電極と前記エッジリングとの間の部分は、前記電極と前記エッジリングとの間の誘電体として機能する、ケーブルと、
    を備えるシステム。
  5. 請求項4に記載のシステムであって、更に、
    前記第1の絶縁体リングの側方に位置付けられた接地リングを備えるシステム。
  6. 請求項1に記載のシステムであって、更に、
    前記電極に結合された電力ピンの同軸ケーブルと、
    一点において前記同軸ケーブルに結合されたフィードリングと、
    前記フィードリング及び前記第1のインピーダンス整合回路に結合されたRFロッドと、
    を備えるシステム。
  7. 請求項1に記載のシステムであって、更に、
    前記プラズマチャンバ内に位置付けられたプローブと、
    前記プローブに結合され、前記イオン束に関係付けられた変数を測定するように構成されたセンサと、
    前記変数に基づいて、前記第1のRF発生器によって前記電極に供給される電力の量が修正されるべきであるかどうかを決定するために、前記センサに結合されたホストコンピュータシステムと、
    を備え、
    前記ホストコンピュータシステムは、前記第1のインピーダンス整合回路を通じて前記第1のRF発生器によって前記電極に供給される前記電力の量を変更するために、前記第1のRF発生器に結合される、システム。
  8. プラズマチャンバ内でエッジ領域におけるイオン束の方向性を制御するためのシステムであって、
    第1のフィルタリングされたRF信号を出力するように構成された第1の高周波数(RF)フィルタと、
    前記第1のRFフィルタに結合され、前記第1のフィルタリングされたRF信号を受信して第2のフィルタリングされたRF信号を出力するための第2のRFフィルタと、
    プラズマチャンバであって、
    エッジリングと、
    前記エッジリングの下方に位置付けられ、前記第2のRFフィルタに結合された結合リングであって、前記第2のフィルタリングされたRF信号を受信し、前記第2のフィルタリングされたRF信号を受信すると更に電極と前記エッジリングとの間にキャパシタンスを生成してイオン束の方向性を制御するように構成された前記電極を含む、結合リングと、
    を含むプラズマチャンバと、
    を備えるシステム。
  9. 請求項8に記載のシステムであって、更に、
    RF信号を生成するように構成されたRF発生器と、
    前記RF発生器に結合され、前記RF信号を受信して修正RF信号を生成するように構成されたインピーダンス整合回路と、
    前記インピーダンス整合回路に結合され、前記修正RF信号を受信して前記プラズマチャンバ内におけるプラズマのインピーダンスを変化させるように構成されたチャックであって、前記エッジリング及び前記結合リングの側方に位置付けられたチャックと、
    を備えるシステム。
  10. 請求項8に記載のシステムであって、
    前記電極は、前記結合リングに埋め込まれ、ワイヤメッシュ又はリングである、システム。
  11. 請求項8に記載のシステムであって、更に、
    前記結合リングの下方に位置付けられた第1の絶縁体リングと、
    前記第1の絶縁体リングの下方に位置付けられた一部分を有する第2の絶縁体リングと、
    前記電極に結合するために前記第2の絶縁体リング、前記第1の絶縁体リング、及び前記結合リングの一部分を通って延設されている電力ピンであって、前記結合リングにおける前記電極と前記エッジリングとの間の部分は、前記電極と前記エッジリングとの間の誘電体として機能する、電力ピンと、
    を備えるシステム。
  12. 請求項11に記載のシステムであって、更に、
    前記第1の絶縁体リングの側方に位置付けられた接地リングを備えるシステム。
  13. 請求項8に記載のシステムであって、更に、
    前記電極に結合された電力ピンの同軸ケーブルと、
    一点において前記同軸ケーブルに結合されたフィードリングと、
    前記フィードリングに結合された前記第2のRFフィルタに結合されたRFロッドと、
    を備えるシステム。
  14. 請求項8に記載のシステムであって、更に、
    前記プラズマチャンバ内に位置付けられたプローブと、
    前記プローブに結合され、イオン束に関係付けられた変数を測定するように構成されたセンサと、
    前記変数に基づいて、前記第1のRFフィルタのキャパシタンスが修正されるべきであるかどうかを決定するために、前記センサに結合されたホストコンピュータシステムと、
    前記ホストコンピュータシステムに結合されたモータと、
    を備え、
    前記ホストコンピュータシステムは、前記モータを通じて前記第1のRFフィルタの前記キャパシタンスを修正するように構成される、システム。
  15. プラズマチャンバ内でエッジ領域におけるイオン束の方向性を制御するためのシステムであって、
    フィルタリングされたRF信号を出力するように構成された高周波数(RF)フィルタと、
    プラズマチャンバであって、
    エッジリングと、
    前記エッジリングの下方に位置付けられ、前記フィルタリングされたRF信号を受信するために前記RFフィルタに結合された結合リングであって、前記フィルタリングされたRF信号を受信すると電極と前記エッジリングとの間にキャパシタンスを生成してイオン束の方向性を制御するように構成された前記電極を含む、結合リングと、
    を含むプラズマチャンバと、
    を備えるシステム。
  16. 請求項15に記載のシステムであって、更に、
    RF信号を生成するように構成されたRF発生器と、
    前記RF発生器に結合され、前記RF信号を受信して修正RF信号を生成するように構成されたインピーダンス整合回路と、
    前記インピーダンス整合回路に結合され、前記修正RF信号を受信して前記プラズマチャンバ内におけるプラズマのインピーダンスを変化させるように構成されたチャックであって、前記エッジリング及び前記結合リングの側方に位置付けられたチャックと、
    を備えるシステム。
  17. 請求項15に記載のシステムであって、
    前記電極は、前記結合リングに埋め込まれ、ワイヤメッシュ又はリングである、システム。
  18. 請求項15に記載のシステムであって、更に、
    前記結合リングの下方に位置付けられた第1の絶縁体リングと、
    前記第1の絶縁体リングの下方に位置付けられた一部分を有する第2の絶縁体リングと、
    前記電極に結合するために前記第2の絶縁体リング、前記第1の絶縁体リング、及び前記結合リングの一部分を通って延設されている電力ピンであって、前記結合リングにおける前記電極と前記エッジリングとの間の部分は、前記電極と前記エッジリングとの間の誘電体として機能する、電力ピンと、
    を備えるシステム。
  19. 請求項18に記載のシステムであって、更に、
    前記第1の絶縁体リングの側方に位置付けられた接地リングを備えるシステム。
  20. 請求項15に記載のシステムであって、更に、
    前記電極に結合された電力ピンの同軸ケーブルと、
    一点において前記同軸ケーブルに結合されたフィードリングと、
    を備えるシステム。
  21. 請求項15に記載のシステムであって、更に、
    前記プラズマチャンバ内に位置付けられたプローブと、
    前記プローブに結合され、イオン束に関係付けられた変数を測定するように構成されたセンサと、
    前記変数に基づいて、前記RFフィルタのキャパシタンスが修正されるべきであるかどうかを決定するために、前記センサに結合されたホストコンピュータシステムと、
    前記ホストコンピュータシステムに結合されたモータと、
    を備え、
    前記ホストコンピュータシステムは、前記モータを通じて前記RFフィルタの前記キャパシタンスを修正するように構成される、システム。
JP2023183665A 2016-06-22 2023-10-26 結合リング内に電極を使用することによってエッジ領域におけるイオンの方向性を制御するためのシステム及び方法 Pending JP2023181326A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/190,082 2016-06-22
US15/190,082 US9852889B1 (en) 2016-06-22 2016-06-22 Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
JP2017117428A JP7166746B2 (ja) 2016-06-22 2017-06-15 結合リング内に電極を使用することによってエッジ領域におけるイオンの方向性を制御するためのシステム
JP2022118460A JP7376648B2 (ja) 2016-06-22 2022-07-26 結合リング内に電極を使用することによってエッジ領域におけるイオンの方向性を制御するためのシステム及び方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2022118460A Division JP7376648B2 (ja) 2016-06-22 2022-07-26 結合リング内に電極を使用することによってエッジ領域におけるイオンの方向性を制御するためのシステム及び方法

Publications (1)

Publication Number Publication Date
JP2023181326A true JP2023181326A (ja) 2023-12-21

Family

ID=60674790

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2017117428A Active JP7166746B2 (ja) 2016-06-22 2017-06-15 結合リング内に電極を使用することによってエッジ領域におけるイオンの方向性を制御するためのシステム
JP2022118460A Active JP7376648B2 (ja) 2016-06-22 2022-07-26 結合リング内に電極を使用することによってエッジ領域におけるイオンの方向性を制御するためのシステム及び方法
JP2023183665A Pending JP2023181326A (ja) 2016-06-22 2023-10-26 結合リング内に電極を使用することによってエッジ領域におけるイオンの方向性を制御するためのシステム及び方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2017117428A Active JP7166746B2 (ja) 2016-06-22 2017-06-15 結合リング内に電極を使用することによってエッジ領域におけるイオンの方向性を制御するためのシステム
JP2022118460A Active JP7376648B2 (ja) 2016-06-22 2022-07-26 結合リング内に電極を使用することによってエッジ領域におけるイオンの方向性を制御するためのシステム及び方法

Country Status (5)

Country Link
US (4) US9852889B1 (ja)
JP (3) JP7166746B2 (ja)
KR (2) KR102392731B1 (ja)
CN (2) CN111489951B (ja)
TW (1) TWI746579B (ja)

Families Citing this family (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
SG10202002601QA (en) 2014-10-17 2020-05-28 Applied Materials Inc Cmp pad construction with composite material properties using additive manufacturing processes
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
US10044338B2 (en) * 2015-10-15 2018-08-07 Lam Research Corporation Mutually induced filters
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
JP6595335B2 (ja) * 2015-12-28 2019-10-23 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10204795B2 (en) 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
US10163642B2 (en) * 2016-06-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method and tool of manufacture
US10283330B2 (en) * 2016-07-25 2019-05-07 Lam Research Corporation Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators
US10032661B2 (en) 2016-11-18 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method, and tool of manufacture
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10763081B2 (en) 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US10002746B1 (en) * 2017-09-13 2018-06-19 Lam Research Corporation Multi regime plasma wafer processing to increase directionality of ions
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
JP7033441B2 (ja) * 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
KR102655866B1 (ko) 2018-01-31 2024-04-05 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US11501953B2 (en) 2018-03-28 2022-11-15 Samsung Electronics Co., Ltd. Plasma processing equipment
KR101995760B1 (ko) * 2018-04-02 2019-07-03 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR20200130490A (ko) 2018-04-09 2020-11-18 어플라이드 머티어리얼스, 인코포레이티드 패터닝 애플리케이션들을 위한 탄소 하드 마스크들 및 이와 관련된 방법들
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11201037B2 (en) 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US10347500B1 (en) 2018-06-04 2019-07-09 Applied Materials, Inc. Device fabrication via pulsed plasma
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
KR20210021936A (ko) * 2018-06-22 2021-03-02 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 에칭 방법
US10504744B1 (en) * 2018-07-19 2019-12-10 Lam Research Corporation Three or more states for achieving high aspect ratio dielectric etch
KR102487930B1 (ko) 2018-07-23 2023-01-12 삼성전자주식회사 기판 지지 장치 및 이를 포함하는 플라즈마 처리 장치
US11183368B2 (en) * 2018-08-02 2021-11-23 Lam Research Corporation RF tuning systems including tuning circuits having impedances for setting and adjusting parameters of electrodes in electrostatic chucks
US10847347B2 (en) * 2018-08-23 2020-11-24 Applied Materials, Inc. Edge ring assembly for a substrate support in a plasma processing chamber
JP7299970B2 (ja) 2018-09-04 2023-06-28 アプライド マテリアルズ インコーポレイテッド 改良型研磨パッドのための配合物
WO2020068107A1 (en) * 2018-09-28 2020-04-02 Lam Research Corporation Systems and methods for optimizing power delivery to an electrode of a plasma chamber
CN111199860A (zh) * 2018-11-20 2020-05-26 江苏鲁汶仪器有限公司 一种刻蚀均匀性调节装置及方法
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
WO2020117503A1 (en) * 2018-12-05 2020-06-11 Lam Research Corporation Etching isolation features and dense features within a substrate
US10903050B2 (en) 2018-12-10 2021-01-26 Lam Research Corporation Endpoint sensor based control including adjustment of an edge ring parameter for each substrate processed to maintain etch rate uniformity
CN111326391B (zh) * 2018-12-17 2023-01-24 中微半导体设备(上海)股份有限公司 等离子体处理装置
JP7258562B2 (ja) * 2019-01-11 2023-04-17 東京エレクトロン株式会社 処理方法及びプラズマ処理装置
US11721595B2 (en) 2019-01-11 2023-08-08 Tokyo Electron Limited Processing method and plasma processing apparatus
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
US20200286717A1 (en) * 2019-03-08 2020-09-10 Applied Materials, Inc. Electrostatic chuck for high bias radio frequency (rf) power application in a plasma processing chamber
WO2020214327A1 (en) 2019-04-19 2020-10-22 Applied Materials, Inc. Ring removal from processing chamber
US12009236B2 (en) 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
KR102256216B1 (ko) * 2019-06-27 2021-05-26 세메스 주식회사 플라즈마 처리 장치 및 플라즈마 제어 방법
CN112151343B (zh) * 2019-06-28 2023-03-24 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体处理装置及其方法
CN114008761A (zh) 2019-07-01 2022-02-01 应用材料公司 通过优化等离子体耦合材料来调节膜特性
US11894255B2 (en) * 2019-07-30 2024-02-06 Applied Materials, Inc. Sheath and temperature control of process kit
KR20220041187A (ko) 2019-08-01 2022-03-31 램 리써치 코포레이션 에지 링 포켓을 세정하기 위한 시스템들 및 방법들
CN112687510B (zh) * 2019-10-18 2023-10-31 中微半导体设备(上海)股份有限公司 一种防止约束环发生电弧损伤的等离子体处理器和方法
KR20210056646A (ko) 2019-11-11 2021-05-20 삼성전자주식회사 플라즈마 처리 장비
CN112992631B (zh) * 2019-12-16 2023-09-29 中微半导体设备(上海)股份有限公司 一种下电极组件,其安装方法及等离子体处理装置
KR102344528B1 (ko) * 2020-01-15 2021-12-29 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR20220134688A (ko) * 2020-02-04 2022-10-05 램 리써치 코포레이션 플라즈마 프로세싱 시스템을 위한 rf 신호 필터 배열
TW202234461A (zh) * 2020-05-01 2022-09-01 日商東京威力科創股份有限公司 蝕刻裝置及蝕刻方法
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
KR20220021514A (ko) 2020-08-14 2022-02-22 삼성전자주식회사 상부 전극 및 이를 포함하는 기판 처리 장치
KR102603678B1 (ko) * 2020-10-13 2023-11-21 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR102593141B1 (ko) * 2020-11-05 2023-10-25 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
CN114551199A (zh) * 2020-11-19 2022-05-27 中微半导体设备(上海)股份有限公司 一种限制环及其制作方法、以及等离子体处理装置
KR20220076639A (ko) * 2020-12-01 2022-06-08 삼성전자주식회사 플라즈마 공정 장치 및 이를 이용한 반도체 장치의 제조 방법
KR102593140B1 (ko) * 2020-12-18 2023-10-25 세메스 주식회사 지지 유닛 및 기판 처리 장치
KR102249323B1 (ko) * 2020-12-23 2021-05-07 (주)제이피오토메이션 임피던스 가변형 pecvd 장치
KR20220100339A (ko) 2021-01-08 2022-07-15 삼성전자주식회사 플라즈마 처리 장치 및 이를 이용한 반도체 소자 제조방법
KR20220102201A (ko) * 2021-01-12 2022-07-20 삼성전자주식회사 척 어셈블리, 그를 포함하는 반도체 소자의 제조 장치, 및 반도체 소자의 제조방법
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
CN115249606A (zh) * 2021-04-28 2022-10-28 中微半导体设备(上海)股份有限公司 等离子体处理装置、下电极组件及其形成方法
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US20220399184A1 (en) * 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma uniformity control in pulsed dc plasma chamber
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
TW202325102A (zh) 2021-08-17 2023-06-16 日商東京威力科創股份有限公司 電漿處理裝置及蝕刻方法
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
TW202335025A (zh) 2021-09-21 2023-09-01 日商東京威力科創股份有限公司 電漿處理裝置及蝕刻方法
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5006760A (en) 1987-01-09 1991-04-09 Motorola, Inc. Capacitive feed for plasma reactor
US6113731A (en) 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
US6363882B1 (en) 1999-12-30 2002-04-02 Lam Research Corporation Lower electrode design for higher uniformity
KR100502268B1 (ko) * 2000-03-01 2005-07-22 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 방법
US6900596B2 (en) 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US7141757B2 (en) 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US6475336B1 (en) * 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
TW519716B (en) * 2000-12-19 2003-02-01 Tokyo Electron Ltd Wafer bias drive for a plasma source
KR20020088140A (ko) * 2001-05-17 2002-11-27 삼성전자 주식회사 건식 식각 설비
US20040027781A1 (en) 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US6896765B2 (en) * 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
CN102270577B (zh) 2004-06-21 2014-07-23 东京毅力科创株式会社 等离子体处理装置和方法
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US20060043067A1 (en) 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
US7938931B2 (en) 2006-05-24 2011-05-10 Lam Research Corporation Edge electrodes with variable power
KR100782370B1 (ko) * 2006-08-04 2007-12-07 삼성전자주식회사 지연 전기장을 이용한 이온 에너지 분포 분석기에 근거한이온 분석 시스템
JP5317424B2 (ja) * 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US9536711B2 (en) 2007-03-30 2017-01-03 Lam Research Corporation Method and apparatus for DC voltage control on RF-powered electrode
US7837827B2 (en) 2007-06-28 2010-11-23 Lam Research Corporation Edge ring arrangements for substrate processing
US8563619B2 (en) * 2007-06-28 2013-10-22 Lam Research Corporation Methods and arrangements for plasma processing system with tunable capacitance
US7758764B2 (en) * 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US8343305B2 (en) 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
US8622021B2 (en) 2007-10-31 2014-01-07 Lam Research Corporation High lifetime consumable silicon nitride-silicon dioxide plasma processing components
KR101625516B1 (ko) 2008-02-08 2016-05-30 램 리써치 코포레이션 플라즈마 프로세싱 장치 및 플라즈마 프로세싱 장치에서 반도체 기판을 처리하는 방법
EP2122657B8 (en) 2008-03-20 2011-06-22 Ruhr-Universität Bochum Method for controlling ion energy in radio frequency plasmas
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US20100015357A1 (en) * 2008-07-18 2010-01-21 Hiroji Hanawa Capacitively coupled plasma etch chamber with multiple rf feeds
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
GB2466836A (en) 2009-01-12 2010-07-14 Phive Plasma Technologies Ltd Plasma source tile electrode
JP5683822B2 (ja) 2009-03-06 2015-03-11 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置用の電極
JP5657262B2 (ja) * 2009-03-27 2015-01-21 東京エレクトロン株式会社 プラズマ処理装置
KR101559913B1 (ko) 2009-06-25 2015-10-27 삼성전자주식회사 플라즈마 건식 식각 장치
US20110011534A1 (en) * 2009-07-17 2011-01-20 Rajinder Dhindsa Apparatus for adjusting an edge ring potential during substrate processing
JP5496568B2 (ja) * 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5451324B2 (ja) 2009-11-10 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置
DE202010015933U1 (de) 2009-12-01 2011-03-31 Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont Eine Randringanordnung für Plasmaätzkammern
US8485128B2 (en) 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
US8357263B2 (en) 2010-10-05 2013-01-22 Skyworks Solutions, Inc. Apparatus and methods for electrical measurements in a plasma etcher
US8691702B2 (en) * 2011-03-14 2014-04-08 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
JP5313375B2 (ja) 2012-02-20 2013-10-09 東京エレクトロン株式会社 プラズマ処理装置およびフォーカスリングとフォーカスリング部品
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US8932429B2 (en) * 2012-02-23 2015-01-13 Lam Research Corporation Electronic knob for tuning radial etch non-uniformity at VHF frequencies
US9881772B2 (en) 2012-03-28 2018-01-30 Lam Research Corporation Multi-radiofrequency impedance control for plasma uniformity tuning
US9412579B2 (en) * 2012-04-26 2016-08-09 Applied Materials, Inc. Methods and apparatus for controlling substrate uniformity
US8895452B2 (en) * 2012-05-31 2014-11-25 Lam Research Corporation Substrate support providing gap height and planarization adjustment in plasma processing chamber
US20140034242A1 (en) 2012-07-31 2014-02-06 Lam Research Corporation Edge ring assembly for plasma processing chamber and method of manufacture thereof
US9017513B2 (en) 2012-11-07 2015-04-28 Lam Research Corporation Plasma monitoring probe assembly and processing chamber incorporating the same
US20140127911A1 (en) 2012-11-07 2014-05-08 Lam Research Corporation Palladium plated aluminum component of a plasma processing chamber and method of manufacture thereof
US9997381B2 (en) * 2013-02-18 2018-06-12 Lam Research Corporation Hybrid edge ring for plasma wafer processing
US9449797B2 (en) 2013-05-07 2016-09-20 Lam Research Corporation Component of a plasma processing apparatus having a protective in situ formed layer on a plasma exposed surface
JP2015109249A (ja) * 2013-10-22 2015-06-11 東京エレクトロン株式会社 プラズマ処理装置
US10804081B2 (en) 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
CN104752143B (zh) 2013-12-31 2017-05-03 中微半导体设备(上海)有限公司 一种等离子体处理装置
US10685862B2 (en) * 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
US10283330B2 (en) * 2016-07-25 2019-05-07 Lam Research Corporation Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators

Also Published As

Publication number Publication date
JP7376648B2 (ja) 2023-11-08
US20200227238A1 (en) 2020-07-16
TWI746579B (zh) 2021-11-21
KR20220058511A (ko) 2022-05-09
CN107527785A (zh) 2017-12-29
US9852889B1 (en) 2017-12-26
JP2017228526A (ja) 2017-12-28
TW202141555A (zh) 2021-11-01
KR102532845B1 (ko) 2023-05-15
JP7166746B2 (ja) 2022-11-08
US10825656B2 (en) 2020-11-03
CN111489951B (zh) 2023-07-25
TW201810344A (zh) 2018-03-16
CN111489951A (zh) 2020-08-04
US20190057839A1 (en) 2019-02-21
US10615003B2 (en) 2020-04-07
KR102392731B1 (ko) 2022-04-28
JP2022140572A (ja) 2022-09-26
US10115568B2 (en) 2018-10-30
CN107527785B (zh) 2020-02-28
US20180082822A1 (en) 2018-03-22
KR20180000291A (ko) 2018-01-02

Similar Documents

Publication Publication Date Title
JP7376648B2 (ja) 結合リング内に電極を使用することによってエッジ領域におけるイオンの方向性を制御するためのシステム及び方法
JP7335999B2 (ja) メインrf発生器およびエッジrf発生器を同期させることによってプラズマチャンバ内のエッジ領域に関連する予め定められた要素を達成するためのシステムおよび方法
US10553465B2 (en) Control of water bow in multiple stations
KR102369627B1 (ko) 이온들의 지향성을 상승시키기 위한 멀티 레짐 플라즈마 웨이퍼 프로세싱
US20230253184A1 (en) Uniformity control circuit for impedance match
TWI840683B (zh) 耦合環、饋送環系統及電漿電極組件
KR20240038999A (ko) 구형 (square-shaped) 펄스 신호들을 사용하기 위한 플라즈마 시스템들 및 방법들
TW202347411A (zh) 具有嵌入高強度介電材料之增強rf耦合的平衡-不平衡變壓器
KR20230164552A (ko) 플라즈마 시스 (sheath) 특성을 제어하기 위한 시스템들 및 방법들

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231124

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20231124