JP7335999B2 - メインrf発生器およびエッジrf発生器を同期させることによってプラズマチャンバ内のエッジ領域に関連する予め定められた要素を達成するためのシステムおよび方法 - Google Patents

メインrf発生器およびエッジrf発生器を同期させることによってプラズマチャンバ内のエッジ領域に関連する予め定められた要素を達成するためのシステムおよび方法 Download PDF

Info

Publication number
JP7335999B2
JP7335999B2 JP2022077412A JP2022077412A JP7335999B2 JP 7335999 B2 JP7335999 B2 JP 7335999B2 JP 2022077412 A JP2022077412 A JP 2022077412A JP 2022077412 A JP2022077412 A JP 2022077412A JP 7335999 B2 JP7335999 B2 JP 7335999B2
Authority
JP
Japan
Prior art keywords
signal
generator
phase
power
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022077412A
Other languages
English (en)
Other versions
JP2022106941A (ja
Inventor
アレクセイ・マラクタノフ
フェリックス・コザケビッチ
マイケル・シー.・ケロッグ
ジョン・パトリック・ホランド
ジーガン・チェン
ケニス・ルチェッシ
リン・ジャオ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2022106941A publication Critical patent/JP2022106941A/ja
Application granted granted Critical
Publication of JP7335999B2 publication Critical patent/JP7335999B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • H01J37/32385Treating the edge of the workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder

Description

本実施形態は、メインおよびエッジ高周波(RF)発生器を同期させることによってプラズマチャンバ内のエッジ領域に関連する予め定められた要素を達成するためのシステムおよび方法に関する。
プラズマ処理を制御するために、プラズマシステムが利用される。プラズマシステムは、複数の高周波(RF)源と、インピーダンス整合回路と、プラズマリアクタと、を備える。ワークピースが、プラズマチャンバ内に配置され、プラズマが、ワークピースを処理するためにプラズマチャンバ内で生成される。
ワークピースは、同様または均一に処理されることが重要である。同様または均一にワークピースを処理するために、プラズマリアクタに関連する様々なパラメータが制御される。一例として、ワークピースの処理中にイオン束の方向を制御することが重要である。方向の制御は、エッチング速度を高め、ワークピースのフィーチャの特定のアスペクト比を達成するのに役立つ。
均一にワークピースを処理すると同時に、プラズマチャンバの様々な構成要素の寿命を維持することが重要である。RF電力を構成要素の一部に印加すると、構成要素は、より早く消耗し、寿命までもたない。さらに、かかる消耗により、構成要素が、イオン束の方向に悪影響を与え、これが、ワークピースの処理の均一性に悪影響を及ぼす。
さらに、現在の誘電体エッチングツールは、固定されたエッジハードウェアを有する。例えば、プラズマリアクタの下側電極の延長部の高さ、下側電極の延長部の材料、または、上側電極と下側電極との間のギャップが、エッジでワークピースを処理するのに最適化される。固定されたエッジハードウェアは、エッジでのワークピースの処理における柔軟性を実現しない。
本開示の実施形態は、このような課題に対処するものである。
本開示の実施形態は、結合リング内の電極を用いることによってプラズマチャンバのエッジ領域におけるイオンの方向を制御するため、および、メインおよびエッジ高周波(RF)発生器を同期させることによってプラズマチャンバ内のエッジ領域に関連する予め定められた要素を達成するための装置、方法、および、コンピュータプログラムを提供する。本実施形態は、処理、装置、システム、ハードウェア、または、コンピュータ読み取り可能な媒体に記録された方法など、種々の形態で実施できることを理解されたい。以下に、いくつかの実施形態を記載する。
ウエハがエッチングされるプロファイル角度または傾斜と、エッチング速度との間のトレードオフにより、ウエハのエッジにおいて処理仕様を満たすことは困難である。エッチング速度は、ウエハのエッジにおけるイオン束と、ウエハを処理するために用いられる1または複数の処理ガスの化学的性質(例えば、混合、タイプなど)とに依存する。ウエハに到達するエッジにおけるイオン束は、プラズマシースに入るイオン束およびエッジにおけるプラズマシースの形状の関数である。イオン収束効果は、ウエハ上方のウエハプラズマシース厚さと、ウエハのエッジの上方のプラズマシースを制御するエッジリング上方のエッジリングプラズマシース厚さとの差の関数である。エッチング速度を改善して、プロファイル角度を約90度(例えば、89.5度~90.5度の間、89度~91度の間、など)に維持するには、ウエハのエッジの上方に一様なプラズマ密度を維持し、ウエハプラズマシースとエッジリングプラズマシースとの間の差を最小化することが重要である。また、エッジリングがその寿命(例えば、500時間超など)にわたって利用されるように、エッジリングの摩耗を抑制することが望ましい。
いくつかの実施形態において、エッジリングに関連するプラズマパラメータの独立制御のためのノブが提供される。ノブは、結合リング内に電力供給される電極を埋め込んでRF電力をその電極に供給することによって、または、可変インピーダンスRFフィルタを介して電極を接地に接続することによって提供される。RF電力を供給することは、時に、アクティブ電力を電極に供給するとも表現され、可変インピーダンスを介して電極を接地に接続することは、時に、パッシブ電力を電極に供給するとも表現される。プラズマパラメータを制御するために、上側電極ステップ位置、エッジリングの高さおよび形状、エッジリング結合材料などの最適化はない。しかしながら、いくつかの実施形態において、上側電極ステップ位置、エッジリングの高さおよび形状、ならびに/もしくは、エッジリング結合材料は、プラズマパラメータを制御するために、電極に供給されるアクティブまたはパッシブ電力に加えて制御される。
様々な実施形態において、ウエハのエッジにおけるパフォーマンスを改善するための容量結合RF電力供給エッジリングが記載されている。エッジリングに結合されるアクティブまたはパッシブ電力の量を変えることにより、エッジ領域におけるプラズマのプラズマ密度、エッジ領域におけるプラズマのシース均一性、エッジ領域におけるプラズマのエッチング速度均一性、および、ウエハがエッジ領域でエッチングされる傾きが制御される。エッジリングへの直接的なRFまたは直流(DC)電力の供給はない。エッジリングへの電力の容量結合は、エッジリングと、エッジリングに直接的に電力を供給するために用いられるRF供給部品との材料の間のあらゆるアーク放電の機会を低減(例えば、排除など)する。
いくつかの実施形態において、プラズマチャンバ内のエッジ領域におけるイオン束の方向を制御するためのシステムが記載されている。システムは、RF信号を生成するよう構成されたRF発生器と、RF信号を受信して変調RF信号を生成するためにRF発生器に接続されたインピーダンス整合回路と、プラズマチャンバと、を備える。プラズマチャンバは、エッジリングと、エッジリングの下方に配置され、変調RF信号を受信するためにインピーダンス整合回路に接続された結合リングと、を備える。結合リングは、変調RF信号の受信後にイオン束の方向を制御するために、電極とエッジリングとの間にキャパシタンスを発生させる電極を備える。
様々な実施形態において、プラズマチャンバ内のエッジ領域におけるイオン束の方向を制御するためのシステムが記載されている。システムは、第1フィルタリング済みRF信号を出力するよう構成された第1RFフィルタと、第1フィルタリング済みRF信号を受信して第2フィルタリング済みRF信号を出力するために第1RFフィルタに接続された第2RFフィルタと、プラズマチャンバと、を備える。プラズマチャンバは、エッジリングと、エッジリングの下方に配置され、第2RFフィルタに接続された結合リングと、を備える。結合リングは、第2フィルタリング済みRF信号の受信後にイオン束の方向を制御するために、第2フィルタリング済みRF信号を受信してさらに電極とエッジリングとの間にキャパシタンスを発生させるよう構成された電極を備える。
いくつかの実施形態において、プラズマチャンバ内のエッジ領域におけるイオン束の方向を制御するためのシステムが記載されている。システムは、フィルタリング済みRF信号を出力するよう構成されたRFフィルタと、プラズマチャンバと、を備える。プラズマチャンバは、エッジリングと、エッジリングの下方に配置され、フィルタリング済みRF信号を受信するためにRFフィルタに接続された結合リングと、を備える。結合リングは、フィルタリング済みRF信号の受信後にイオン束の方向を制御するために、電極とエッジリングとの間にキャパシタンスを発生させる電極を備える。
いくつかの実施形態において、調整可能エッジプラズマシース(TES)のための制御スキームが提供されている。ウエハおよびウエハエッジの上方のプラズマシースが、別個のRF発生器(例えば、マスタRF発生器およびスレーブRF発生器、など)によって駆動される。各シース電圧の大きさおよびウエハとエッジRFシースとの間の位相角が、電圧ピックアップ(例えば、電圧センサなど)によって監視され、その大きさは、ウエハエッジにおける処理結果(例えば、1または複数の要素など)を達成するために調整される。
いくつかの実施形態において、ウエハエッジにおけるRFプラズマシースの制御が記載されている。RF電力が、同じRF周波数の2つの発生器(例えば、マスタRF発生器およびスレーブRF発生器、など)によってウエハおよび容量結合エッジリングに別個に印加される。RF電圧および位相が、メインおよびエッジリングRF整合回路の出力で測定され、スレーブ発生器に供給される。次いで、両方の発生器の周波数が、同じ値に調整されて固定される。その後、RF電圧の2つの電圧波形の間の位相角が、調整および固定される。最後に、スレーブ電圧出力値が、ウエハエッジにおける処理結果に対応する特定の値に設定される。位相固定されたエッジRFプラズマシースを調整することにより、予め定められたパフォーマンス(例えば、0度のエッジ傾斜、予め定められた角度のエッジ傾斜、など)が、ウエハエッジで達成される。いくつかの実施形態において、周波数は、2つの電圧波形の間の位相角を調整することによって調整される。いくつかの実施形態において、RF電圧および位相は、周波数が調整された後に測定される。
様々な実施形態において、スレーブRF発生器が、マスタRF発生器と周波数を固定する。次いで、スレーブRF発生器は、オンにされる(例えば、電力を供給する、動作する、RF信号を供給する、など)。その後、自動的な位相および電圧の制御が、予め定められた設定に基づいて達成される。
いくつかの実施形態において、スレーブRF発生器が、各状態(例えば、状態S1およびS2、など)について、周波数の固定と、位相および電圧の制御とを実行する。
いくつかの実施形態において、プラズマチャンバ内のエッジ領域に関連する予め定められた要素を達成するための方法が開示されている。方法は、第1インピーダンス整合回路を介してプラズマチャンバ内のメイン電極にRF信号を供給する工程を備える。RF信号は、第1RF発生器の動作周波数に基づいて生成される。方法は、さらに、第2インピーダンス整合回路を介してプラズマチャンバ内のエッジ電極に別のRF信号を供給する工程を備える。別のRF信号は、第1RF発生器の動作周波数に基づいて生成される。方法は、第1インピーダンス整合回路の出力に関連する変数の第1測定値を受信する工程と、第2インピーダンス整合回路の出力に関連する変数の第2測定値を受信する工程と、第1測定値および第2測定値に基づいて別のRF信号の位相を修正する工程と、を備える。方法は、予め定められた要素を達成するように、第2RF発生器に関連する変数の大きさを変更する工程を備える。
様々な実施形態において、エッジ領域に関連する予め定められた要素を達成するためのシステムが開示されている。システムは、メイン電極およびエッジ電極を有するプラズマチャンバと、メイン電極に接続された第1インピーダンス整合回路と、エッジ電極に接続された第2インピーダンス整合回路と、第1インピーダンス整合回路を介してメイン電極にRF信号を供給するために第1インピーダンス整合回路に接続された第1RF発生器と、を備える、RF信号は、第1RF発生器の動作周波数に基づいて生成される。システムは、第2インピーダンス整合回路を介してエッジ電極に別のRF信号を供給するために第2インピーダンス整合回路に接続された第2RF発生器を備える。別のRF信号は、第1RF発生器の動作周波数に基づいて生成される。第2RF発生器は、第1インピーダンス整合回路の出力に関連する変数の第1測定値を受信する。第2RF発生器は、第2インピーダンス整合回路の出力に関連する変数の第2測定値を受信する。第2RF発生器は、第1測定値および第2測定値に基づいて、別のRF信号の位相を修正する。第2RF発生器は、予め定められた要素を達成するように第2RF発生器に関連する変数の大きさを変更する。
いくつかの実施形態において、プラズマチャンバ内のエッジ領域に関連する予め定められた要素を達成するためのプログラム命令を含む非一時的なコンピュータ読み取り可能媒体であって、コンピュータシステムの1または複数のプロセッサによるプログラム命令の実行により、1または複数のプロセッサが複数の動作を実行する、コンピュータ読み取り可能媒体が開示されている。動作は、第1インピーダンス整合回路を介してプラズマチャンバ内のメイン電極にRF信号を供給する動作を含む。RF信号は、第1RF発生器の動作周波数に基づいて生成される。動作は、さらに、第2インピーダンス整合回路を介してプラズマチャンバ内のエッジ電極に別のRF信号を供給する動作を含む。別のRF信号は、第1RF発生器の動作周波数に基づいて生成される。動作は、第1インピーダンス整合回路の出力に関連する変数の第1測定値を受信する動作と、第2インピーダンス整合回路の出力に関連する変数の第2測定値を受信する動作と、第1測定値および第2測定値の基づいて別のRF信号の位相を修正する動作と、を含む。動作は、予め定められた要素を達成するように、第2RF発生器に関連する変数の大きさを変更する動作を含む。
本明細書に記載のシステムおよび実施形態のいくつかの利点は、約90度のプロファイル角度を達成することを含む。エッジリングに接続された結合リング内の電極に供給されるアクティブまたはパッシブ電力の量が、90度のプロファイル角度を達成するために変更される。イオン束が測定され、測定値に基づいて制御される。イオン束は、電極とエッジリングとの間のキャパシタンスを変化させるために、結合リング内の電極に接続されたアクティブ電源またはパッシブ電源を制御することによって制御される。キャパシタンスは、約90度のプロファイル角度を達成するために変更される。キャパシタンスは、エッジリングの電圧を制御して、さらに、エッジ領域でウエハをエッチングするエッチング速度を制御するために用いられる。エッジリングの電圧は、接地に比べてエッジリングのインピーダンスに比例する。プロファイル角度は、予め定められた量未満(例えば、3%未満、2%未満、4%未満、など)のエッジプロファイル(例えば、トップCD、屈曲部CD、など)の均一性を達成する助けとなる。
さらに、本明細書に記載のシステムおよび方法の他の利点は、エッジリング電圧を変えることによるエッジリング寿命の延長を含む。エッジリングが摩耗する(例えば、高さが低くなる、など)と、プラズマシースは曲げられ、イオン束はウエハエッジに集中するようになる。結果として、エッジ傾斜が、仕様に規定された範囲を外れる。エッジリング電圧を調整すると、より均一なプラズマシースにつながり、ウエハエッジ処理パラメータが仕様に規定された範囲に戻される。エッジリングの代わりに結合リング内に電極を実装することにより、エッジリングの寿命が長くなる。
本明細書に記載のシステムおよび方法のさらなる利点は、ウエハのエッジにおけるエッチングパターンのあらゆる歪みを避けるために、エッジリング上のRF電圧が、ウエハに電力を印加するマスタRF発生器と同等の(例えば、同じ)RF周波数で、かつ、マスタRF発生器によって供給されるメインRF電力と同相で動作するスレーブRF発生器によって独立的に制御されることである。このように同等のRF周波数のRF電圧を同相で印加すると、ウエハのエッジにおける要素(例えば、傾斜など)の達成が容易になり、同時に、プラズマチャンバの中央領域におけるプラズマシースに影響しない(例えば、最小限の影響を与える)。
添付の図面を参照して行う以下の詳細な説明から、別の態様が明らかになる。
実施形態は、添付の図面に関連して行う以下の説明を参照することによって理解される。
結合リングを用いることによってプラズマチャンバのエッジ領域においてイオンの方向を制御することを図示したプラズマシステムの一実施形態の図。
高周波(RF)フィルタを介して結合リング内の電極をインピーダンス整合回路(IMC)に接続し、電極にアクティブ電力を供給する様子を示すシステムの一実施形態の図。
結合リング内に埋め込まれた電極に受動電力を提供することを示すシステムの一実施形態の図。
エッジ領域内のプラズマのインピーダンスを制御して、さらに、エッジ領域におけるイオン束の方向を制御するために、xメガヘルツ(MHz)RF発生器またはx1キロヘルツ(kHz)RF発生器によって供給される電力を調整するためのイオン束の利用を示すシステムの一実施形態の図。
エッジ領域内のインピーダンスを制御して、さらに、エッジ領域内のイオン束の方向を制御するために、RFフィルタを調整するためのイオン束の利用を示すシステムの一実施形態の図。
エッジ領域内のプラズマのインピーダンスを制御して、さらに、エッジ領域におけるイオン束の方向を制御するために、xMHzRF発生器またはx1kHzRF発生器によって供給される電力を調整するための直流(DC)バイアスの利用を示すシステムの一実施形態の図。
エッジ領域内のプラズマのインピーダンスを制御して、さらに、エッジ領域内のイオン束の方向を制御するために、RFフィルタを調整するためのDCバイアスの利用を示すシステムの一実施形態の図。
結合リング内に埋め込まれた電極の一例であるメッシュ電極の一実施形態の図。
電極の別の例であるリング形状電極の一実施形態の図。
供給リングの一部と、その部分および電力ピンの間の接続とを示すプラズマチャンバの一実施形態の図。
プラズマチャンバの残りの構成要素に対する電極の位置を示すプラズマチャンバの一部の一実施形態の図。
RFロッドに接続された供給リングを示すシステムの一実施形態の図。
電極に供給される電力の量が変化した場合にプラズマチャンバ内で処理されるウエハの正規化されたエッチング速度の変化を示すグラフの一実施形態を示す図。
電極に供給される電力量の変化に伴ったイオン束の方向の変化を示すプラズマチャンバの一部の図。
RFフィルタのキャパシタンスの変化に伴った基板のエッチングのエッチング速度の変化を示すグラフの一実施形態の図。
エッジリングのピーク電圧対図9AのパッシブRFフィルタのキャパシタンスをプロットしたグラフの一実施形態の図。
マスタRF発生器とスレーブRF発生器との間の同期を示すシステムの一実施形態の図。
マスタRF発生器およびスレーブRF発生器の両方が連続波形モードで動作している時のマスタRF発生器およびスレーブRF発生器の間の周波数ロッキングおよび位相ロッキングを示すシステムの一実施形態の図。
要素と、スレーブRF発生器によって修正されるRF信号の変数との間の対応関係を示すテーブルの一実施形態の図。
マスタRF発生器およびスレーブRF発生器の両方が状態遷移モードで動作している時のマスタRF発生器およびスレーブRF発生器の間の周波数ロッキングおよび位相ロッキングを示すシステムの一実施形態の図。
図13のマスタRF発生器、図13のスレーブRF発生器によって生成されたRF信号、および、トランジスタ-トランジスタロジック(TTL)信号の複数の状態を示すタイミング図の一実施形態の図。
状態S1およびS2に対する要素の値と、状態S1およびS2について図13のスレーブRF発生器によって修正されるRF信号の変数との間の対応関係を示すテーブルの一実施形態の図。
インピーダンス整合回路の出力に関連する変数および別のインピーダンス整合回路の出力に関連する変数の位相の差を示すグラフの一実施形態の図。
インピーダンス整合回路の出力に関連する変数の位相差の低減を示すグラフの一実施形態の図。
要素を達成するための電圧波形の大きさの変化を示すグラフの一実施形態の図。
処理1中にプラズマチャンバのエッジ領域におけるプラズマシースの傾きが図10のスレーブRF発生器によって生成されるRF信号の変数の大きさを制御することによって制御されることを示すグラフの一実施形態の図。
処理2中にエッジ領域におけるプラズマシースの傾きが図10のスレーブRF発生器によって生成されるRF信号の変数の大きさを制御することによって制御されることを示すグラフの一実施形態の図。
以下の実施形態は、結合リング内の電極を用いることによってプラズマチャンバのエッジ領域におけるイオンの方向を制御するため、および、メインおよびエッジ高周波(RF)発生器を同期させることによってプラズマチャンバ内のエッジ領域に関連する予め定められた要素を達成するためのシステムおよび方法を記載する。本実施形態は、これらの具体的な詳細事項の一部またはすべてがなくとも実施可能であることが明らかである。また、本実施形態が不必要に不明瞭となることを避けるため、周知の処理動作の詳細な説明は省略した。
図1は、結合リング112を用いることによってプラズマチャンバ104のエッジ領域102においてイオンの方向を制御することを図示したプラズマシステム100の一実施形態の図である。プラズマシステム100は、xメガヘルツ(MHz)RF発生器、zMHzRF発生器、x1キロヘルツ(kHz)RF発生器、インピーダンス整合回路(IMC)108、別のIMC113、および、プラズマチャンバ104を備える。プラズマチャンバ104は、エッジリング110、結合リング112、および、チャック114(例えば、静電チャック(ESC)など)を備える。エッジリング110は、チャック114上に基板120を位置決めする機能、および、基板120によって保護されないプラズマチャンバ104の下部の構成要素をプラズマチャンバ104内で形成されるプラズマのイオンによる損傷から保護する機能など、多くの機能を奏する。チャック114(例えば、下側電極など)は、金属(例えば、陽極酸化アルミニウム、アルミニウム合金など)で形成される。
結合リング112は、エッジリング110の下に配置され、エッジリング110に結合される。結合リング112は、絶縁材料(例えば、誘電材料、セラミック、ガラス、複合ポリマ、酸化アルミニウム、など)から形成される。エッジリング110は、プラズマを基板120の上方の領域に閉じ込める、および/または、プラズマによる腐食からチャック114を保護する。エッジリング110は、1または複数の材料(例えば、結晶シリコン、多結晶シリコン、炭化シリコン、石英、酸化アルミニウム、窒化アルミニウム、窒化シリコンなど)から形成される。エッジリング110および結合リング112は両方とも、チャック114のそばに配置される。基板120のエッジは、エッジリング110の上に配置され、エッジリング110の縁部は、エッジ領域102内に配置される。一例として、エッジ領域102は、チャック114の縁部からチャック114の半径に沿って10ミリメートル~15ミリメートルの予め定められた距離だけエッジリング110から伸びる。プラズマチャンバ104は、チャンバ壁115を有しており、これは、接地に接続される。
xMHzRF発生器は、RFケーブル126、IMC108、および、RF伝送線路122を介して、結合リング112に接続される。さらに、x1kHzRF発生器およびzMHzRF発生器は、IMC113および別のRF伝送線路124を介して、チャック114に接続される。RF伝送線路は、RFロッドと、RFロッドを囲む絶縁スリーブと、を備える。x1kHzRF発生器は、RFケーブル128を介してIMC113に接続され、zMHzRF発生器は、RFケーブル130を介してIMC113に接続される。x1kHzRF発生器の例は、400kHzの動作周波数を有する発生器、360kHz~440kHzの間の範囲の動作周波数を有する発生器などを含む。xMHzRF発生器の例は、2MHzの動作周波数を有する発生器、27MHzの動作周波数を有する発生器などを含む。zMHzRF発生器の例は、27MHzの動作周波数を有する発生器、60MHzの動作周波数を有する発生器などを含む。
x1kHz発生器は、RF信号を生成し、そのRF信号をIMC113に送信する。同様に、zMHzRF発生器は、RF信号を生成し、そのRF信号をIMC113に送信する。IMC113は、IMC113の出力に接続された負荷、(例えば、RF伝送線路124、プラズマチャンバ104など)のインピーダンスを、IMC113の入力に接続されたソース(例えば、RFケーブル130、x1kHzRF発生器、および、zMHzRF発生器など)のインピーダンスと整合して、その出力で変調RF信号を提供する。 同様に、IMC108は、IMC108の出力に接続された負荷(例えば、プラズマチャンバ104、RF伝送線路122など)のインピーダンスを、IMC108の入力に接続されたソース(例えば、xMHzRF発生器、RFケーブル126など)のインピーダンスと整合して、その出力で変調RF信号を提供する。
IMC113の出力における変調RF信号は、プラズマチャンバ104の中央領域132でプラズマチャンバ104内のプラズマのインピーダンスを修正するため(例えば、プラズマを生成および維持などするため)に、チャック114に送信される。中央領域132は、エッジ領域102に隣接して配置され、エッジ領域102によって囲まれている。中央領域は、エッジ領域102の一端からチャック114の中央を通ってエッジ領域102の反対端まで伸びる。さらに、IMC108の出力での変調RF信号は、プラズマチャンバ104のエッジ領域102内のプラズマのインピーダンスおよびイオンの方向を修正するために、結合リング112に送信される。プラズマは、1または複数の処理ガス(例えば、酸素含有ガス、フッ素含有ガスなど)が、プラズマチャンバ104の中央領域132へ上側電極121を介して供給された時に、生成または維持される。
上側電極121は、チャック114に対向しており、ギャップが、上側電極121とチャック114との間に形成される。上側電極121は、プラズマチャンバ104内に配置され、導電材料で形成される。プラズマチャンバ104内のプラズマは、基板120を処理するために用いられる。例えば、プラズマは、基板120のエッチング、基板120への材料の蒸着、基板120の洗浄などに用いられる。
いくつかの実施形態において、プラズマチャンバ104は、さらなる部品(例えば、上側電極121を囲む上側電極延長部、上側電極121と上側電極延長部との間の誘電体リング、上側電極121の縁部のそばに配置された閉じ込めリング、および、プラズマチャンバ104内のギャップを囲むエッジリング110などを備える。
様々な実施形態において、xMHZRF発生器によって生成されるRF信号は、x1kHzRF発生器によって生成されるRF信号およびzMHzRF発生器によって生成されるRF信号と同期される。例えば、xMHzRF発生器によって生成されるRF信号が低状態から高状態へパルスされる時に、x1kHzRF発生器によって生成されるRF信号は、低状態から高状態へパルスされ、zMHzRF発生器によって生成されるRF信号は、低状態から高状態へパルスされる。別の例として、xMHzRF発生器によって生成されるRF信号が高状態から低状態へパルスされる時に、x1kHzRF発生器によって生成されるRF信号は、高状態から低状態へパルスされ、zMHzRF発生器によって生成されるRF信号は、高状態から低状態へパルスされる。RF信号の高状態は、RF信号の低状態と比較して、高いレベル(例えば、二乗平均平方根値、ピーク間振幅など)のRF信号の電力を有する。
いくつかの実施形態において、xMHzRF信号によって生成されるRF信号は、x1kHzRF発生器によって生成されるRF信号と同期されないか、または、zMHzRF発生器によって生成されるRF信号と同期されない、もしくは、x1kHzRF発生器によって生成されるRF信号と同期されず、かつ、zMHzRF発生器によって生成されるRF信号と同期されない。
図2Aは、RFフィルタ208を介して結合リング112内の電極202をIMC108に結合し、電極202にアクティブ電力を供給する様子を示すシステム200の一実施形態の図である。RFフィルタ208は、IMC108を介してRFフィルタ208に接続されたx1kHzRF発生器またはxMHzRF発生器に到達するRF電流の量を削減して、x1kHzRF発生器またはxMHzRF発生器ならびにIMC108と電極202との間のRF供給システムの任意の構成要素に対するRF電流のRF電力によるあらゆる損傷を防ぐ。一例として、RFフィルタ208は、1または複数のコンデンサ、1または複数のインダクタ、もしくは、コンデンサおよびインダクタの組みあわせを備える。RF電流は、プラズマチャンバ206内でプラズマによって生成される。
システム200は、プラズマチャンバ206を備えており、これは、プラズマチャンバ104(図1)の一例である。システム200は、さらに、xMHzRF発生器またはx1kHzRF発生器と、IMC108と、RFフィルタ208と、を備える。xMHzRF発生器またはx1kHzRF発生器は、RFケーブル126を介してIMC108に接続され、IMC108は、RF伝送線路122を介してRFフィルタ208に接続されている。RFフィルタ208は、電力ピン204を介して電極202に接続されている。電極202は、結合リング112内に埋め込まれている。例えば、電極202のどの部分も、結合リング112の外側には露出されない。別の例として、電極202は、結合リング112の底面214と比べて結合リング112の上面212に近くなるように、結合リング112内に埋め込まれる。上面212は、エッジリング110に隣接し、下面214は、プラズマチャンバ206の絶縁リング216に隣接する。絶縁リング216は、結合リング112の下方に配置され、例えば、石英などの電気絶縁材料で形成される。
電力ピン204は、同軸ケーブル220およびスリーブ222を備える。スリーブ222は、同軸ケーブル220の周りの電場から同軸ケーブル220を絶縁するために、同軸ケーブル220を覆う。スリーブ222は、例えば、プラスチック、ガラス、プラスチックおよびガラスの組みあわせなどの電気絶縁材料で形成される。電力ピン204は、電極202に接続されると共に、RFフィルタ208に接続されたRF伝送線路に供給リングを介して接続される。一例として、供給リングは、例えば、アルミニウム、銅などの導電性金属で形成される。電力ピン204の一部は、絶縁リング216、設備プレート224のそばに配置され、電力ピン204の残りの部分は、結合リング112によって囲まれている。設備プレート224は、金属(例えば、アルミニウムなど)で形成される。
設備プレート224は、チャック114の下方に配置され、RF伝送線路124に接続される。複数の接地リング226(例えば、アルミニウムなどの金属で形成される)が、絶縁リング228の一部および絶縁リング216を囲んでおり、接地に接続されている。絶縁リング228は、例えば石英などの絶縁材料で形成されており、直流(DC)電力との結合からエッジリング110を保護する。
プラズマチャンバ206は、さらに、チャック114に対向する上側電極121を備える。ギャップ232が、上側電極121とチャック114との間に形成されている。プラズマが、基板120を処理するためにギャップ232内に形成される。複数の閉じ込めリング238が、ギャップ232と上側電極121の一部とを囲むようにスタックされる。閉じ込めリング238は、ギャップ内の圧力を制御するため、および/または、プラズマチャンバ206の下方に配置された1または複数の真空ポンプにギャップ232から流れ出るプラズマの量を制御するために、モータメカニズムによって開閉される。カバーリング241(例えば、石英カバーリングなど)が、プラズマのRF電力から接地リング226を保護するために、接地リング226の上部に被せられている。
xMHzRF発生器またはx1kHzRF発生器は、RF信号をIMC108に供給する。IMC108は、負荷(例えば、RF伝送線路122、RFフィルタ208、および、プラズマチャンバ206)のインピーダンスを、ソース(例えば、RFケーブル126ならびにxMHzRF発生器またはx1kHzRF発生器など)のインピーダンスと整合して、変調RF信号を生成する。変調RF信号は、RF伝送線路122、RFフィルタ208、供給リング、および、電力ピン204を通して、電極202に至る。電極202による変調RF信号の受信は、その一部がギャップ232内に位置するエッジ領域102内のプラズマのインピーダンスを変化させる。インピーダンスの変化は、エッジ領域102内のイオン束の方向を変化させて、エッジ領域102内の基板120のプラズマ処理(例えば、エッチング、蒸着、洗浄など)を制御するために利用される。
一実施形態において、システム200は、RFフィルタ208を排除し、IMC108は、RF伝送線路122を介して供給リングに接続される。
図2Bは、結合リング112内に埋め込まれた電極202にパッシブ電力制御を提供することを示すシステム250の一実施形態の図である。システム250は、システム250が、出力側でRFケーブル254を介してRFフィルタ208に接続されると共に接地に接続されたRFフィルタ207を備えることを除けば、システム200と同じである。RFフィルタ207は、1または複数のコンデンサ、1または複数のインダクタ、もしくは、コンデンサおよびインダクタの組みあわせを備える。例えば、RFフィルタ207は、インダクタと並列のコンデンサを備える。別の例として、RFフィルタ207は、コンデンサを備える。さらに別の例として、RFフィルタ207は、インダクタと直列のコンデンサを備える。一実施形態において、RFフィルタ207の1または複数のコンデンサは可変であり、RFフィルタ207の1または複数のインダクタは可変である。
RFフィルタ207は、エッジ領域102内のプラズマから受信されたRF信号に、接地までのインピーダンス経路を提供する。RF信号が、エッジ領域102内のプラズマから生成され、エッジリング110と電極202およびエッジリング110の間のキャパシタンスとを介して電極202に流れ、電極202がRF信号を出力する。電極202からのRF信号は、電力ピン204および供給リングを通してRFフィルタ208へ至る。RFフィルタ208は、RF信号内のすべてのDC電力をフィルタリングし、フィルタリングされたRF信号を出力する。フィルタイリングされたRF信号は、RFケーブル254およびRFフィルタ207を通して接地に至る。RFフィルタ207のキャパシタンス、インダクタンス、または、キャパシタンスとインダクタンスとの組みあわせが、エッジ領域102内のプラズマのインピーダンスを修正して、さらに、エッジ領域102におけるイオン束の方向を制御するために、接地まで流れるフィルタリング済みのRF信号の量を決定する。
様々な実施形態において、RFフィルタ207は、エッジ領域102内のプラズマから受信したRF信号の一部をフィルタリングして、RF伝送線路254を介してフィルタリング済みの信号をRFフィルタ208に出力する。RF信号のその部分は、RFフィルタ207に接続された接地に流れる。RF伝送線路254を介してRFフィルタ208によって受信されたフィルタリング済みの信号は、電力ピン204の同軸ケーブル220にフィルタイリング済みの信号を出力するために、RFフィルタ208によってフィルタリングされて直流電力が除去される。フィルタリング済みの信号は、電極202とエッジリング110との間のキャパシタンスを変化させるために、同軸ケーブル220を介して電極202に供給される。キャパシタンスは、エッジ領域102内のプラズマのインピーダンスを変化させるために変更される。
いくつかの実施形態では、RFフィルタ208が排除され、RFフィルタ207は、RF伝送線路254を介して電力ピン204に接続される。
図3Aは、エッジ領域102内のプラズマのインピーダンスを制御して、さらに、エッジ領域102におけるイオン束の方向を制御するために、xMHzRF発生器またはx1kHzRF発生器によって供給される電力を調整することを示すシステム300の一実施形態の図である。システム300は、システム300が、平面イオン束プローブ302、測定センサ304、および、ホストコンピュータシステム306をさらに備えることを除けば、図2Aのシステム200と同じである。平面イオン束プローブの一例は、ラングミュアプローブである。ホストコンピュータシステム306の例は、コンピュータ、タブレット、スマートフォンなどを含む。測定センサ304の例は、複素電圧センサまたは複素電流センサを含む。
平面イオン束プローブ302は、上側電極121の開口部を通して挿入され、イオン束プローブ302の導電部分(例えば、シリコンなど)と上側電極121との間にスペーサを有する。平面イオン束プローブ302は、エッジ領域102に関連するプラズマに暴露される面を有する部分(例えば、円筒形部分、多角形部分など)を有する。平面イオン束プローブ302は、RFケーブル308を介して測定センサ304に接続され、測定センサ304は、転送ケーブル310(例えば、シリアル転送ケーブル、パラレル転送ケーブル、ユニバーサルシリアスバス(USB)ケーブルなど)を介してホストコンピュータシステム306に接続される。ホストコンピュータシステム306は、転送ケーブル312(例えば、シリアル転送ケーブル、パラレル転送ケーブル、USBケーブルなど)を介して、xMHzRF発生器またはx1kHzRF発生器に接続される。シリアル転送ケーブルが、データをシリアルに(例えば、一度に1ビットずつ、など)転送するために用いられる。パラレル転送ケーブルが、パラレルに(例えば、一度に複数ビットずつ、など)データを転送するために用いられる。
平面イオン束プローブ302は、エッジ領域102に関連するプラズマのイオン束(例えば、イオン束プローブ302の単位表面積あたりのイオン流の量、イオン束プローブ302の単位表面積あたりの電流の量など)を測定して、RF信号を生成する。RF信号は、RFケーブル308を通して測定センサ304に至り、測定センサ304は、RF信号の複素電圧または複素電流を測定する。測定センサ304は、測定複素電圧または測定複素電流をデータとして転送ケーブル310を介してホストコンピュータシステム306へ出力する。ホストコンピュータ306は、プロセッサおよびメモリデバイスを備える。プロセッサの例は、中央処理装置(CPU)、コントローラ、特定用途向け集積回路(ASIC)、または、プログラム可能論理デバイス(PLD)などを含む。メモリデバイスの例は、リードオンリーメモリ(ROM)、ランダムアクセスメモリ(RAM)、ハードディスク、揮発性メモリ、不揮発性メモリ、記憶ディスクの冗長アレイ、フラッシュメモリなどを含む。
ホストコンピュータシステム306のプロセッサは、測定された複素電圧または測定された複素電流に基づいて、IMC108に接続されたxMHzRF発生器およびx1kHzRF発生器によって供給される電力の量を決定する。例えば、予め定められた複素電圧または予め定められた複素電流と、xMHzRF発生器またはx1kHzRF発生器によって供給される電力との間の対応関係(例えば、一対一の関係性、関連性、マッピングなど)が、プロセッサに接続されたメモリデバイスに格納される。予め定められた複素電圧または予め定められた複素電流は、エッジ領域102内で生成されるイオン束の予め定められた量に対応し(例えば、一対一の関係性を有する、マッピングされる、など)、その関係性は、ホストコンピュータシステム306のメモリデバイスに格納される。プロセッサは、測定された複素電流から、測定された複素電流が、達成すべき予め定められた複素電流と一致しない、または、その予め定められた複素電流から予め定められた範囲内にはないことを決定する。プロセッサは、予め定められた複素電流と、xMHzRF発生器またはx1kHzRF発生器によって供給される電力の量との間の対応関係に基づいて、電力量を決定する。プロセッサは、その量の電力がxMHzRF発生器またはx1kHzRF発生器によって供給されることをxMHzRF発生器またはx1kHzRF発生器に指示する制御信号を生成する。
一実施形態において、プロセッサは、測定された複素電圧から、測定された複素電圧が、達成すべき予め定められた複素電圧と一致しない、または、その予め定められた複素電圧から予め定められた範囲内にはないことを決定する。プロセッサは、予め定められた複素電圧と、xMHzRF発生器またはx1kHzRF発生器によって供給される電力の量との間の対応関係に基づいて、電力量を決定する。プロセッサは、その量の電力がxMHzRF発生器またはx1kHzRF発生器によって供給されることをxMHzRF発生器またはx1kHzRF発生器に指示する制御信号を生成する。
電力量を受信すると、xMHzRF発生器またはx1kHzRF発生器は、その電力量を有するRF信号を生成し、RFケーブル126を介してIMC108へ供給する。IMC108は、IMC108に接続された負荷のインピーダンスとIMC108に接続されたソースのインピーダンスとを整合して、xMHzRF発生器またはx1kHzRF発生器から受信したRF信号から変調RF信号を生成する。変調RF信号は、RFフィルタ208、RFフィルタ208に接続された供給リング、および、同軸ケーブル220を介して、電極202に供給される。電極202とエッジリング110の下面との間のキャパシタンスは、エッジ領域102内のプラズマのインピーダンスを変化させて、さらに、エッジ領域102内のイオン束の方向を変えるために、電極202が変調RF信号を受信した時に変化する。
図3Bは、エッジ領域102内のインピーダンスを制御して、さらに、エッジ領域102内のイオン束の方向を制御するために、RFフィルタ207を調整することを示すシステム320の一実施形態の図である。システム320は、システム320が、平面イオン束プローブ302、測定センサ304、ホストコンピュータシステム306、電源328、および、モータ322(DCモータ、交流電流(AC)モータなど)を備えることを除けば、システム250(図2B)と同じである。電源328の例は、AC電源またはDC電源を含む。電源328は、転送ケーブル324を介してホストコンピュータシステム306に接続される。さらに、モータ322は、ケーブル330を介して電源328に接続され、接続メカニズム326を介してRFフィルタ207に接続される。接続メカニズム326の例は、1または複数のロッド、1または複数のギア、もしくは、それらの組みあわせを含む。接続メカニズム326は、回路構成要素のパラメータ(例えば、キャパシタンス、インダクタンスなど)を変化させるために、RFフィルタ207の回路構成要素(例えば、インダクタ、コンデンサなど)に接続される。例えば、接続メカニズム326は、RFフィルタ207のコンデンサの2つの平行板の間の面積および/または平行板間の距離を変化させるために回転する。別の例として、接続メカニズム326は、インダクタのインダクタンスを変化させるために、RFフィルタ207のインダクタのコイルによって囲まれたコアを移動させる。
プロセッサは、測定センサ304によって測定された複素電流から、測定された複素電流が、達成すべき予め定められた複素電流と一致しない、または、その予め定められた複素電流から予め定められた範囲内にはないことを決定する。プロセッサは、予め定められた複素電流、電源328によって供給される電力(例えば、DC電力、AC電力など)の量、達成すべきRFフィルタ207の予め定められたキャパシタンスの間の対応関係に基づいて、電力量を決定する。プロセッサは、RFフィルタ207の予め定められたキャパシタンスを達成するためにその量の電力が電源328によって供給されるように電源328に指示する制御信号を生成する。
一実施形態において、プロセッサは、測定された複素電圧から、測定された複素電圧が、達成すべき予め定められた複素電圧と一致しない、または、その予め定められた複素電圧から予め定められた範囲内にはないことを決定する。プロセッサは、予め定められた複素電圧、達成されるべきRFフィルタ207の予め定められたキャパシタンス、および、電源328によって供給される電力の量に基づいて、電力量を決定する。プロセッサは、その量の電力が電源328によって供給されるように電源328に指示する制御信号を生成する。
制御信号は、転送ケーブル324を介して電源328へ送信される。電力量を受信すると、電源328は、その量の電力を生成し、ケーブル330を介してモータ322へ供給する。モータ322のステータは、その量の電力を受けて、電場を生成し、電場が、モータ322のロータを回転させる。ロータの回転は、接続メカニズム326を回転させ、予め定められたキャパシタンスを達成するためにRFフィルタ207のパラメータを変化させる。パラメータ(例えば、キャパシタンスなど)の変化は、RFフィルタ207を通してRFフィルタ207に接続された接地に流れるRF電力の量を変化させ、さらに、電極202とエッジリング110との間のキャパシタンスを変化させる。電極202とエッジリング110との間のキャパシタンスは、RFケーブル254、RFフィルタ208、RFフィルタ208に接続された供給リング、および、同軸ケーブル220を介して変更される。キャパシタンスの変化は、RFフィルタ207からRFフィルタ208へRF伝送線路254を介して流れるフィルタリング済みの信号の電力量を変化させる。電力量の変化は、エッジ領域102内のプラズマのインピーダンスを変化させ、さらに、エッジ領域102内のイオン束の方向を修正する。
図3Cは、エッジ領域102内のプラズマのインピーダンスを制御して、さらに、エッジ領域102におけるイオン束の方向を制御するために、xMHzRF発生器またはx1kHzRF発生器によって供給される電力を調整するためのDCバイアスの利用を示すシステム350の一実施形態の図である。システム350は、システム350が、平面イオン束プローブ302(図3A)および測定センサ304(図3A)の代わりに、測定センサ354およびDCバイアスプローブ352を備えることを除けば、システム300(図3A)と同じである。測定センサ354の一例は、DCバイアス電圧センサである。
DCバイアスセンサ352の一部は、エッジリング110の開口部を通してエッジリング110内に伸びており、DCバイアスセンサ352の残りの部分は、絶縁リング228の開口部を通して絶縁リング228内に伸びている。DCバイアスセンサ352は、ケーブル356を介して測定センサ354へ接続されている。測定センサ354は、エッジリング110のRF電力によって生成されたDCバイアス(例えば、DCバイアス電圧など)の測定値を提供する。エッジリング110のRF電力は、エッジ領域102内のプラズマのRF電力に基づく。測定センサ354は、転送ケーブル310を介してホストコンピュータシステム306に接続されている。
DCバイアスプローブ352は、エッジリング110のDCバイアスのDCバイアス電圧を検知して電気信号を生成し、そのDCバイアス電圧は、エッジ領域102内のプラズマのRF電力よって誘導されたものである。電気信号は、ケーブル356を介して測定センサ354へ送信され、測定センサ354は、電気信号に基づいてDCバイアス電圧を測定する。測定されたDCバイアス電圧の量は、データとして測定センサ354から転送ケーブル310を介してホストコンピュータシステム306へ送信される。
ホストコンピュータシステム306のプロセッサは、測定されたDCバイアス電圧に基づいて、IMC108に接続されたxMHzRF発生器およびx1kHzRF発生器によって供給される電力の量を決定する。例えば、DCバイアス電圧と、xMHzRF発生器またはx1kHzRF発生器によって供給される電力の量との間の対応関係(例えば、一対一の関係性、関連性、マッピングなど)が、プロセッサに接続されたメモリデバイスにある。ホストコンピュータシステム306のプロセッサは、測定されたDCバイアス電圧から、測定されたDCバイアス電圧が、達成すべき予め定められたDCバイアス電圧と一致しない、または、その予め定められたDCバイアス電圧から予め定められた範囲内にはないことを決定する。プロセッサは、予め定められたDCバイアス電圧と、xMHzRF発生器またはx1kHzRF発生器によって供給される電力の量との間の対応関係に基づいて、電力量を決定する。プロセッサは、その量の電力がxMHzRF発生器またはx1kHzRF発生器によって供給されることをxMHzRF発生器またはx1kHzRF発生器に指示する制御信号を生成する。
電力量を受信すると、xMHzRF発生器またはx1kHzRF発生器は、その電力量を有するRF信号を生成し、RFケーブル126を介してIMC108へ供給する。IMC108は、IMC108に接続された負荷のインピーダンスとIMC108に接続されたソースのインピーダンスとを整合して、xMHzRF発生器またはx1kHzRF発生器から受信したRF信号から変調RF信号を生成する。変調RF信号は、RFフィルタ208、RFフィルタ208に接続された供給リング、および、同軸ケーブル220を介して、電極202に供給される。電極202とエッジ領域102との間のキャパシタンスは、エッジ領域102内のプラズマのインピーダンスを変化させて、さらに、エッジ領域102内のイオン束の方向を変えるために、電極202が変調RF信号を受信した時に変化する。
図3Dは、エッジ領域102内のプラズマのインピーダンスを制御して、さらに、エッジ領域102内のイオン束の方向を制御するために、RFフィルタ207を調整するためのDCバイアス電圧の利用を示すシステム370の一実施形態の図である。システム370は、システム370が、平面イオン束プローブ302(図3B)および測定センサ304(図3B)の代わりに、測定センサ354およびDCバイアスプローブ352を備えることを除けば、システム320(図3B)と同じである。図3Cを参照して上述したように、測定センサ354は、転送ケーブル310を介してホストコンピュータシステム306へ測定DCバイアス電圧を出力する。
ホストコンピュータシステム306のプロセッサは、測定されたDCバイアス電圧に基づいて、電源328によって供給される電力の量を決定する。例えば、DCバイアス電圧と、電源328によって供給される電力の量との間の対応関係(例えば、一対一の関係性、関連性、マッピングなど)が、プロセッサに接続されたメモリデバイスに格納される。ホストコンピュータシステム306のプロセッサは、測定されたDCバイアス電圧から、測定されたDCバイアス電圧が、達成すべき予め定められたDCバイアス電圧と一致しない、または、その予め定められたDCバイアス電圧から予め定められた範囲内にはないことを決定する。プロセッサは、予め定められたDCバイアス電圧と、電源328によって供給される電力の量との間の対応関係に基づいて、電力量を決定する。プロセッサは、その量の電力が電源328によって供給されるように電源328に指示する制御信号を生成する。
制御信号は、転送ケーブル324を介して電源328へ送信される。電力量を受信すると、図3Bを参照して上述したように、電源328は、その量の電力を生成し、その量の電力をケーブル330を介してモータ322へ供給し、モータ322は、RFフィルタ207のパラメータを変化させるように回転し、パラメータの変化は、電極202とエッジリング110との間のキャパシタンスを変化させる。電極202とエッジリング110との間のキャパシタンスは、エッジ領域102内のプラズマのインピーダンスを変化させ、さらに、エッジ領域102内のイオン束の方向を変化させるために変更される。
いくつかの実施形態において、電流(例えば、複素電流など)または電圧(例えば、DCバイアス電圧、複素電圧など)は、本明細書においては変数とされる。
図4Aは、結合リング112(図1)に埋め込まれるメッシュ電極402の一実施形態を示す図である。メッシュ電極402は、網目状構造を形成するために複数の交差したワイヤを備えており、電極202(図2A)の一例である。メッシュ電極402は、例えば、アルミニウム、銅などの金属で形成される。
図4Bは、電極202(図2A)の一例であるリング形状電極404の一実施形態の図である。リング形状電極404は、管状の構造であるか、または、プレート形状などの平坦な構造である。リング形状電極404は、例えば、アルミニウム、銅などの金属で形成される。
図5は、供給リング502の一部と、その部分および電力ピン204の間の接続とを示すプラズマチャンバ500の一実施形態の図である。プラズマチャンバ500は、プラズマチャンバ104(図1)の一例である。供給リング502は、一方の端部506でRF伝送線路122(図1)のRFロッド504に接続され、他方の端部508で電力ピン204の同軸ケーブル220に接続される。プラズマチャンバ500は、RF伝送線路124(図1)のRFロッド510を備える。RFロッド510は、RFシリンダ512内に位置し、RFシリンダ512は、別のRFシリンダ514によって底部が囲まれている。
IMC108からRF伝送線路122を介して送信された変調RF信号は、RF伝送線路122のRFロッド504および端部506を介して供給リング502に送信される。変調RF信号の一部は、電極202とエッジリング110との間の容量結合を提供するために、端部506から端部508および同軸ケーブル220を介して結合リング112内に埋め込まれた電極202に伝達する。
パッシブ電力が電極202へ供給されるいくつかの実施形態において、RFロッド504は、RF伝送線路122(図1)ではなく、RF伝送線路254のものである。RF伝送線路254は、RFフィルタ207をRFフィルタ208(図2B)に接続する。
様々な実施形態において、RFフィルタ208は、RF伝送線路254のRFロッド504に接続されると共に、供給リング502に接続される。例えば、受動RF電力が、RFフィルタ207に接続された接地から電極202に流れている一実施形態において、RFフィルタ208の入力はRF電力ロッド504に接続され、RFフィルタ208の出力は供給リング502に接続される。別の例として、エッジ領域102からの受動RF電力が、RFフィルタ207に接続された接地に流れている一実施形態において、RFフィルタ208の入力は供給リング502に接続され、RFフィルタ208の出力はRFロッド504に接続される。さらに別の例において、RFフィルタ208は、アーム716の端部506に接続されると共に、RFロッド504に接続される。
アクティブ電力が利用される一実施形態において、RFフィルタ208の入力は、さらにIMC108(図2A)に接続されるRFロッド504に接続され、RFフィルタ208の出力は、供給リング502に接続される。
図6は、プラズマチャンバの残りの構成要素に対する電極202の位置を示すプラズマチャンバ(プラズマチャンバ104(図1)の一例)の一部650の一実施形態の図である。部分650は、プラズマチャンバの絶縁リング652を含む。絶縁リング652は、絶縁リング604の一部を囲んでおり、絶縁リング652の一部は、絶縁リング604の下方に位置する。絶縁リング604は、別の絶縁リング654の下方に位置する。
絶縁リング654は、結合リング112に隣接し、エッジリング110を囲む絶縁リング612の下方にある。結合リング112は、チャック114に隣接する。エッジリング110は、結合リング112の一部608の上部に重ねられる。結合リング112の部分608は、電極202とエッジリング110との間に容量結合が確立されるように、電極202とエッジリング110の下面との間で誘電体のように機能する。部分608は、エッジリング110と結合リング112の残り部分606との間で誘電体を形成する。絶縁リング612は、移動可能接地リング614によって囲まれており、移動可能接地リング614は、接地に接続されている。移動可能接地リング614は、固定接地リング616の上部に配置されており、固定接地リング616も、接地に接続されている。
絶縁リング654は、その内側で、チャック114、設備プレート224、および、結合リング112に隣接して配置され、その外側で、固定接地リング616に隣接して配置されている。さらに、絶縁リング604は、設備プレート224の下方に配置されており、設備プレート224は、チャック114を支持する。固定接地リング616は、絶縁リング654に隣接して囲んでおり、絶縁リング652の上部にある。
閉じ込めリング238(図2Aおよび図2B)は、閉じ込めリング部分656および閉じ込めリング水平658(例えば、スロット付きリングなど)を備える。上側電極121は、上側電極延長部660によって囲まれている。
上側電極121とチャック114との間に形成されたギャップ232は、上側電極121、上側電極延長部660、閉じ込めリング部分656、閉じ込めリング水平部分658、絶縁リング612,エッジリング110、および、チャック114によって囲まれている。
結合リング112は、エッジリング110、絶縁リング654、および、チャック114によって囲まれている。例えば、結合リング112は、チャック114、エッジリング110、および、絶縁リング654に隣接する。別の例として、エッジリング110は、電極202が埋め込まれた結合リング112の上部に配置され、チャック114は、結合リング112の内側に隣接して配置され、絶縁リング654は、結合リング112の外側に隣接して配置されている。同軸ケーブル220は、絶縁リング604および絶縁リング654を通って、結合リング112の部分606内に配置された電極202に接続される。
図7は、RFロッド504に接続された供給リング502を示すシステム700の一実施形態の図である。供給リング502は、複数のアーム710、712、714、および、716に接続する円形部分708を備える。円形部分708は、平面またはリング形状である。アーム716は、端部506でRFロッド504に接続され、もう一方の端部718で円形部分708に接続される。例えば、アーム716は、取り付けメカニズム(例えば、ネジ、ボルト、クランプ、ナット、または、それらの組みあわせなどを)用いて、端部506でRFロッド504に固定される。同様に、アーム710は、端部720で電力ピン702に接続される。例えば、アーム710は、取り付けメカニズムを用いて端部720で電力ピン702に固定される。電力ピン702は、電力ピン204と構造および機能が同じである。例えば、電力ピン702は、同軸ケーブルと、同軸ケーブルの少なくとも一部を囲むスリーブと、を備える。アーム710は、もう一方の端部722で円形部分708に接続されている。
さらに、アーム712は、端部724で電力ピン704に接続されており、電力ピン704は、電力ピン204と構造および機能が同じである。例えば、電力ピン704は、同軸ケーブルと、同軸ケーブルの少なくとも一部を囲むスリーブと、を備える。一例として、アーム712は、取り付けメカニズムを用いて端部724で電力ピン704に固定される。アーム712は、もう一方の端部726で円形部分708に接続されている。
さらに、アーム714は、端部508で電力ピン204に接続される。アーム714は、もう一方の端部728で円形部分708に接続されている。アーム710は、円形部分708から伸びて電力ピン702の同軸ケーブルに接続し、アーム712は、円形部分708から伸びて電力ピン704の同軸ケーブルに接続し、アーム714は、円形部分798から伸びて電力ピン204の同軸ケーブル220に接続する。電力ピン702(例えば、電力ピン702の同軸ケーブルなど)は、結合リング112内に埋め込まれた電極202に点730で接続される。さらに、電力ピン704(例えば、電力ピン704の同軸ケーブル)は、点732で電極202に接続され、電力ピン204(例えば、同軸ケーブル220)は、点734で電極202に接続される。
RFロッド504およびインピーダンス整合回路108(図1)を介して受信された変調RF信号は、アーム716を介して円形部分708に送信され、アーム710、712、および、714の間で分配される。変調RF信号の電力の一部は、アーム710および電力ピン702(例えば、電力ピン702の同軸ケーブルなど)を通して電極202に至り、変調RF信号の電力の別の部分は、アーム712および電力ピン704(例えば、電力ピン704の同軸ケーブルなど)を通して電極202に至り、電力のさらに別の部分は、アーム714および電力ピン204(例えば、同軸ケーブル220など)を通して電極202に至る。
いくつかの実施形態において、供給リング502は、円形部分708から伸びて結合リング112内の電極202に接続する任意の他の数(例えば、2、1、4、5など)のアームを備える。
様々な実施形態において、円形部分708の代わりに、別の形状(例えば、楕円形、多角形など)の部分が用いられる。
図8Aは、電極202(図2A)に供給される電力の量が変化した場合にプラズマチャンバ104内で処理されるウエハの正規化されたエッチング速度の変化を示すグラフ800の一実施形態である。ウエハは、基板120(図1)の一例である。グラフ800は、プラズマチャンバ104(図1)のチャック114がIMC113(図1)を介してx1kHzおよびzMHzRF発生器からRF電力を供給され、電極202がIMC108(図1)を介してxMHzRF発生器からRF電力を供給された時の正規化されたエッチング速度対ウエハ半径をプロットしたものである。
グラフ800は、3つのプロット802、804、および、806を含む。プロット802は、xMHzRF発生器の或る量のRF電力P1がIMC108を介して電極202に供給された時に生成されたものである。プロット804は、xMHzRF発生器の或る量のRF電力P2がIMC108を介して電極202に供給された時に生成され、プロット806は、xMHzRF発生器の或る量のRF電力P3がIMC108を介して電極202に供給された時に生成されたものである。電力P3は電力P2よりも大きく、電力P2は電力P1よりも大きい。
図8Bは、電極202に供給される電力量の変化に伴ったイオン束の方向の変化を示すプラズマチャンバ104(図1)の一部の図である。電力量P1が電極202に供給された場合、イオン束810の方向812aは、イオンが基板120に向かって垂直に方向付けられず、結合リング112の直径に垂直である90°のイオン入射角度に関して負の角度-θに方向付けられるような方向である。角度θは、結合リング112の直径に垂直な垂直軸に関して測定される。これは、エッジ領域102における基板120のエッチングのエッチング速度を高める。
さらに、電力量P2が電極202に供給された場合、イオン束810の方向812bは、イオンが垂直に方向付けられるようになる(例えば、 θ= 0)。電力P2は、電力P1と比較してエッジリング110の電圧を高める。これは、電力量P1が供給された場合と比較して、エッジ領域102における基板120のエッチングのエッチング速度を遅くする。エッチング速度は、エッジ領域102で均一なエッチング速度を達成し、エッジ領域102で平坦なプラズマシースを達成するために減少される。例えば、ウエハおよびエッジリング110の間でそれらの上のプラズマシースの高さの間に差がほとんどないか全くない。
また、電力量P3が電極202に供給された場合、イオン束810の方向812cは、イオンが基板120に向かって垂直に方向付けられず、正の角度θ に方向付けられるような方向である。これは、電力量P2が供給された場合と比較して、エッジ領域102における基板120のエッチングのエッチング速度を遅くする。電極202に供給される電力の量を制御することにより、イオン束810の方向が、電力ピン204(図2A)および電極202を介して制御される。
いくつかの実施形態において、電極202によって供給される電力を増大させる代わりに、RFフィルタ207(図2B)のキャパシタンスの量が、角度θを負の値から0、さらには正の値に変化させて、イオン束810の方向を制御するために増大される。
図9Aは、RFフィルタ207(図2B)のキャパシタンスの変化に伴った基板120(図1)のエッチングのエッチング速度の変化を示すグラフ900の一実施形態である。グラフ900は、RFフィルタ207のキャパシタンスの様々な値について、正規化されたエッチング速度対ウエハの半径をプロットする。RFフィルタ207のキャパシタンスが増大するにつれ、エッジ領域102(図1)でのウエハのエッチング速度が、エッチング速度の均一性を高めるように減少する。
図9Bは、エッジリング110(図1)のピーク電圧対RFフィルタ207(図2B)のキャパシタンスをプロットしたグラフ902の一実施形態である。RFフィルタ207のキャパシタンスが増大するにつれ、エッジリング110のピーク電圧は、負のθからゼロへと更に正のθへとイオン束810(図8B)の方向を変化させるように増大する。
図10は、マスタRF発生器1014とスレーブRF発生器1012との間の同期を示すシステム1000の一実施形態の図である。システム1000は、マスタRF発生器1014、スレーブRF発生器1012、IMC108、IMC113、RF発生器1002、別のRF発生器1018、変数センサ1020、変数センサ1022、チャック114、および、エッジ電極1016を備える。エッジ電極1016の例は、結合リング112またはエッジリング110(図1)もしくはそれらの組みあわせ。例えば、エッジ電極1016は、結合リング112の上部にエッジリング110のスタックを備える。いくつかの実施形態において、エッジ電極1016が、スタックを備える場合、本明細書では、エッジ電極スタックと呼ぶこととする。エッジ電極1016は、エッジ領域102内に形成されたプラズマのプラズマシースを制御するためにチャック114を囲む。チャック114は、本明細書では、メイン電極と呼ぶことがある。変数センサ1020および変数センサ1022の各々の例は、複素電圧センサ、複素電力センサ、複素電流センサ、複素電圧/電流センサ、または、複素インピーダンスセンサを含む。
マスタRF発生器1014は、チャック114へ電力を供給するRF発生器の動作周波数の中で最も低い動作周波数を有するため、低周波数(LF)発生器である。例えば、マスタRF発生器1014によって生成されるRF信号の周波数は、IMC108を介してチャック114へRF信号を供給するRF発生器1002の周波数よりも低い周波数である。説明すると、マスタRF発生器1014は、x1kHzの動作周波数を有し、RF発生器1002は、xMHzまたはyMHzまたはzMHzの動作周波数を有する。別の例として、マスタRF発生器1014は、xMHzの動作周波数を有し、RF発生器1002は、yMHzまたはzMHzの動作周波数を有する。xMHz周波数が2MHzであり、zMHz周波数が60MHzである時、yMHz周波数の一例は27MHzである。同様に、スレーブRF発生器1012は、エッジ電極1016へ電力を供給するRF発生器の周波数の中で最も低い動作周波数を有するため、低周波数(LF)発生器である。例えば、スレーブRF発生器1012によって生成されるRF信号の周波数は、IMC113を介してエッジ電極1016へRF信号を供給するRF発生器1018の周波数よりも低い周波数である。説明すると、スレーブRF発生器1012は、x1kHzの動作周波数を有し、RF発生器1018は、xMHzまたはyMHzまたはzMHzの動作周波数を有する。別の例として、スレーブRF発生器1012は、xMHzの動作周波数を有し、RF発生器1018は、yMHzまたはzMHzの動作周波数を有する。
マスタRF発生器1014およびRF発生器1002は、IMC108の入力1038および1040に接続されており、IMC108の出力1008は、RF伝送線路124を介してチャック114に接続されている。例えば、マスタRF発生器1014は、RFケーブル1036を介してIMC108の入力1040に接続され、RF発生器1002は、RFケーブル1034を介してIMC108の入力1038に接続されている。同様に、スレーブRF発生器1012およびRF発生器1018は、IMC113の入力1042および1044に接続されており、IMC113の出力1010は、RF伝送線路122を介してエッジ電極1016に接続されている。例えば、スレーブRF発生器1012は、RFケーブル1046を介してIMC113の入力1042に接続され、RF発生器1018は、RFケーブル1048を介してIMC113の入力1044に接続されている。変数センサ1020は、RF伝送線路124上の点1004に接続され、変数センサ1022は、RF伝送線路122上の点1006に接続される。変数センサ1020は、転送ケーブル1030を介してスレーブRF発生器1012に接続され、変数センサ1022は、転送ケーブル1032を介してスレーブRF発生器1012に接続される。マスタRF発生器1014は、転送ケーブル1050を介してスレーブRF発生器1012に接続される。
RF発生器1002およびマスタRF発生器1014は、RF信号を生成する。マスタRF発生器1014によって生成されるRF信号は、マスタRF発生器1014の動作周波数から予め定められた限度内にある(例えば、同じである、予め格納された限度内にある、など)周波数を有する。マスタRF発生器1014によって生成されたRF信号は、RFケーブル1036および入力1040を介してIMC108へ供給される。同様に、RF発生器1002によって生成されたRF信号は、RFケーブル1034および入力1038を介してIMC108へ供給される。IMC108は、IMC108の出力1008に接続された負荷(例えば、伝送線路124およびチャック114など)のインピーダンスを、IMC108の入力1034および1036に接続されたソース(例えば、RFケーブル1034および1036、ならびに、RF発生器1002および1014など)のインピーダンスと整合して、変調RF信号を生成する。変調RF信号は、プラズマチャンバ104(図1)内でプラズマを生成または維持するために、処理ガスの内の1または複数と共に、RF伝送線路124を介してチャック114へ供給する。
マスタRF発生器1014は、転送ケーブル1050を介してスレーブRF発生器1012へマスタRF発生器1014の動作周波数を提供する。スレーブRF発生器1012は、マスタRF発生器1014の動作周波数を受信し、マスタRF発生器1014の動作周波数から予め定められた範囲内にある(例えば、同じである、予め格納された周波数範囲内にある)周波数を有するRF信号を生成するよう決定する。例えば、スレーブRF発生器1012は、マスタRF発生器1014の動作周波数を受信し、マスタRF発生器1014の動作周波数から予め定められた範囲内になるようにスレーブRF発生器1012の動作周波数を変更する。
RF発生器1018およびスレーブRF発生器1012は、RF信号を生成する。スレーブRF発生器1012によって生成されたRF信号は、予め定められた範囲内の周波数を有し、RFケーブル1046および入力1042を介してIMC113へ供給される。同様に、RF発生器1018によって生成されたRF信号は、RFケーブル1048および入力1044を介してIMC113へ供給される。IMC113は、IMC113の出力1010に接続された負荷(例えば、RF伝送線路122およびエッジ電極1016など)のインピーダンスを、IMC113の入力1042および1044に接続されたソース(例えば、RFケーブル1046および1048、ならびに、RF発生器1012および1018など)のインピーダンスと整合して、変調RF信号を生成する。変調RF信号は、プラズマチャンバ104(図1)のエッジ領域102内のプラズマシースを制御するために、RF伝送線路122を介してエッジ電極1016へ供給される。
RF信号がスレーブRF発生器1012によって生成され、変調RF信号がRF伝送線路122を介してエッジ電極1016へ送信されると(例えば、送信された後、など)、変数センサ1022は、IMC113の出力1010に関連する(例えば、出力1010で測定される、RF伝送線路122上の点で測定される、など)変数(例えば、複素電力、複素電圧、複素電流、複素インピーダンスなど)の値を測定し、転送ケーブル1032を介してスレーブRF発生器1012へその値を提供する。複素変数は、複素変数の大きさ(例えば、振幅など)および複素変数の位相を含むことに注意されたい。さらに、RF信号がマスタRF発生器1014によって生成され、変調RF信号がRF伝送線路124を介してチャック114へ送信された後、変数センサ1020は、出力1008に関連する(例えば、IMC108の出力1008で測定される、RF伝送線路124上の点で測定される、など)変数の値を測定し、転送ケーブル1030を介してスレーブRF発生器1012へその値を提供する。
スレーブRF発生器1012は、変数センサ1022によって測定された変数の値の中の位相を、変数センサ1020によって測定された変数の値の中の位相と比較する。その比較に応答して、スレーブRF発生器1012は、変数センサ1022によって測定される変数の値内の中の位相が、変数センサ1020によって測定される変数の値の位相から予め定められた範囲内にある(例えば、同じである、予め格納された範囲内にある、など)ように、スレーブRF発生器1012によって生成されるRF信号の位相を修正する。説明すると、その比較に基づいて、スレーブRF発生器1012は、RF信号の位相が、変数センサ1020によって測定される変数の値の中の位相から予め定められた範囲内にあるように、スレーブRF発生器1012によって生成されるRF信号の位相を変更する。
スレーブRF発生器1012が、スレーブRF発生器1012の動作周波数をマスタRF発生器1014の動作周波数から予め定められた範囲内になるように修正し、RF信号の位相が変数センサ1020によって測定された位相から予め定められた範囲内になるように、スレーブRF発生器1012によって生成されるRF信号の位相を修正すると、スレーブRF発生器1012は、RF信号の変数(例えば、複素電力、複素電圧、複素電流など)の大きさを決定する。例えば、スレーブRF発生器1012の動作周波数が、マスタRF発生器1014の動作周波数に整合され、IMC113の出力1010に関連する変数の位相がIMC108の出力1008に関連する変数の位相と一致するように、スレーブRF発生器1012によって修正されるRF信号の位相が調整された後、スレーブRF発生器1012は、スレーブRF発生器1012内のメモリデバイス内の要素にアクセスして、スレーブRF発生器1012によって修正されるRF信号の変数の大きさを決定する。要素の例は、エッジ領域102におけるプラズマシースの傾き、エッジ電極1016のウエハ直流(DC)バイアス、または、基板120の上面での円の接触の楕円率、基板120をエッチングするエッチング速度、基板120に材料を蒸着する蒸着速度などを含む。
一例として、スレーブRF発生器1012によって生成されるRF信号の変数の大きさは、出力1008および1010に関連する変数とは異なるタイプであることに注意されたい。説明すると、出力1008および1010に関連する変数が電圧である場合、スレーブRF発生器1012によって生成されるRF信号の変数の大きさは、電力の振幅である。別の例として、出力1008および1010に関連する変数が電力である場合、スレーブRF発生器1012によって生成されるRF信号の変数の大きさは、電圧の振幅である。別の例として、スレーブRF発生器1012によって生成されるRF信号の変数の大きさは、出力1008および1010に関連する変数と同じタイプである。説明すると、出力1008および1010に関連する変数が電力である場合、スレーブRF発生器1012によって生成されるRF信号の変数の大きさは、電力の振幅である。
変数の大きさは、RFケーブル1046とIMC113の入力1042とを介してスレーブRF発生器1012から供給されるRF信号を生成するために、スレーブRF発生器1012によって適用される。さらに、RF発生器1018は、RF信号を生成し、RFケーブル1048および入力1044を介してIMC113にそのRF信号を供給する。IMC113は、IMC113の出力1010に接続された負荷のインピーダンスを、IMC113の入力1042および1044に接続されたソースのインピーダンスと整合させて、変調RF信号を生成する。変調RF信号は、エッジ領域102におけるプラズマシースに関連する要素を制御するために、RF伝送線路124を介してエッジ電極1016に送信される。
様々な実施形態において、変数センサ1020は出力1008に接続され、変数センサ1022は出力1010に接続される。いくつかの実施形態において、変数センサ1020は、出力1008とチャック114との間のRF伝送線路124上の任意の点に接続される。同様に、いくつかの実施形態において、変数センサ1022は、出力1010とエッジ電極1016との間のRF伝送線路122上の任意の点に接続される。
いくつかの実施形態において、システム1000は、RF発生器1002および/またはRF発生器1018を除外する。様々な実施形態において、システム1000は、IMC108に接続された異なる動作周波数を有する任意の数(例えば、3など)のRF発生器、および/または、IMC113に接続された異なる動作周波数を有する任意の数(例えば、3など)のRF発生器を備える。
いくつかの実施形態において、電極202(図2A)は、結合リング112内に埋め込まれる代わりにエッジリング110内に埋め込まれ、上述したのと同様に(例えば、RFフィルタ208および電力ピン204(図2A)を介して)RF伝送線路122に接続される。
いくつかの実施形態において、エッジ電極1016を用いる代わりに、チャック114は、2つの電極(例えば、中央下側電極および周囲下側電極など)に分割される。中央下側電極はRF伝送線路124に接続され、周囲下側電極はRF伝送線路122に接続される。電極202(図2A)は、周囲下側電極に埋め込まれ、電極202は、上述したのと同様に(例えば、RFフィルタ208および電力ピン204(図2A)を介して)RF伝送線路122に接続される。
様々な実施形態において、エッジ電極1016の代わりに、上側電極延長部660(図6)がRF伝送線路122に接続され、チャック114の代わりに、上側電極121(図6)がRF伝送線路124に接続される。これらの実施形態において、電極202(図2A)は、上側電極延長部660に埋め込まれ、電極202は、上述したのと同様に(例えば、RFフィルタ208および電力ピン204(図2A)を介して)RF伝送線路122に接続される。
いくつかの実施形態において、上側電極延長部660を用いる代わりに、上側電極121は、2つの電極(例えば、中央上側電極および周囲上側電極など)に分割される。中央上側電極はRF伝送線路124に接続され、周囲上側電極はRF伝送線路122に接続される。電極202(図2A)は、周囲上側電極に埋め込まれ、電極202は、上述したのと同様に(例えば、RFフィルタ208および電力ピン204(図2A)を介して)RF伝送線路122に接続される。
様々な実施形態において、マスタRF発生器1014は、チャック114へ電力を供給するRF発生器の動作周波数の中で中間の動作周波数を有するため、中間周波数(MF)発生器である。例えば、マスタRF発生器1014は、yMHzの動作周波数を有し、チャック114に電力を供給する他のRF発生器は、xMHz周波数およびzMHz周波数を有する。別の例として、マスタRF発生器1014は、yMHzの動作周波数を有し、チャック114に電力を供給する他のRF発生器は、x1kHz周波数およびzMHz周波数を有する。別の例として、マスタRF発生器1014は、xMHzの動作周波数を有し、チャック114に電力を供給する他のRF発生器は、x1kHz周波数およびzMHz周波数を有する。さらに別の例として、マスタRF発生器1014は、xMHzの動作周波数を有し、チャック114に電力を供給する他のRF発生器は、x1kHz周波数およびyMHz周波数を有する。また別の例として、マスタRF発生器1014の動作周波数は、チャック114へ電力を供給する他のRF発生器の動作周波数の間の周波数である。
様々な実施形態において、マスタRF発生器1014は、チャック114へ電力を供給するRF発生器の動作周波数の中で最も高い動作周波数を有するため、高周波数(HF)発生器である。例えば、マスタRF発生器1014は、zMHzの動作周波数を有し、チャック114に電力を供給する他のRF発生器は、xMHz周波数およびyMHz周波数を有する。別の例として、マスタRF発生器1014は、zMHzの動作周波数を有し、チャック114に電力を供給する他のRF発生器は、x1kHz周波数およびyMHz周波数を有する。別の例として、マスタRF発生器1014は、zMHzの動作周波数を有し、チャック114に電力を供給する他のRF発生器は、x1kHz周波数およびxMHz周波数を有する。また別の例として、マスタRF発生器1014の動作周波数は、チャック114へ電力を供給する他のRF発生器の動作周波数よりも高い。
同様に、いくつかの実施形態において、スレーブRF発生器1012は、エッジ電極1016へ電力を供給するRF発生器の周波数の中で中間の動作周波数を有するため、中間周波数発生器である。例えば、スレーブRF発生器1012は、yMHzの動作周波数を有し、エッジ電極1016に電力を供給する他のRF発生器は、xMHz周波数およびzMHz周波数を有する。別の例として、スレーブRF発生器1012は、yMHzの動作周波数を有し、エッジ電極1016に電力を供給する他のRF発生器は、x1kHz周波数およびzMHz周波数を有する。別の例として、スレーブRF発生器1012は、xMHzの動作周波数を有し、エッジ電極1016に電力を供給する他のRF発生器は、x1kHz周波数およびyMHz周波数を有する。さらに別の例として、スレーブRF発生器1012は、xMHzの動作周波数を有し、エッジ電極1016に電力を供給する他のRF発生器は、x1kHz周波数およびzMHz周波数を有する。また別の例として、スレーブRF発生器1012の動作周波数は、エッジ電極1016へ電力を供給する他のRF発生器の動作周波数の間の周波数である。
様々な実施形態において、スレーブRF発生器1012は、エッジ電極1016へ電力を供給するRF発生器の動作周波数の中で最も高い動作周波数を有するため、高周波数発生器である。例えば、スレーブRF発生器1012は、zMHzの動作周波数を有し、エッジ電極1016に電力を供給する他のRF発生器は、xMHz周波数およびyMHz周波数を有する。別の例として、スレーブRF発生器1012は、zMHzの動作周波数を有し、エッジ電極1016に電力を供給する他のRF発生器は、x1kHz周波数およびyMHz周波数を有する。別の例として、スレーブRF発生器1012は、zMHzの動作周波数を有し、エッジ電極1016に電力を供給する他のRF発生器は、x1kHz周波数およびxMHz周波数を有する。また別の例として、スレーブRF発生器1012の動作周波数は、エッジ電極1016へ電力を供給する他のRF発生器の動作周波数よりも高い。
いくつかの実施形態において、出力1008および1010に関連する変数の位相は、スレーブRF発生器1012の動作周波数がマスタRF発生器1014の動作周波数から予め定められた範囲内になるように調整される前に調整される。様々な実施形態において、出力1008および1010に関連する変数の位相は、スレーブRF発生器1012の動作周波数がマスタRF発生器1014の動作周波数から予め定められた範囲内になるように調整されるのと同時に(例えば、同じ時間に、同じクロックサイクル中に、など)調整される。
図11は、マスタRF発生器1014AおよびスレーブRF発生器1012Aの両方が連続波形モードで動作している時のマスタRF発生器1014AおよびスレーブRF発生器1012Aの間の周波数ロッキングおよび位相ロッキングを示すシステム1100の一実施形態の図である。マスタRF発生器1014Aは、マスタRF発生器1014(図10)の一例であり、スレーブRF発生器1012Aは、スレーブRF発生器1012(図10)の一例である。一例として、連続波形モードにおいて、RF発生器は、2つの電力レベルの予め定められた範囲内の電力レベル(例えば、RF信号の最大振幅、RF信号の大きさの二乗平均平方根値、RF信号のエンベロープなど)を有するRF信号を生成する。連続波形モードのRF信号は、1つの状態(例えば、状態S1または状態S2など)を有し、複数の状態を持たない。これについては、後に詳述する。
システム1100は、マスタRF発生器1014A、スレーブRF発生器1012A、IMC108、IMC113、および、ホストコンピュータシステム306を備える。マスタRF発生器1014Aは、デジタル信号プロセッサ(DSP)1102、ドライバ1104、および、RF電源1106を備える。本明細書で記載するRF電源は、電力源である。DSP1102は、導体(例えば、ワイヤ、ケーブルなど)を介してドライバ1104に接続され、ドライバ1104は、導体を介してRF電源1106に接続される。ホストコンピュータシステム306は、転送ケーブル1108を介してDSP1102に接続される。ドライバの例は、1または複数のトランジスタを含む。
スレーブRF発生器1012Aは、DSP1114、ドライバ1116、および、RF電源1118を備える。DSP1114は、導体を介してドライバ1116に接続され、ドライバ1116は、導体を介してRF電源1118に接続される。ホストコンピュータシステム306は、転送ケーブル1110を介してDSP1114に接続される。
DSP1102は、ホストコンピュータシステム306から転送ケーブル1108を介して、マスタRF発生器1014Aによって生成されるRF信号の周波数および電力を受信する。DSP1102は、RF信号の電力および周波数を示すために、ドライバ1104へ制御信号を送信する。ドライバ1104は、制御信号から受信した電力および周波数に基づいた(例えば、それを有する、など)駆動信号(例えば、電流信号など)を駆動(例えば、生成)し、RF電源1106へその電流信号を提供する。RF電源1106は、RFケーブル1036を介してIMC108の入力1040へ供給されるRF信号を生成する。RF信号は、制御信号から受信した周波数および電力を有する。
DSP1102は、転送ケーブル1050を介してスレーブRF発生器1012AのDSP1114へ、DSP1102によって生成されたRF信号の周波数を提供する。スレーブRF発生器1012AのDSP1114は、DSP1102から周波数を受信し、DSP1102から受信した周波数から予め定められた範囲内にある周波数を示す制御信号を生成するよう決定する。さらに、DSP1114は、ホストコンピュータシステム306のプロセッサから転送ケーブル1110を介して、スレーブRF発生器1012Aによって生成されるRF信号の電力を受信する。DSP1114は、RF信号の電力(ホストコンピュータシステム306から受信したもの)および周波数(予め定められた範囲内の周波数)を示すために、制御信号をドライバ1116へ送信する。ドライバ1116は、制御信号から受信した電力および周波数に基づいた(例えば、それを有する、など)駆動信号(例えば、電流信号など)を駆動(例えば、生成)し、RF電源1118へその電流信号を提供する。RF電源1118は、ホストコンピュータシステム306から受信した電力とDSP1114から受信した周波数とを有するRF信号を生成する。RF信号は、RF伝送線路122を介して転送される変調信号を生成するために、RF電源1118によってRFケーブル1046を介してIMC113へ供給される。
さらに、RF伝送線路122を介して供給される変調RF信号が、スレーブRF発生器1012Aによって生成されたRF信号に基づいて生成されると、DSP1114は、転送ケーブル1030を介して出力1008に関連する変数を受信し、転送ケーブル1032を介して出力1010に関連する変数を受信する。出力1008に関連する変数は、出力1008に関連する変数の位相を含み、出力1010に関連する変数は、出力1010に関連する変数の位相を含む。DSP1114は、出力1010に関連する変数の位相と、出力1008に関連する変数の位相とを比較して、位相が互いに予め定められた範囲内にあるか否かを判定する。位相が互いから予め定められた範囲内にないと判定すると、DSP1114は、出力1010に関連する変数の位相が出力1008に関連する変数の位相から予め定められた範囲内になるように、スレーブRF発生器1012Aによって修正されるRF信号の位相を決定する。例えば、DSP1114は、RF信号の位相が出力1008に関連する変数の位相から予め定められた範囲内になるように、RF信号がスレーブRF発生器1012Aによって出力される時間(例えば、クロックサイクルなど)を決定する。DSP1114は、ドライバ1116に送信される制御信号内でスレーブRF発生器1012Aによって修正されるRF信号の位相を示す。例えば、DSP1114は、出力1010に関連する変数の位相が出力1008に関連する変数の位相から予め定められた範囲内になるように、その時間に制御信号をドライバ1116へ送信するよう決定する。
さらに、DSP1114は、DSP1102から受信した周波数から予め定められた範囲内にある周波数と、予め定められた範囲内にあるRF信号の位相とを決定すると、要素を達成するためにRF信号の変数の大きさを決定する。例えば、DSP1102から受信した周波数から予め定められた範囲内にある周波数と、予め定められた範囲内にあるRF信号の位相とを決定した後、DSP1114は、メモリデバイス1112に格納されたテーブル1200(図12を参照)から、スレーブRF発生器1012Aによって修正されるRF信号の変数(例えば、複素電力、複素電圧など)の大きさにアクセスし、ドライバ1116へ送信される制御信号内でその大きさを示す。DSP1114は、要素の値に対応する(例えば、一対一の関係性を有する、つながりがある、対応づけられる、など)変数の大きさを決定する。
その時、DSP1114は、DSP1102から受信した周波数から予め定められた範囲内にある周波数およびスレーブRF発生器1012Aによって修正されるRF信号の変数の大きさを示す制御信号をドライバ1116へ送信する。さらに、その時、ドライバ1116は、DSP1114から受信した周波数およびDSP1114から受信した制御信号内に示された変数の大きさに基づく(例えば、それらを有する、など)駆動信号を生成し、その駆動信号をRF電源1118へ提供する。さらに、その時、RF電源1118は、ドライバ1116から駆動信号を受信すると、予め定められた範囲内の周波数を有するRF信号および要素に基づいて決定された大きさを有するRF信号を生成し、RFケーブル1046を介してIMC113の入力1042へそのRF信号を送信する。
いくつかの実施形態において、フェーズロックドループ(PLL)(例えば、オシレータに接続された位相検出器など)が、RF伝送線路122および124を介して転送される変調RF信号の間の位相差を決定するために、出力1008および1010に関連づけられる。例えば、位相検出器の入力は、出力1008および出力1010に接続される。別の例として、位相検出器の入力は、RF伝送線路122上の任意の点に接続され、位相検出器の別の入力は、RF伝送線路124上の任意の点に接続される。位相検出器の出力は、PLLのオシレータに接続され、PLLのオシレータの出力は、DSP1114に接続される。PLLの位相検出器は、RF伝送線路122を通る変調RF信号およびRF伝送線路124を通る変調RF信号の間の位相の差を決定し、PLLのオシレータが出力1008に関連する変数および出力1010に関連する変数の位相の間に予め定められた範囲を達成するような信号を生成するように、信号をPLLのオシレータに送信する。オシレータによって生成された信号は、信号の位相を決定するDSP114へ提供される。
いくつかの実施形態において、RF発生器のドライバは、RF発生器のRF電源の一部である。例えば、ドライバ1104は、RF電源1106の一部である。別の例として、ドライバ1116は、RF電源1118の一部である。
図12は、要素と、スレーブRF発生器1012A(図11)によって修正されるRF信号の変数との間の対応関係を示すテーブル1200の一実施形態の図である。テーブル1200は、要素の様々な値(例えば、FTR1、FTR2、FTR3など)と、スレーブRF発生器1012Aによって修正されるRF信号の変数の値(例えば、VR1、VR2、VR3など)との間の対応関係を含む。例えば、値FTR1は値VR1に対応し(例えば、一意的に関連し)、値FTR2は値VR2に対応し、値FTR3は値VR3に対応する。値VR1、VR2、および、VR3の各々は、RF電源1118によって修正されるRF信号の変数(例えば、複素電力、複素電圧、複素電流など)の大きさである。
図13は、マスタRF発生器1014BおよびスレーブRF発生器1012Bの両方が状態遷移モードで動作している時のマスタRF発生器1014BおよびスレーブRF発生器1012Bの間の周波数ロッキングおよび位相ロッキングを示すシステム1300の一実施形態の図である。状態遷移モードにおいて、各RF発生器は、複数の状態の間(例えば、状態S1および状態S2の間、3つの状態S1、S2、および、S3の間、任意のその他の数の状態の間)で遷移する。状態S1中、各RF発生器は、状態S2中のRF信号の電力レベルから予め定められた範囲外にある電力レベルを有するRF信号を生成する。マスタRF発生器1014Bは、マスタRF発生器1014(図10)の一例であり、スレーブRF発生器1012Bは、スレーブRF発生器1012(図10)の一例である。
マスタRF発生器1014Bは、DSP1102、状態S1のための電力コントローラ1302、状態S2のための電力コントローラ1304、状態S1のための自動周波数調整器(AFT)1306、および、状態S2のためのAFT1308を備える。DSP1102は、電力コントローラ1302および1304に接続されると共に、AFT1306および1308に接続されている。さらに、電力コントローラ1302および1304ならびにAFT1306および1308は、ドライバ1104に接続されている。
同様に、スレーブRF発生器1012Bは、DSP1114、状態S1のための電力コントローラ1310、状態S2のための電力コントローラ1312、状態S1のためのAFT1314、および、状態S2のためのAFT1316を備える。DSP1114は、電力コントローラ1310および1312に接続されると共に、AFT1314および1316に接続されている。さらに、電力コントローラ1310および1312ならびにAFT1314および1316は、ドライバ1116に接続されている。
DSP1102は、クロック源(例えば、ホストコンピュータシステム306のクロック源、デジタルオシレータ、デジタルパルス発生器、プロセッサなど)から転送ケーブル1108を介してトランジスタ- トランジスタロジック(TTL)信号(例えば、クロック信号など)を受信し、DSP1114は、ホストコンピュータシステム306のクロック源から転送ケーブル1110を介してTTL信号を受信する。TTL信号は、状態S1およびS2の間(例えば、論理レベル高および低の間、もしくは、論理レベル0および1の間)で遷移する。
DSP1102は、TTL信号を受信し、TTL信号の状態S1およびS2を識別する。DSP1102は、さらに、状態S1に対する電力レベル、状態S2に対する電力レベル、状態S1に対する周波数レベル、および、状態S2に対する周波数を、転送ケーブル1108を介してホストコンピュータシステム306のプロセッサから受信する。一例として、状態S1中にRF発生器によって生成されるRF信号の周波数は、状態S2中にRF発生器によって生成されるRF信号とは異なる。別の例として、状態S1中にRF発生器で生成されるRF信号の周波数は、状態S2中にRF発生器で生成されるRF信号と同じである。TTL信号が状態S1にある時、DSP1102は、マスタRF発生器1014Bによって生成されるRF信号の電力レベルを示す信号を電力コントローラ1302へ送信し、マスタRF発生器1014Bによって生成されるRF信号の周波数を示す信号をAFT1306へ送信する。電力コントローラ1302は、DSP1102から受信した電力レベルを示す制御信号をドライバ1104へ送信し、AFT1306は、DPS1102から受信した周波数を示す制御信号をドライバ1104へ送信する。
ドライバ1104は、状態S1に対する周波数および状態S1に対する電力レベルを有する駆動信号(例えば、電流信号など)を生成し、RF電源1106へその駆動信号を提供する。状態S1中に駆動信号を受信すると、RF電源1106は、状態S1に対する周波数および状態S1に対する電力レベルを有するRF信号を生成する。
同様に、TTL信号が状態S2にある時、DSP1102は、マスタRF発生器1014Bによって生成されるRF信号の電力レベルを示す信号を電力コントローラ1304へ送信し、マスタRF発生器1014Bによって生成されるRF信号の周波数を示す信号をAFT1308へ送信する。電力コントローラ1304は、DSP1102から受信した電力レベルを示す制御信号をドライバ1104へ送信し、AFT1308は、DPS1102から受信した周波数を示す制御信号をドライバ1104へ送信する。
ドライバ1104は、状態S2に対する周波数および状態S2に対する電力レベルを有する駆動信号を生成し、RF電源1106へその駆動信号を提供する。状態S2中に駆動信号を受信すると、RF電源1106は、状態S2に対する周波数および状態S2に対する電力レベルを有するRF信号を生成する。
状態S1中、DSP1102は、転送ケーブル1050を介してスレーブRF発生器1012BのDSP1114へ、DSP1102によって生成されたRF信号の状態S1に対する周波数を提供する。スレーブRF発生器1012BのDSP1114は、DSP1102から状態S1に対する周波数を受信し、DSP1102から受信した状態S1に対する周波数から状態S1に対する予め定められた範囲内にある状態S1に対する周波数を示す信号を生成するよう決定する。予め定められた範囲内にある状態S1に対する周波数を示す信号は、DSP1114からAFT1314へ提供される。
また、DSP1114は、TTL信号を受信し、TTL信号の状態S1およびS2を識別する。DSP1114は、さらに、転送ケーブル1110を介してホストコンピュータシステム306のプロセッサから、状態S1に対する電力レベルおよび状態S2に対する電力レベルを受信する。TTL信号が状態S1にある時、DSP1114は、スレーブRF発生器1012Bによって生成されるRF信号の電力レベルを示す信号を電力コントローラ1310へ送信し、スレーブRF発生器1012Bによって生成されるRF信号の周波数を示す信号をAFT1314へ送信する。生成されるRF信号の状態S1に対する周波数は、DSP1102から受信した状態S1に対する周波数の予め定められた範囲内にある。電力コントローラ1310は、DSP1114から受信した電力レベルを示す制御信号をドライバ1116へ送信し、AFT1314は、DPS1114から受信した周波数を示す制御信号をドライバ1116へ送信する。
ドライバ1116は、DSP1102から受信した状態S1に対する周波数および状態S1に対する電力レベルに基づく(例えば、それらを有する、など)駆動信号(例えば、電流信号など)を生成し、RF電源1118へその駆動信号を提供する。状態S1中に駆動信号を受信すると、RF電源1118は、状態S1に対する周波数および状態S1に対する電力レベルを有するRF信号を生成する。
さらに、状態S1中、RF伝送線路122を介して送信される変調RF信号が、スレーブRF発生器1012Bによって生成されたRF信号に基づいて生成されると、DSP1114は、転送ケーブル1030を介して出力1008に関連する状態S1に対する変数を受信し、転送ケーブル1032を介して出力1010に関連する状態S1に対する変数を受信する。状態S1に対する出力1008に関連する状態S1に対する変数は、出力1008に関連する変数の位相を含み、出力1010に関連する状態S1に対する変数は、出力1010に関連する変数の位相を含む。状態S1中、DSP1114は、出力1010に関連する状態S1に対する変数の位相と、出力1008に関連する状態S1に対する変数の位相とを比較して、位相が状態S1について互いに予め定められた範囲内にあるか否かを判定する。さらに、状態S1中、状態S1に対する位相が互いから状態S1に対する予め定められた範囲内にないと判定すると、DSP1114は、出力1010に関連する変数の状態S1に対する位相が出力1008に関連する変数の状態S1に対する位相から状態S1に対する予め定められた範囲内になるように、スレーブRF発生器1012Bによって修正されるRF信号の状態S1に対する位相を決定する。例えば、DSP1114は、状態S1に対するRF信号の位相が出力1008に関連する変数の状態S1に対する位相から状態S1に対する予め定められた範囲内になるように、RF信号がスレーブRF発生器1012Bによって出力される時間(例えば、クロックサイクルなど)を決定する。状態S1中、DSP1114は、その時間に信号をAFT1314および電力コントローラ1310に送信する。DSP1114からその時間に信号を受信すると、AFT1314は、DSP1114から受信した状態S1に対する周波数を示す制御信号を生成して、ドライバ1116へ送信する。DSP1114からAFT1314によって受信された周波数は、状態S1に対するDSP1102から受信された周波数から状態S1に対する予め定められた範囲内にある。
さらに、状態S1中、DSP1114は、DSP1102から受信した状態S1に対する周波数から状態S1に対する予め定められた範囲内にある状態S1に対する周波数と、スレーブRF発生器1012Bによって修正されるRF信号の状態S1に対する位相とを決定すると、状態S1に対する要素を達成するために、RF信号の変数の状態S1に対する大きさを決定する。例えば、DSP1102から受信した状態S1に対する周波数から状態S1に対する予め定められた範囲内にある状態S1に対する周波数と、スレーブRF発生器1012Bによって修正されるRF信号の状態S1に対する位相とを決定した後、DSP1114は、メモリデバイス1112に格納されたテーブル1500(図15を参照)から、スレーブRF発生器1012Bによって修正されるRF信号の変数(例えば、複素電力、複素電圧など)の状態S1に対する大きさにアクセスし、信号内でその大きさを示す。DSP1114は、その時間に変数の大きさを示す信号を電力コントローラ1310に送信し、信号を受信すると、電力コントローラ1310は、状態S1に対する大きさを示す制御信号を生成して、ドライバ1116へその制御信号を送信する。
状態S1中、ドライバ1116は、状態S1に対するその時間に、AFT1314から受信した信号内に示された状態S1に対する周波数を有すると共に電力コントローラ1310から受信した状態S1に対する変数の大きさを有する駆動信号を生成して、その駆動信号をRF電源1118へ供給する。状態S1中、ドライバ1116から駆動信号を受信すると、RF電源1118は、その時間に、状態S1に対する周波数と状態S1に対する変数の大きさとを有するRF信号を生成して、RFケーブル1046を介してIMC113の入力1042にそのRF信号を送信する。
さらに、状態S2中、DSP1102は、転送ケーブル1050を介してスレーブRF発生器1012BのDSP1114へ、DSP1102によって生成されたRF信号の状態S2に対する周波数を提供する。一例として、状態S2に対する周波数は、状態S1に対する周波数とは異なる(例えば、より小さい、より大きい、など)。別の例として、状態S2に対する周波数は、状態S1に対する周波数と同じである。スレーブRF発生器1012BのDSP1114は、DSP1102から状態S2に対する周波数を受信し、DSP1102から受信した状態S2に対する周波数から状態S2に対する予め定められた範囲内にある状態S2に対する周波数を示す信号を生成するよう決定する。一例として、状態S2に対する予め定められた範囲は、状態S1に対する予め定められた範囲とは異なる(例えば、より小さい、より大きい、など)ことに注意されたい。別の例として、状態S2に対する予め定められた範囲は、状態S1に対する予め定められた範囲と同じである。
TLL信号が状態S2にある時、DSP1114は、スレーブRF発生器1012Bによって生成されるRF信号のホストコンピュータシステム306から受信した電力レベルを示す信号を電力コントローラ1312へ送信し、スレーブRF発生器1012Bによって生成されるRF信号の状態S2に対する周波数を示す信号をAFT1316へ送信する。状態S2に対する周波数は、状態S2に対するDSP1102から受信した周波数から状態S2に対する予め定められた範囲内にある。電力コントローラ1312は、DSP1114から受信した電力レベルを示す制御信号をドライバ1116へ送信し、AFT1316は、DPS1114から受信した周波数を示す制御信号をドライバ1116へ送信する。
ドライバ1116は、状態S2に対する周波数および状態S2に対する電力レベルを有する駆動信号を生成し、RF電源1118へその駆動信号を提供する。状態S2中に駆動信号を受信すると、RF電源1118は、状態S2に対する周波数および状態S2に対する電力レベルを有するRF信号を生成する。
さらに、RF伝送線路122を介して送られる変調RF信号が、スレーブRF発生器1012Bによって生成された状態S2に対する予め定められた範囲内の周波数を有するRF信号に基づいて生成されると、DSP1114は、状態S2に対して、転送ケーブル1030を介して出力1008に関連する状態S2に対する変数を受信し、転送ケーブル1032を介して出力1010に関連する状態S2に対する変数を受信する。出力1008に関連する状態S2に対する変数は、出力1008に関連する変数の位相を含み、出力1010に関連する状態S2に対する変数は、出力1008に関連する変数の位相を含む。一例として、状態S2に対する出力1008に関連する変数の位相は、状態S1に対する出力1008に関連する変数の位相とは異なる(例えば、より小さい、より大きい、など)。別の例として、状態S2に対する出力1008に関連する変数の位相は、状態S1に対する出力1008に関連する変数の位相と同じである。一例として、状態S2に対する出力1010に関連する変数の位相は、状態S1に対する出力1010に関連する変数の位相とは異なる(例えば、より小さい、より大きい、など)。別の例として、状態S2に対する出力1010に関連する変数の位相は、状態S1に対する出力1010に関連する変数の位相と同じである。
状態S2中、DSP1114は、出力1010に関連する状態S2に対する変数の位相と、出力1008に関連する状態S2に対する変数の位相とを比較して、位相が状態S2について互いに予め定められた範囲内にあるか否かを判定する。一例として、状態S2に対する予め定められた範囲は、状態S1に対する予め定められた範囲とは異なる(例えば、より小さい、より大きい、など)ことに注意されたい。別の例として、状態S2に対する予め定められた範囲は、状態S1に対する予め定められた範囲と同じである。さらに、状態S2中、状態S2に対する位相が互いから状態S2に対する予め定められた範囲内にないと判定すると、DSP1114は、出力1010に関連する変数の状態S2に対する位相が出力1008に関連する変数の状態S2に対する位相から状態S2に対する予め定められた範囲内になるように、スレーブRF発生器1012Bによって生成されるRF信号の状態S2に対する位相を決定する。例えば、DSP1114は、状態S2に対するRF信号の位相が出力1008に関連する変数の状態S2に対する位相から状態S2に対する予め定められた範囲内になるように、RF信号がスレーブRF発生器1012Bによって出力される時間(例えば、クロックサイクルなど)を決定する。状態S2中、DSP1114は、その時間に状態S2に対する信号をAFT1316および電力コントローラ1312に送信する。DSP1114からその時間に信号を受信すると、AFT1316は、DSP1114から受信した状態S2に対する周波数を示す制御信号を生成して、ドライバ1116へ送信する。DSP1114からAFT1316によって受信された周波数は、状態S2に対するDSP1102から受信された周波数から状態S2に対する予め定められた範囲内にある。
さらに、状態S2中、DSP1114は、DSP1102から受信した状態S2に対する周波数から状態S2に対する予め定められた範囲内にある状態S2に対する周波数と、スレーブRF発生器1012Bによって修正されるRF信号の状態S2に対する予め定められた範囲内にある状態S2に対する位相とを決定すると、状態S2に対する要素を達成するために、RF信号の変数の状態S2に対する大きさを決定する。例えば、DSP1102から受信した状態S2に対する周波数から状態S2に対する予め定められた範囲内にある状態S2に対する周波数と、スレーブRF発生器1012Bによって修正されるRF信号の状態S2に対する位相とを決定した後、DSP1114は、メモリデバイス1112に格納されたテーブル1500から、スレーブRF発生器1012Bによって修正されるRF信号の変数(例えば、複素電力、複素電圧など)の状態S2に対する大きさにアクセスし、信号内でその大きさを示す。DSP1114は、その時間に電力コントローラ1312への信号を電力コントローラ1312に送信し、信号を受信すると、電力コントローラ1312は、状態S2に対する大きさを示す制御信号を生成して、ドライバ1116へその制御信号を送信する。
ドライバ1116は、状態S2に対するその時間に、AFT1316から受信した信号内に示された状態S2に対する周波数を有すると共に電力コントローラ1312から受信した状態S2に対する変数の大きさを有する駆動信号を生成して、その駆動信号をRF電源1118へ供給する。状態S2中、ドライバ1116から駆動信号を受信すると、RF電源1118は、状態S2に対するその時間に、状態S2に対する周波数と状態S2に対する大きさとを有するRF信号を生成して、RFケーブル1046を介してIMC113の入力1042にそのRF信号を送信する。
いくつかの実施形態において、PLLは、出力1008および1010に関連し、状態S1中に、RF伝送線路122および124を介して転送される変調RF信号の間の位相差を決定する。状態S1中、PLLの位相検出器は、RF伝送線路122を通る変調RF信号およびRF伝送線路124を通る変調RF信号の間の位相の差を決定し、オシレータが出力1008に関連する変数および出力1010に関連する変数の位相の間に状態S1に対する予め定められた範囲を達成するような信号を生成するように、信号をPLLのオシレータに送信する。オシレータによって生成された信号は、信号の位相を決定するDSP1114へ状態S1中に提供される。
同様に、様々な実施形態において、PLLは、出力1008および1010に関連し、状態S2中に、RF伝送線路122および124を介して転送される変調RF信号の間の位相差を決定する。一例として、状態S2中にRF伝送線路122および124を介して転送される変調信号の間の位相差は、状態S1中の変調信号の間の位相差とは異なる(例えば、より小さい、より大きい、など)。別の例として、状態S2中にRF伝送線路122および124を介して転送される変調信号の間の位相差は、状態S1中の変調信号の間の位相差と同じである。さらに、状態S2中、PLLの位相検出器は、RF伝送線路122を通る変調RF信号およびRF伝送線路124を通る変調RF信号の間の位相の差を決定し、オシレータが出力1008に関連する変数および出力1010に関連する変数の位相の間に状態S2に対する予め定められた範囲を達成するような信号を生成するように、信号をPLLのオシレータに送信する。オシレータによって生成された信号は、信号の位相を決定するDSP1114へ状態S2中に提供される。
いくつかの実施形態において、TTL信号がホストコンピュータシステム306からスレーブRF発生器1012Bへ供給される代わりに、TTL信号は、DSP1102によってホストコンピュータシステム306のクロック源から受信され、DSP1102は、スレーブRF発生器1012Bの動作をマスタRF発生器1014Bの動作と同期させるために、転送ケーブル1050を介してDSP1114へTTL信号を送信する。
図14は、マスタRF発生器1014B(図13)によって生成されたRF信号1402、スレーブRF発生器1012B(図13)によって生成されたRF信号1404、および、TTL信号1406の複数の状態を示すタイミング図の一実施形態の図である。グラフ1408は、TTL信号1406の論理レベル(例えば、0および1、高および低、など)を時間tに対してプロットしている。1つの論理レベルが状態S1に対応し、別の論理レベルが状態S2に対応する。さらに、グラフ1410は、状態S1およびS2のためにマスタRF発生器1014Bによって生成されたRF信号1402の電力レベルを時刻tに対してプロットしており、グラフ1412は、状態S1およびS2のためにスレーブRF発生器1012Bによって生成されたRF信号1404の電力レベルを時刻tに対してプロットしている。
グラフ1410に示すように、状態S1中のRF信号1402の電力レベルは、P1であり、RF信号1402の電力レベルは、状態S2中には0である。さらに、グラフ1412に示すように、RF信号1404の電力レベルは、状態S1中にP2であり、RF信号1404の電力レベルは、状態S2中に0である。一例として、電力レベルP1は、電力レベルP2と等しい。別の例として、電力レベルP1は、電力レベルP2とは異なる(例えば、より大きい、より小さい、など)。RF信号1402およびRF信号1404の各々は、TTL信号1408と同期して状態S1およびS2の間の周期的に遷移する。例えば、TTL信号1408の半デューティサイクル中に、RF信号1402は、電力レベルP1を有し、TTL信号1408の残りの半デューティサイクル中に、RF信号1402は、電力レベルゼロを有する。別の例として、TTL信号1408の半デューティサイクル中に、RF信号1404は、電力レベルP2を有し、TTL信号1408の残りの半デューティサイクル中に、RF信号1404は、電力レベルゼロを有する。デューティサイクルのその他の例は、40%デューティサイクル、30%デューティサイクル、70%デューティサイクル、60%デューティサイクルなどを含む。
様々な実施形態において、状態S1中のRF信号1402の周波数は、状態S1中のRF信号1404の周波数とは異なる(例えば、より小さい、より大きい、など)。別の例として、状態S1中のRF信号1402の周波数は、状態S1中のRF信号1404と同じである。
いくつかの実施形態において、状態S2中のRF信号1402の周波数は、状態S2中のRF信号1404の周波数とは異なる(例えば、より小さい、より大きい、など)。別の例として、状態S2中のRF信号1402の周波数は、状態S2中のRF信号1404と同じである。
いくつかの実施形態において、RF信号1402の電力レベルは、状態S1中にP1であり、RF信号1402の電力レベルは、状態S2中にP3であり、ここで、P3はP1より小さく、ゼロより大きい。様々な実施形態において、RF信号1404の電力レベルは、状態S1中にP2であり、RF信号1404の電力レベルは、状態S2中にP4であり、ここで、P4はP2より小さく、ゼロより大きい。
図15は、状態S1およびS2に対する要素の値と、状態S1およびS2についてスレーブRF発生器1012B(図13)によって修正されるRF信号の変数の大きさとの間の対応関係を示すテーブル1500の一実施形態の図である。テーブル1500は、状態S1に対する要素の様々な値(例えば、FTRS11、FTRS12など)と、状態S1中にスレーブRF発生器1012Bによって修正されるRF信号の状態S1に対する変数の値(例えば、VRS11、VRS12など)との間の対応関係を含む。例えば、状態S1について、値FTRS11は、値VRS11に対応し(例えば、一意的に関連する、対応づけられる、つながりがある、など)、値FTRS12は、値VRS12に対応する。値VRS11およびVRS12の各々は、状態S1中にRF電源1118によって修正されるRF信号の変数(例えば、複素電力、複素電圧、複素電流など)の大きさである。
さらに、テーブル1500は、状態S2に対する要素の様々な値(例えば、FTRS21、FTRS22など)と、状態S2中にスレーブRF発生器1012Bによって修正されるRF信号の状態S2に対する変数の値(例えば、VRS21、VRS22など)との間の対応関係を含む。例えば、状態S2について、値FTRS21は、値VRS21に対応し、値FTRS22は、値VRS22に対応する。値VRS21およびVRS22の各々は、状態S2中にRF電源1118によって修正されるRF信号の変数(例えば、複素電力、複素電圧、複素電流など)の大きさである。
図16Aは、出力1008(図10)に関連する変数および出力1010(図10)に関連する変数の位相差(例えば、位相シフトなど)を示すグラフ1600の一実施形態の図である。グラフ1600は、電圧Vを時間tに対してプロットしている。グラフ1600は、変数センサ1020(図10)によって測定された電圧波形のプロット1602と、変数センサ1022(図10)によって測定された電圧波形のプロット1604と、を含む。出力1008および1010に関連する変数の位相がスレーブRF発生器1012(図10)によって調整される前には、位相シフトが電圧波形1602および1604の間に存在する。
図16Bは、出力1008(図10)に関連する変数および出力1010(図10)に関連する変数の位相差の低減を示すグラフ1606の一実施形態の図である。グラフ1606は、電圧Vを時間tに対してプロットしている。グラフ1606は、変数センサ1020(図10)によって測定された電圧波形のプロット1602と、変数センサ1022(図10)によって測定された電圧波形のプロット1604と、を含む。出力1008および1010に関連する変数の位相がスレーブRF発生器1012(図10)によって調整された後、電圧波形1602および1604の間の位相シフトは低減される(例えば、存在しなくなる、ゼロまで低減される、予め定められた範囲内に削減される、など)。
図16Cは、要素を達成するための電圧波形1604の大きさの変化(例えば、増加、減少など)を示すグラフ1608の一実施形態の図である。グラフ1608は、電圧を時間に対してプロットしている。電圧波形1604の大きさの変化は、スレーブRF発生器1012によって生成されたRF信号の変数の大きさの変化が達成された時に達成される。
図17Aは、処理1中にエッジ領域102(図10)におけるプラズマシースの傾きがスレーブRF発生器1012によって生成されるRF信号の変数の大きさを制御することによって制御されることを示すグラフ1700の一実施形態の図である。さらに、スレーブRF発生器1012によって生成されるRF信号の変数の大きさが、要素を達成するために変更された時、中央領域132(図10)内のプラズマシースにはほとんどまたは全く影響がない。スレーブRF発生器1012(図10)によって生成されるRF信号の位相が、マスタRF発生器1014(図10)によって生成されるRF信号の位相から予め定められた範囲内にある時、および/または、スレーブRF発生器1012によって生成されるRF信号の周波数が、マスタRF発生器1014によって生成されるRF信号の周波数から予め定められた範囲内にある時、このように、ほとんどまたは全く影響がない。グラフ1700は、プロット1702、プロット1704、および、プロット1706を含んでおり、傾斜角度(度で測定)を基板120(図10)の半径(ミリメートル(mm)で測定)に対してプロットする。図に示すように、電力の大きさの第1量が、スレーブRF発生器1012によってエッジ電極1016へ印加される時、エッジ領域102にプラズマシースの外向きの傾きが存在する。さらに、電力の大きさの第2量が、スレーブRF発生器1012によってエッジ電極1016へ印加される時、エッジ領域102におけるプラズマシースの外向きの傾きは、エッジ領域102におけるプラズマシースの実質的に平坦な傾きまで低減される。また、電力の大きさの第3量が、スレーブRF発生器1012によってエッジ電極1016へ印加される時、実質的に平坦傾きは、エッジ領域102におけるプラズマシースの内向きの傾きに変化する。第1電力の大きさは、第2電力の大きさよりも大きく、第2電力の大きさは、第3電力の大きさよりも大きい。
図17Bは、処理2中にエッジ領域102(図10)におけるプラズマシースの傾きがスレーブRF発生器1012によって生成されるRF信号の変数の大きさを制御することによって制御されることを示すグラフ1708の一実施形態の図である。グラフ1708は、プロット1710、プロット1712、および、プロット1714を含んでおり、傾斜角度を基板120(図10)の半径に対してプロットする。図に示すように、電力の大きさの第4量が、スレーブRF発生器1012によってエッジ電極1016へ印加される時、エッジ領域102にプラズマシースの外向きの傾きが存在する。さらに、電力の大きさの第5量が、スレーブRF発生器1012によってエッジ電極1016へ印加される時、エッジ領域102におけるプラズマシースの外向きの傾きが低減される。また、電力の大きさの第6量が、スレーブRF発生器1012によってエッジ電極1016へ印加される時、プロット1712に示した傾きは、エッジ領域102におけるプラズマシースの内向きの傾きに変化する。第4電力の大きさは、第5電力の大きさよりも大きく、第5電力の大きさは、第6電力の大きさよりも大きい。
いくつかの実施形態において、処理2のためのレシピの少なくとも一部(例えば、プラズマチャンバ104(図1)内の圧力、または、プラズマチャンバ104内の温度、または、上側電極121(図1)とチャック114(図1)との間のギャップ、または、処理ガスのタイプ、または、処理ガスの量、または、処理ガスの流量、または、エッジ電極1016(図10)の高さ、または、エッジ電極1016の材料、または、プラズマチャンバ104からプラズマが出る速度、または、それらの2以上の組みあわせ)が、処理1の間のレシピのその部分と異なる。
上述の実施形態の一部において、RF信号がチャック114へ供給され、上側電極121が接地されることに注意されたい。様々な実施形態において、RF信号が上側電極121に供給され、チャック114が接地される。
いくつかの実施形態において、電極202および結合リング112の各々は、複数の部分に分割される。電極202の各部分は、1または複数のRF発生器からRF電極を別個に供給される。
本明細書に記載の実施形態は、ハンドヘルドハードウェアユニット、マイクロプロセッサシステム、マイクロプロセッサベースまたはプログラム可能な家電、ミニコンピュータ、メインフレームコンピュータなど、様々なコンピュータシステム構成で実施されてもよい。本明細書に記載の実施形態は、コンピュータネットワークを通して接続された遠隔処理ハードウェアユニットによってタスクが実行される分散コンピューティング環境で実施されてもよい。
一部の実施形態において、コントローラは、システムの一部であり、システムは、上述の例の一部であってよい。システムは、1または複数の処理ツール、1または複数のチャンバ、処理のための1または複数のプラットフォーム、および/または、特定の処理構成要素(ウエハペデスタル、ガスフローシステムなど)など、半導体処理装置を備える。システムは、半導体ウエハまたは基板の処理前、処理中、および、処理後に、システムの動作を制御するための電子機器と一体化される。電子機器は、「コントローラ」と呼ばれてもよく、システムの様々な構成要素または副部品を制御しうる。コントローラは、処理要件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、RF発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ならびに、ツールおよび他の移動ツールおよび/またはシステムに接続または結合されたロードロックの内外へのウエハ移動など、本明細書に開示の任意の処理を制御するようにプログラムされる。
概して、様々な実施形態において、コントローラは、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、エンドポイント測定を可能にすることなどを行う様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義される。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、プログラム可能論理デバイス(PLD)、1または複数のマイクロプロセッサ、または、プログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含みうる。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形態でコントローラに伝えられる命令であり、半導体ウエハに対するまたは半導体ウエハのための処理を実行するための動作パラメータを定義する。動作パラメータは、一部の実施形態において、ウエハの1または複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ダイの加工中に1または複数の処理工程を達成するために処理エンジニアによって定義されるレシピの一部である。
コントローラは、一部の実施形態において、コンピュータの一部であるか、または、コンピュータに接続されており、かかるコンピュータは、システムと一体化されるか、システムに接続されるか、その他の方法でシステムとネットワーク化されるか、または、それらの組み合わせでシステムに結合されている。例えば、コントローラは、「クラウド」内にあるか、もしくは、ウエハ処理のためのリモートアクセスを可能にするファブホストコンピュータシステムの全部または一部である。コントローラは、現在の処理のパラメータを変更する、現在の処理に従って処理工程を設定する、または、新たな処理を開始するために、システムへのリモートアクセスを可能にして、製造動作の現在の進捗を監視する、過去の製造動作の履歴を調べる、もしくは、複数の製造動作からの傾向または性能指標を調べる。
一部の実施形態では、リモートコンピュータ(例えば、サーバ)が、コンピュータネットワーク(ローカルネットワークまたはインターネットを含む)を介してシステムに処理レシピを提供する。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを備え、パラメータおよび/または設定は、リモートコンピュータからシステムに通信される。一部の例において、コントローラは、ウエハを処理するための設定の形態で命令を受信する。設定は、ウエハに対して実行される処理のタイプ、ならびに、コントローラがインターフェースをとるまたは制御するツールのタイプに固有であることを理解されたい。したがって、上述のように、コントローラは、ネットワーク化されて共通の目的(本明細書に記載の遂行処理など)に向けて動作する1または複数の別個のコントローラを備えることなどによって分散される。かかる目的のための分散コントローラの一例は、チャンバでの処理を制御するために協働するリモートに配置された(プラットフォームレベルにある、または、リモートコンピュータの一部として配置されるなど)1または複数の集積回路と通信するチャンバ上の1または複数の集積回路を含む。
限定はしないが、様々な実施形態において、システムは、プラズマエッチングチャンバ、蒸着チャンバ、スピンリンスチャンバ、金属メッキチャンバ、洗浄チャンバ、ベベルエッジエッチングチャンバ、物理蒸着(PVD)チャンバ、化学蒸着(CVD)チャンバ、原子層蒸着(ALD)チャンバ、原子層エッチング(ALE)チャンバ、イオン注入チャンバ、トラックチャンバ、ならびに、半導体ウエハの加工および/または製造に関連するかまたは利用される任意のその他の半導体処理システムを含む。
上述の動作は、平行板プラズマチャンバ(例えば、容量結合プラズマチャンバなど)に関して説明されているが、一部の実施形態において、上述の動作は、その他のタイプのプラズマチャンバ、例えば、誘導結合プラズマ(ICP)リアクタ、トランス結合プラズマ(TCP)リアクタ、導体ツール、誘電体ツールを備えるプラズマチャンバ、電子サイクロトロン共鳴(ECR)リアクタを備えるプラズマチャンバなど、に適用されることにも注意されたい。例えば、1または複数のRF発生器が、ICPプラズマチャンバ内のインダクタに接続される。インダクタの形状の例は、ソレノイド、ドーム形コイル、平坦形コイルなどを含む。
上述のように、ツールによって実行される処理動作に応じて、コントローラは、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこからウエハのコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信する。
上述の実施形態を念頭に置いて、実施形態の一部は、コンピュータシステムに格納されたデータを含め、コンピュータによって実行される様々な動作を用いることを理解されたい。コンピュータによって実行される動作は、物理量を扱う動作である。
実施形態の一部は、さらに、これらの動作を実行するためのハードウェアユニットまたは装置に関する。装置は、専用コンピュータ向けに特別に構成される。専用コンピュータとして規定された場合、コンピュータは、特定の目的に含まれない他の処理、プログラム実行、または、ルーチンを実行しつつ、特定の目的のために動作することができる。
一部の実施形態において、本明細書に記載された動作は、コンピュータメモリに格納されたまたはコンピュータネットワークを介して取得された1または複数のコンピュータプログラムによって選択的にアクティベートまたは構成されたコンピュータで処理される。データがコンピュータネットワークを介して取得されると、そのデータは、コンピュータネットワーク(例えば、コンピューティングリソースのクラウド)上の他のコンピュータによって処理されてもよい。
本明細書に記載の1または複数実施形態は、非一時的なコンピュータ読み取り可能媒体上にコンピュータ読み取り可能なコードとして製造されてもよい。非一時的なコンピュータ読み取り可能媒体は、データを格納する任意のデータ記憶ハードウェアユニット(例えば、メモリデバイスなど)であり、データは、その後、コンピュータシステムによって読み出される。非一時的なコンピュータ読み取り可能媒体の例としては、ハードドライブ、ネットワーク接続ストレージ(NAS)、ROM、RAM、コンパクトディスク-ROM(CD-ROM)、CD-レコーダブル(CD-R)、CD-リライタブル(CD-RW)、磁気テープ、および、その他の光学式および非光学式のデータ記憶ハードウェアユニットが挙げられる。一部の実施形態において、非一時的なコンピュータ読み取り可能媒体は、コンピュータ読み取り可能なコードが分散的に格納および実行されるように、ネットワーク接続されたコンピュータシステム上に分散されたコンピュータ読み取り可能なタンジブル媒体を含む。
上述したいくつかの方法動作は、特定の順序で提示されているが、様々な実施形態において、その他のハウスキーピング処理が方法動作の合間に実行される、もしくは、方法動作が、若干異なる時間に実行される、様々な間隔で方法動作が起きることを許容するシステムに方法動作が分散される、または、上述したのと異なる順序で実行されるように調整されることを理解されたい。
さらに、一実施形態において、本開示に記載された様々な実施形態に記載された範囲を逸脱することなしに、本明細書に記載の任意の実施形態の1または複数の特徴が、任意の他の実施形態の1または複数の特徴と組み合わされることに注意されたい。
理解を深めるために、本実施形態について、ある程度詳しく説明したが、添付の特許請求の範囲内でいくらかの変更および変形を行ってもよいことは明らかである。したがって、本実施形態は、例示的なものであって、限定的なものではないとみなされ、実施形態は、本明細書に示した詳細に限定されず、添付の特許請求の範囲および等価物の範囲内で変形されてよい。
本発明は、例えば、以下のような形態により実現されてもよい。
[形態1]
プラズマチャンバ内のエッジ領域に関連する予め定められた要素を達成するための方法であって、
第1インピーダンス整合回路を介して前記プラズマチャンバ内のメイン電極に高周波(RF)信号を供給する工程であって、前記RF信号は、第1RF発生器の動作周波数に基づいて生成される、工程と、
第2インピーダンス整合回路を介して前記プラズマチャンバ内のエッジ電極に別のRF信号を供給する工程であって、前記別のRF信号は、前記第1RF発生器の前記動作周波数に基づいて生成される、工程と、
前記第1インピーダンス整合回路の出力に関連する変数の第1測定値を受信する工程と、
前記第2インピーダンス整合回路の出力に関連する前記変数の第2測定値を受信する工程と、
前記第1測定値および前記第2測定値に基づいて、前記別のRF信号の位相を修正する工程と、
前記予め定められた要素を達成するように、第2RF発生器に関連する変数の大きさを変更する工程と、
を備える、方法。
[形態2]
形態1に記載の方法であって、前記大きさを変更する工程は、前記別のRF信号が生成された後および前記別のRF信号の前記位相が修正された後に実行される、方法。
[形態3]
形態1に記載の方法であって、前記RF信号および前記別のRF信号の前記位相を修正する工程は、前記第2測定値の位相から予め定められた範囲内にある前記第1測定値の位相を達成するように、前記位相を修正する工程を含む、方法。
[形態4]
形態1に記載の方法であって、前記第2RF発生器に関連する前記変数は、前記第1および第2インピーダンス整合回路の前記出力に関連する前記変数とは異なる、方法。
[形態5]
形態1に記載の方法であって、前記第1測定値を受信する工程は、前記第1インピーダンス整合回路の前記出力から前記第1測定値を受信する工程を含み、前記第2測定値を受信する工程は、前記第2インピーダンス整合回路の前記出力から前記第2測定値を受信する工程を含む、方法。
[形態6]
形態1に記載の方法であって、前記メイン電極は、基板を支持して、前記プラズマチャンバ内の中央領域で前記基板を処理するよう構成され、前記エッジ電極は、前記エッジ領域で前記基板を処理するよう構成される、方法。
[形態7]
形態1に記載の方法であって、前記メイン電極はチャックであり、前記エッジ電極はエッジリングまたは結合リングである、方法。
[形態8]
形態1に記載の方法であって、前記メイン電極は上側電極であり、前記エッジ電極は上側電極延長部である、方法。
[形態9]
形態1に記載の方法であって、前記第2RF発生器は、前記第1RF発生器の前記動作周波数の予め定められた範囲内にある動作周波数を有するように制御される、方法。
[形態10]
エッジ領域に関連する予め定められた要素を達成するためのシステムであって、
メイン電極およびエッジ電極を有するプラズマチャンバと、
前記メイン電極に接続された第1インピーダンス整合回路と、
前記エッジ電極に接続された第2インピーダンス整合回路と、
前記第1インピーダンス整合回路を介して前記メイン電極にRF信号を供給するために前記第1インピーダンス整合回路に接続された第1高周波(RF)発生器であって、前記RF信号は、前記第1RF発生器の動作周波数に基づいて生成される、第1RF発生器と、
前記第2インピーダンス整合回路を介して前記エッジ電極に別のRF信号を供給するために前記第2インピーダンス整合回路に接続された第2RF発生器であって、前記別のRF信号は、前記第1RF発生器の前記動作周波数に基づいて生成される、第2RF発生器と、
を備え、
前記第2RF発生器は、前記第1インピーダンス整合回路の出力に関連する変数の第1測定値を受信するよう構成され、
前記第2RF発生器は、前記第2インピーダンス整合回路の出力に関連する前記変数の第2測定値を受信するよう構成され、
前記第2RF発生器は、前記第1測定値および前記第2測定値に基づいて、前記別のRF信号の位相を修正するよう構成され、
前記第2RF発生器は、前記予め定められた要素を達成するように前記第2RF発生器に関連する変数の大きさを変更するよう構成される、システム。
[形態11]
形態10に記載のシステムであって、前記大きさは、前記別のRF信号が生成された後および前記別のRF信号の前記位相が修正された後に変更される、システム。
[形態12]
形態10に記載のシステムであって、前記第1測定値は、前記第1インピーダンス整合回路の前記出力から受信され、前記第2測定値は、前記第2インピーダンス整合回路の前記出力から受信される、システム。
[形態13]
形態10に記載のシステムであって、前記第2RF発生器は、前記第2測定値の位相から予め定められた範囲内にある前記第1測定値の位相を達成するように、前記別のRF信号の前記位相を修正するよう構成される、システム。
[形態14]
形態10に記載のシステムであって、前記第2RF発生器に関連する前記変数は、前記第1および第2インピーダンス整合回路の前記出力に関連する前記変数とは異なる、システム。
[形態15]
形態10に記載のシステムであって、前記第2RF発生器は、前記第1RF発生器の前記動作周波数の予め定められた範囲内にある動作周波数を有するように制御される、システム。
[形態16]
形態10に記載のシステムであって、前記メイン電極は、基板を支持して、前記プラズマチャンバ内の中央領域で前記基板を処理するようによう構成され、前記エッジ電極は、前記エッジ領域で前記基板を処理するよう構成される、システム。
[形態17]
形態10に記載のシステムであって、前記メイン電極はチャックであり、前記エッジ電極はエッジリングまたは結合リングである、システム。
[形態18]
形態10に記載のシステムであって、前記メイン電極は上側電極であり、前記エッジ電極は上側電極延長部である、システム。
[形態19]
プラズマチャンバ内のエッジ領域に関連する予め定められた要素を達成するためのプログラム命令を含む非一時的なコンピュータ読み取り可能媒体であって、コンピュータシステムの1または複数のプロセッサによる前記プログラム命令の実行により、前記1または複数のプロセッサが、
第1インピーダンス整合回路を介して前記プラズマチャンバ内のメイン電極に高周波(RF)信号を供給する動作であって、前記RF信号は、第1RF発生器の動作周波数に基づいて生成される、動作と、
第2インピーダンス整合回路を介して前記プラズマチャンバ内のエッジ電極に別のRF信号を供給する動作であって、前記別のRF信号は、前記第1RF発生器の前記動作周波数に基づいて生成される、動作と、
前記第1インピーダンス整合回路の出力に関連する変数の第1測定値を受信する動作と、
前記第2インピーダンス整合回路の出力に関連する前記変数の第2測定値を受信する動作と、
前記第1測定値および前記第2測定値に基づいて、前記別のRF信号の位相を修正する動作と、
前記予め定められた要素を達成するように、第2RF発生器に関連する変数の大きさを変更する動作と、
を実行する、非一時的なコンピュータ読み取り可能媒体。
[形態20]
形態19に記載の非一時的なコンピュータ読み取り可能媒体であって、前記大きさを変更する動作は、前記別のRF信号が生成された後および前記別のRF信号の前記位相が修正された後に実行される、非一時的なコンピュータ読み取り可能媒体。

Claims (18)

  1. コントローラシステムであって、
    プロセッサであって、
    第1RF信号を生成して、前記第1RF信号を第1インピーダンス整合回路に供給するように第1高周波(RF)電源を制御し、前記第1RF電源は、第2RF信号を生成する第2RF電源の動作周波数に基づいて制御され、
    プラズマチャンバのエッジ電極に接続された前記第1インピーダンス整合回路の第1出力から変数の第1測定値を受信し、
    前記エッジ電極によって囲まれたメイン電極に接続された第2インピーダンス整合回路の第2出力に関連する前記変数の第2測定値を受信し、
    前記第2インピーダンス整合回路は、前記第2RF電源に接続され、前記第2RF電源から前記第2RF信号を受信し、
    前記第1測定値および前記第2測定値に基づいて前記第1RF信号の位相を修正するように構成された、プロセッサと、
    前記プロセッサに接続されたメモリデバイスと、
    を備える、コントローラシステム。
  2. 請求項1に記載のコントローラシステムであって、
    前記第1インピーダンス整合回路は、第3RF電源に接続され、前記第1RF電源は、前記第3RF電源の動作周波数よりも低い動作周波数を有する、コントローラシステム。
  3. 請求項2に記載のコントローラシステムであって、
    前記第2インピーダンス整合回路は、第4RF電源に接続され、前記第RF電源は、前記第4RF電源の動作周波数よりも低い動作周波数を有する、コントローラシステム。
  4. 請求項1に記載のコントローラシステムであって、
    前記プロセッサは、前記第1RF信号の周波数が前記第2RF電源の前記動作周波数から予め定められた範囲内になるように決定するように構成されている、コントローラシステム。
  5. 請求項1に記載のコントローラシステムであって、
    前記変数は、複素電力、または複素電圧、または複素電流、または複素インピーダンスであり、前記変数は、大きさおよび位相を含む、コントローラシステム。
  6. 請求項1に記載のコントローラシステムであって、
    前記第1測定値は第1位相を含み、前記第2測定値は第2位相を含み、前記プロセッサは、前記第1位相を前記第2位相と比較して、前記第1位相が前記第2位相から予め定められた範囲内にあるか否かを決定するように構成され、前記第1RF信号の前記位相は、前記第1位相が前記第2位相から前記予め定められた範囲内にないことを前記プロセッサが決定したときに修正される、コントローラシステム。
  7. 請求項1に記載のコントローラシステムであって、
    前記エッジ電極は、結合リングの上方に配置されている、コントローラシステム。
  8. 請求項1に記載のコントローラシステムであって、
    前記プロセッサは、前記第1RF信号の前記位相が修正された後に、前記第1RF信号の振幅を修正するように構成されている、コントローラシステム。
  9. プラズマシステムであって、
    第1RF信号を生成するように構成された第1高周波(RF)電源と、
    第1RFケーブルを介して前記第1RF電源に接続された第1インピーダンス整合回路であって、前記第1RF電源は、前記第1RFケーブルを介して前記第1インピーダンス整合回路に前記第1RF信号を供給するように構成され、前記第1インピーダンス整合回路は、第1出力を有する、第1インピーダンス整合回路と、
    前記第1出力に接続されたエッジ電極を有するプラズマチャンバと、
    動作周波数を有し、第2RF信号を生成するように構成された第2RF電源と、
    第2RFケーブルを介して前記第2RF電源に接続された第2インピーダンス整合回路であって、前記第2RF電源は、前記第2RFケーブルを介して前記第2インピーダンス整合回路に前記第2RF信号を供給するように構成され、前記第2インピーダンス整合回路は、第2出力を有し、前記プラズマチャンバは、前記第2出力に接続されたメイン電極を有する、第2インピーダンス整合回路と、
    コントローラであって、
    前記第2RF電源の前記動作周波数に基づいて前記第1RF信号の周波数を決定し、
    前記第1インピーダンス整合回路の前記第1出力から変数の第1測定値を受信し、
    前記第2インピーダンス整合回路の前記第2出力に関連する前記変数の第2測定値を受信し、
    前記第1測定値および前記第2測定値に基づいて前記第1RF信号の位相を修正するように構成されたコントローラと、
    を備える、プラズマシステム。
  10. 請求項9に記載のプラズマシステムであって、さらに、
    前記第1インピーダンス整合回路に接続された第3RF電源を備え、
    前記第1RF電源は、前記第3RF電源の動作周波数よりも低い動作周波数を有する、プラズマシステム。
  11. 請求項10に記載のプラズマシステムであって、
    前記第2インピーダンス整合回路に接続された第4RF電源をまず備え、
    前記第2RF電源は、前記第4RF電源の動作周波数よりも低い動作周波数を有する、プラズマシステム。
  12. 請求項9に記載のプラズマシステムであって、
    前記コントローラは、前記第1RF信号の前記周波数が前記第2RF電源の前記動作周波数から予め定められた範囲内になるように決定するように構成されている、プラズマシステム。
  13. 請求項9に記載のプラズマシステムであって、
    前記変数は、複素電力、または複素電圧、または複素電流、または複素インピーダンスであり、前記変数は、大きさおよび位相を含む、プラズマシステム。
  14. 請求項9に記載のプラズマシステムであって、
    前記第1測定値は第1位相を含み、前記第2測定値は第2位相を含み、前記コントローラは、前記第1位相を前記第2位相と比較して、前記第1位相が前記第2位相から予め定められた範囲内にあるか否かを決定するように構成され、前記第1RF信号の前記位相は、前記第1位相が前記第2位相から前記予め定められた範囲内にないことを前記コントローラが決定したときに修正される、プラズマシステム。
  15. 請求項9に記載のプラズマシステムであって、
    前記プラズマチャンバは、前記エッジ電極の下方に配置された結合リングを有する、プラズマシステム。
  16. 請求項9に記載のプラズマシステムであって、
    前記コントローラは、前記第1RF信号の前記位相が修正された後に、前記第1RF信号の振幅を修正するように構成されている、プラズマシステム。
  17. 請求項9に記載のプラズマシステムであって、
    前記コントローラは、前記第1RF電源に接続されている、プラズマシステム。
  18. 請求項9に記載のプラズマシステムであって、
    前記コントローラは、前記第1RF電源に接続されたデジタル信号プロセッサである、プラズマシステム。
JP2022077412A 2016-07-25 2022-05-10 メインrf発生器およびエッジrf発生器を同期させることによってプラズマチャンバ内のエッジ領域に関連する予め定められた要素を達成するためのシステムおよび方法 Active JP7335999B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662366567P 2016-07-25 2016-07-25
US62/366,567 2016-07-25
US15/636,519 2017-06-28
US15/636,519 US10283330B2 (en) 2016-07-25 2017-06-28 Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators
JP2017137656A JP7072354B2 (ja) 2016-07-25 2017-07-14 メインrf発生器およびエッジrf発生器を同期させることによってプラズマチャンバ内のエッジ領域に関連する予め定められた要素を達成するためのシステムおよび方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2017137656A Division JP7072354B2 (ja) 2016-07-25 2017-07-14 メインrf発生器およびエッジrf発生器を同期させることによってプラズマチャンバ内のエッジ領域に関連する予め定められた要素を達成するためのシステムおよび方法

Publications (2)

Publication Number Publication Date
JP2022106941A JP2022106941A (ja) 2022-07-20
JP7335999B2 true JP7335999B2 (ja) 2023-08-30

Family

ID=60990094

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2017137656A Active JP7072354B2 (ja) 2016-07-25 2017-07-14 メインrf発生器およびエッジrf発生器を同期させることによってプラズマチャンバ内のエッジ領域に関連する予め定められた要素を達成するためのシステムおよび方法
JP2022077412A Active JP7335999B2 (ja) 2016-07-25 2022-05-10 メインrf発生器およびエッジrf発生器を同期させることによってプラズマチャンバ内のエッジ領域に関連する予め定められた要素を達成するためのシステムおよび方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2017137656A Active JP7072354B2 (ja) 2016-07-25 2017-07-14 メインrf発生器およびエッジrf発生器を同期させることによってプラズマチャンバ内のエッジ領域に関連する予め定められた要素を達成するためのシステムおよび方法

Country Status (5)

Country Link
US (2) US10283330B2 (ja)
JP (2) JP7072354B2 (ja)
KR (2) KR102410563B1 (ja)
CN (2) CN111489952B (ja)
TW (2) TWI752063B (ja)

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
KR102630261B1 (ko) 2014-10-17 2024-01-29 어플라이드 머티어리얼스, 인코포레이티드 애디티브 제조 프로세스들을 이용한 복합 재료 특성들을 갖는 cmp 패드 구성
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US9852889B1 (en) * 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10283330B2 (en) * 2016-07-25 2019-05-07 Lam Research Corporation Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators
US10665433B2 (en) * 2016-09-19 2020-05-26 Varian Semiconductor Equipment Associates, Inc. Extreme edge uniformity control
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10763081B2 (en) * 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
JP6902450B2 (ja) * 2017-10-10 2021-07-14 東京エレクトロン株式会社 プラズマ処理方法
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
CN110323117A (zh) * 2018-03-28 2019-10-11 三星电子株式会社 等离子体处理设备
CN110416049B (zh) * 2018-04-28 2022-02-11 中微半导体设备(上海)股份有限公司 可调节边缘射频等离子体分布的ccp刻蚀装置及其方法
JP7170422B2 (ja) * 2018-05-15 2022-11-14 東京エレクトロン株式会社 処理装置
TWI652751B (zh) * 2018-06-26 2019-03-01 旺矽科技股份有限公司 用於垂直式共振腔面射型雷射晶粒之點測方法
JP7080114B2 (ja) * 2018-06-27 2022-06-03 株式会社Screenホールディングス 基板処理装置および基板処理方法
US11183368B2 (en) * 2018-08-02 2021-11-23 Lam Research Corporation RF tuning systems including tuning circuits having impedances for setting and adjusting parameters of electrodes in electrostatic chucks
KR101938574B1 (ko) * 2018-08-24 2019-04-10 주식회사 알에프피티 플라즈마 챔버에 고정밀 및 고속의 전력을 공급하기 위한 디지탈 전력전달장치
US10991550B2 (en) * 2018-09-04 2021-04-27 Lam Research Corporation Modular recipe controlled calibration (MRCC) apparatus used to balance plasma in multiple station system
CN112654655A (zh) 2018-09-04 2021-04-13 应用材料公司 先进抛光垫配方
JP7066920B2 (ja) * 2018-09-28 2022-05-13 ラム リサーチ コーポレーション プラズマチャンバの電極への電力送出を最適化するシステムおよび方法
JP6762410B2 (ja) * 2018-10-10 2020-09-30 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
KR102111504B1 (ko) * 2018-10-15 2020-05-18 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR102595900B1 (ko) * 2018-11-13 2023-10-30 삼성전자주식회사 플라즈마 처리 장치
US11289310B2 (en) * 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP7117734B2 (ja) * 2018-12-06 2022-08-15 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11515123B2 (en) * 2018-12-21 2022-11-29 Advanced Energy Industries, Inc. Apparatus and system for modulated plasma systems
US11804362B2 (en) * 2018-12-21 2023-10-31 Advanced Energy Industries, Inc. Frequency tuning for modulated plasma systems
US10720305B2 (en) * 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
US11361947B2 (en) * 2019-01-09 2022-06-14 Tokyo Electron Limited Apparatus for plasma processing and method of etching
US11967517B2 (en) 2019-02-12 2024-04-23 Lam Research Corporation Electrostatic chuck with ceramic monolithic body
KR102256216B1 (ko) * 2019-06-27 2021-05-26 세메스 주식회사 플라즈마 처리 장치 및 플라즈마 제어 방법
KR102214333B1 (ko) 2019-06-27 2021-02-10 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR102290910B1 (ko) * 2019-06-27 2021-08-19 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN112151343B (zh) * 2019-06-28 2023-03-24 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体处理装置及其方法
CN112992635B (zh) * 2019-12-13 2023-10-24 中微半导体设备(上海)股份有限公司 一种晶圆固定装置及其形成方法、等离子体处理设备
WO2021178185A1 (en) * 2020-03-06 2021-09-10 Lam Research Corporation Tuning voltage setpoint in a pulsed rf signal for a tunable edge sheath system
JP7411463B2 (ja) * 2020-03-17 2024-01-11 東京エレクトロン株式会社 検査方法及び検査装置
US11276601B2 (en) 2020-04-10 2022-03-15 Applied Materials, Inc. Apparatus and methods for manipulating power at an edge ring in a plasma processing device
JP2022007865A (ja) * 2020-05-01 2022-01-13 東京エレクトロン株式会社 エッチング装置及びエッチング方法
TWI767655B (zh) * 2020-05-01 2022-06-11 日商東京威力科創股份有限公司 蝕刻裝置及蝕刻方法
KR20230029593A (ko) * 2020-06-29 2023-03-03 스미토모 오사카 세멘토 가부시키가이샤 정전 척
CN114551199A (zh) * 2020-11-19 2022-05-27 中微半导体设备(上海)股份有限公司 一种限制环及其制作方法、以及等离子体处理装置
KR20230114184A (ko) * 2020-12-08 2023-08-01 램 리써치 코포레이션 저 주파수 RF 생성기 및 연관된 정전 척 (electrostatic chuck)
WO2022169518A1 (en) * 2021-02-05 2022-08-11 Lam Research Corporation Duty cycle control to achieve uniformity
JP2024514105A (ja) * 2021-04-07 2024-03-28 ラム リサーチ コーポレーション プラズマシース特性を制御するためのシステムおよび方法
KR20240038999A (ko) * 2021-07-23 2024-03-26 램 리써치 코포레이션 구형 (square-shaped) 펄스 신호들을 사용하기 위한 플라즈마 시스템들 및 방법들
KR102580583B1 (ko) * 2021-08-10 2023-09-21 피에스케이 주식회사 기판 처리 장치
WO2023055836A1 (en) * 2021-09-29 2023-04-06 Lam Research Corporation Edge capacitively coupled plasma chamber structure
WO2023240003A1 (en) * 2022-06-08 2023-12-14 Lam Research Corporation Rf and dc frequency and phase locked pulsed edge tilt control system

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000507739A (ja) 1996-03-29 2000-06-20 ラム リサーチ コーポレイション プラズマ処理装置における位相差を制御するための方法及び装置
JP2006156530A (ja) 2004-11-26 2006-06-15 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理装置の制御方法
US20100015357A1 (en) 2008-07-18 2010-01-21 Hiroji Hanawa Capacitively coupled plasma etch chamber with multiple rf feeds
JP2014239029A (ja) 2013-04-26 2014-12-18 エムケーエス インストゥルメンツ,インコーポレイテッド 複数の無線周波数電力供給装置の周波数および位相の制御

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6447719B1 (en) * 2000-10-02 2002-09-10 Johnson & Johnson Power system for sterilization systems employing low frequency plasma
US8083890B2 (en) * 2005-09-27 2011-12-27 Lam Research Corporation Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
US20080179948A1 (en) * 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
KR101246566B1 (ko) * 2010-12-30 2013-03-25 엘아이지에이디피 주식회사 플라즈마를 이용한 기판처리장치 및 이를 이용한 기판처리방법
US8988848B2 (en) * 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
US8911588B2 (en) * 2012-03-19 2014-12-16 Lam Research Corporation Methods and apparatus for selectively modifying RF current paths in a plasma processing system
US10526708B2 (en) * 2012-06-19 2020-01-07 Aixtron Se Methods for forming thin protective and optical layers on substrates
US9408288B2 (en) * 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US10224210B2 (en) * 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9595424B2 (en) * 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US9761414B2 (en) * 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
US10187032B2 (en) * 2016-06-17 2019-01-22 Lam Research Corporation Combiner and distributor for adjusting impedances or power across multiple plasma processing stations
US9852889B1 (en) * 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10026592B2 (en) * 2016-07-01 2018-07-17 Lam Research Corporation Systems and methods for tailoring ion energy distribution function by odd harmonic mixing
US10283330B2 (en) * 2016-07-25 2019-05-07 Lam Research Corporation Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators
TWI788390B (zh) * 2017-08-10 2023-01-01 美商應用材料股份有限公司 用於電漿處理的分佈式電極陣列

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000507739A (ja) 1996-03-29 2000-06-20 ラム リサーチ コーポレイション プラズマ処理装置における位相差を制御するための方法及び装置
JP2006156530A (ja) 2004-11-26 2006-06-15 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理装置の制御方法
US20100015357A1 (en) 2008-07-18 2010-01-21 Hiroji Hanawa Capacitively coupled plasma etch chamber with multiple rf feeds
JP2014239029A (ja) 2013-04-26 2014-12-18 エムケーエス インストゥルメンツ,インコーポレイテッド 複数の無線周波数電力供給装置の周波数および位相の制御

Also Published As

Publication number Publication date
KR20180011711A (ko) 2018-02-02
CN107665804B (zh) 2020-03-03
US10283330B2 (en) 2019-05-07
KR102410563B1 (ko) 2022-06-16
TWI752063B (zh) 2022-01-11
TW201816831A (zh) 2018-05-01
TWI800158B (zh) 2023-04-21
CN111489952A (zh) 2020-08-04
US20190244788A1 (en) 2019-08-08
JP7072354B2 (ja) 2022-05-20
CN111489952B (zh) 2023-11-14
JP2018026331A (ja) 2018-02-15
US20180025891A1 (en) 2018-01-25
CN107665804A (zh) 2018-02-06
KR20220088833A (ko) 2022-06-28
TW202213510A (zh) 2022-04-01
JP2022106941A (ja) 2022-07-20
US11195706B2 (en) 2021-12-07
KR102451940B1 (ko) 2022-10-06

Similar Documents

Publication Publication Date Title
JP7335999B2 (ja) メインrf発生器およびエッジrf発生器を同期させることによってプラズマチャンバ内のエッジ領域に関連する予め定められた要素を達成するためのシステムおよび方法
JP7376648B2 (ja) 結合リング内に電極を使用することによってエッジ領域におけるイオンの方向性を制御するためのシステム及び方法
CN110246744B (zh) 通过奇次谐波混合调整离子能量分布函数的系统和方法
JP2023040191A (ja) 基板を処理するための周波数同調と整合同調とを重複させずに適用するためのシステムおよび方法
US20220319856A1 (en) Etching isolation features and dense features within a substrate
US20190080885A1 (en) Multi Regime Plasma Wafer Processing to Increase Directionality of Ions
TWI840683B (zh) 耦合環、饋送環系統及電漿電極組件
WO2023003832A1 (en) Plasma systems and methods for using square-shaped pulse signals

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220608

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220608

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230131

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230425

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230524

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230725

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230818

R150 Certificate of patent or registration of utility model

Ref document number: 7335999

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150