KR20220088833A - 메인 rf 생성기 및 에지 rf 생성기를 동기화함으로써 플라즈마 챔버 내에서 에지 영역과 연관된 미리 결정된 인자를 달성하기 위한 시스템들 및 방법들 - Google Patents

메인 rf 생성기 및 에지 rf 생성기를 동기화함으로써 플라즈마 챔버 내에서 에지 영역과 연관된 미리 결정된 인자를 달성하기 위한 시스템들 및 방법들 Download PDF

Info

Publication number
KR20220088833A
KR20220088833A KR1020220072281A KR20220072281A KR20220088833A KR 20220088833 A KR20220088833 A KR 20220088833A KR 1020220072281 A KR1020220072281 A KR 1020220072281A KR 20220072281 A KR20220072281 A KR 20220072281A KR 20220088833 A KR20220088833 A KR 20220088833A
Authority
KR
South Korea
Prior art keywords
generator
signal
coupled
power
phase
Prior art date
Application number
KR1020220072281A
Other languages
English (en)
Other versions
KR102451940B1 (ko
Inventor
알렉세이 마라크타노브
펠릭스 코자케비치
마이클 씨. 켈로그
존 패트릭 홀란드
지강 첸
케네스 루체시
린 자오
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220088833A publication Critical patent/KR20220088833A/ko
Application granted granted Critical
Publication of KR102451940B1 publication Critical patent/KR102451940B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • H01J37/32385Treating the edge of the workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

프로세서 및 프로세서에 커플링된 메모리 디바이스를 포함하는 제어기가 제공된다. 프로세서는 제 1 임피던스 매칭 회로에 제 1 RF (radio frequency) 신호를 제공하는 제 1 RF 생성기의 동작 주파수를 수신하고; 제 2 임피던스 매칭 회로에 제 2 RF 신호를 제공하기 위해 제 2 RF 신호의 생성을 용이하게 하도록 제 2 RF 생성기의 전력 공급부를 제어하고―제 2 임피던스 매칭 회로는 플라즈마 챔버 내의 에지 전극에 커플링되는 출력부를 가지고, 제 2 RF 신호는 제 1 RF 생성기의 동작 주파수에 기초하여 생성됨―; 제 1 임피던스 매칭 회로의 출력부와 연관된 변수의 제 1 측정값을 수신하고; 제 2 임피던스 매칭 회로의 출력부로부터 변수의 제 2 측정값을 수신하고; 그리고 제 1 측정값 및 제 2 측정값에 기초하여 제 2 RF 신호의 위상을 수정하도록 구성된다.

Description

메인 RF 생성기 및 에지 RF 생성기를 동기화함으로써 플라즈마 챔버 내에서 에지 영역과 연관된 미리 결정된 인자를 달성하기 위한 시스템들 및 방법들{SYSTEMS AND METHODS FOR ACHIEVING A PRE-DETERMINED FACTOR ASSOCIATED WITH AN EDGE REGION WITHIN A PLASMA CHAMBER BY SYNCHRONIZING MAIN AND EDGE RF GENERATORS}
본 실시예들은 메인 및 에지 RF (radio frequency) 생성기들을 동기화함으로써 플라즈마 챔버 내의 에지 영역과 연관된 미리 결정된 인자를 달성하기 위한 시스템들 및 방법들에 관한 것이다.
플라즈마 시스템들이 플라즈마 프로세스들을 제어하도록 사용된다. 플라즈마 시스템은 복수의 RF (radio frequency) 소스들, 임피던스 매칭, 및 플라즈마 반응기를 포함한다. 워크피스가 플라즈마 챔버 내부에 배치되고 플라즈마는 워크피스를 프로세싱하기 위해 플라즈마 챔버 내에서 생성된다.
워크피스가 유사하거나 균일한 방식으로 프로세싱된다는 것이 중요하다. 유사하거나 균일한 방식으로 워크피스를 프로세싱하기 위해, 플라즈마 반응기와 연관된 다양한 파라미터들이 제어된다. 예로서, 워크피스의 프로세싱 동안 이온 플럭스의 방향성을 제어하는 것이 중요하다. 방향성 제어는 에칭 레이트를 상승시키고 워크피스의 피처들의 특정한 종횡비를 달성하는 것을 돕는다.
균일한 방식으로 워크피스를 프로세싱하면서, 동시에 플라즈마 챔버의 다양한 컴포넌트들의 수명을 유지하는 것이 중요하다. 일부 컴포넌트들로의 RF 전력의 인가에 의해, 컴포넌트들은 보다 고속으로 마모되고 수명을 지속하지 못한다. 더욱이, 이러한 마모로 인해, 컴포넌트들은 이온 플럭스의 방향성에 부정적으로 영향을 주고, 이는 워크피스의 프로세싱의 균일도에 부정적으로 영향을 준다.
게다가, 현재의 유전체 에칭 툴들은 고정된 에지 하드웨어를 갖는다. 예를 들어, 플라즈마 반응기의 하부 전극 연장부의 높이, 또는 하부 전극 연장부의 재료, 또는 상부 전극과 하부 전극 사이의 갭은 워크피스의 에지들에서 워크피스를 프로세싱하도록 최적화된다. 고정된 에지 하드웨어는 워크피스의 에지들에서 워크피스의 프로세싱의 융통성을 허용하지 않는다.
본 개시에 기술된 실시예들은 이 맥락에서 발생한다.
본 개시의 실시예들은 커플링 링 내의 전극을 사용함으로써 플라즈마 챔버의 에지 영역 내의 이온들의 방향성을 제어하고 그리고 메인 및 에지 RF 생성기들을 동기화함으로써 플라즈마 챔버 내의 에지 영역과 연관된 미리 결정된 인자를 달성하기 위한 장치, 방법들 및 컴퓨터 프로그램들을 제공한다. 본 실시예들은 다양한 방식들, 예를 들어, 프로세스, 장치, 시스템, 하드웨어의 피스 (piece), 또는 컴퓨터-판독가능 매체 상의 방법으로 구현될 수 있다는 것이 이해되어야 한다. 몇몇 실시예들이 이하에 기술된다.
웨이퍼가 에칭되는 프로파일 각도 또는 틸팅과 에칭 레이트 간의 트레이드오프로 인해 웨이퍼의 에지에서 프로세스 사양들을 충족시키는 것은 어렵다. 에칭 레이트는 웨이퍼의 에지에서 이온 플럭스 및 웨이퍼를 프로세싱하는데 사용된 화학물질, 예를 들어, 하나 이상의 프로세스 가스들의 혼합물, 타입들, 등에 따른다. 에지에서 웨이퍼에 이르는 이온 플럭스는 플라즈마 시스로 들어가는 이온 플럭스 및 에지에서 플라즈마 시스의 형상의 함수이다. 이온 포커싱 효과는 웨이퍼 위 웨이퍼 플라즈마 시스 두께와 웨이퍼의 에지를 넘어 플라즈마 시스를 제어하는 에지 링 위 에지 링 플라즈마 시스 두께의 차의 함수이다. 웨이퍼의 에지를 넘어 균일한 플라즈마 밀도를 유지하고, 에칭 레이트를 개선하고 프로파일 각도를 약 90 도, 예를 들어, 89.5 도 내지 90.5 도, 89 도 내지 91 도로 유지하기 위해 웨이퍼 플라즈마 시스와 에지 링 플라즈마 시스 간의 차를 최소화하는 것이 중요하다. 또한, 에지 링이 자신의 수명으로, 예를 들어, 500 시간 초과, 등으로 사용되도록 에지 링의 마모를 제어하는 것이 바람직하다.
일부 실시예들에서, 에지 링과 연관된 플라즈마 파라미터들의 독립적인 제어를 위한 놉 (knob) 이 제공된다. 놉은 커플링 링 내에 전력 공급된 전극을 임베딩하고, 전극에 RF 전력을 제공함으로써 또는 가변 임피던스 RF 필터를 통해 접지에 전극을 커플링함으로써 제공된다. RF 전력을 제공하는 것은 때때로 전극에 액티브 전력을 제공하는 것으로 참조되고, 가변 임피던스를 통해 접지에 전극을 커플링하는 것은 때때로 전극에 패시브 전력을 제공하는 것으로 참조된다. 플라즈마 파라미터들을 제어하기 위한 상부 전극 스텝 위치, 에지 링 높이 및 형상, 에지 링 커플링 재료들, 등의 최적화가 없다. 그러나, 일부 실시예들에서, 상부 전극 스텝 위치, 에지 링 높이 및 형상, 및/또는 에지 링 재료들은 플라즈마 파라미터들을 제어하기 위해 전극에 제공된 액티브 전력 또는 패시브 전력에 부가하여 제어된다.
다양한 실시예들에서, 용량 결합된 RF 전력 공급된 에지 링이 웨이퍼의 에지에서 성능을 개선하기 위해 기술된다. 에지 링에 커플링된 액티브 전력 또는 패시브 전력의 양을 가변시킴으로써, 에지 영역에서 플라즈마의 플라즈마 밀도, 에지 영역에서 플라즈마의 시스 균일도, 에지 영역에서 플라즈마의 에칭 레이트 균일도, 및 에지 영역에서 웨이퍼가 에칭되는 틸팅이 제어된다. 에지 링으로 직접적인 RF 또는 DC (direct current) 전력의 공급이 없다. 에지 링에 대한 전력의 용량성 커플링은 에지 링의 재료들과 에지 링으로 직접적으로 전력을 전달하기 위해 사용된 RF 피드 부품 간의 모든 아크 기회들을 감소, 예를 들어, 제거, 등 한다.
일부 실시예들에서, 플라즈마 챔버 내의 에지 영역에서 이온 플럭스의 방향성을 제어하기 위한 시스템이 기술된다. 시스템은 RF 신호를 생성하도록 구성된 RF 생성기, 수정된 RF 신호를 생성하도록 RF 신호를 수신하기 위한 RF 생성기에 커플링된 임피던스 매칭 회로, 및 플라즈마 챔버를 포함한다. 플라즈마 챔버는 에지 링 및 에지 링 아래에 위치되고 수정된 RF 신호를 수신하도록 임피던스 매칭 회로에 커플링된 커플링 링을 포함한다. 커플링 링은 수정된 RF 신호의 수신시 이온 플럭스의 방향성을 제어하기 위해 전극과 에지 링 사이에 커패시턴스를 생성하는 전극을 포함한다.
다양한 실시예들에서, 플라즈마 챔버 내 에지 영역에서 이온 플럭스의 방향성을 제어하기 위한 시스템이 기술된다. 시스템은 제 1 필터링된 RF 신호를 출력하도록 구성되는 제 1 RF 필터, 제 2 필터링된 RF 신호를 출력하도록 제 1 필터링된 RF 신호를 수신하기 위해 제 1 RF 필터에 커플링된 제 2 RF 필터, 및 플라즈마 챔버를 포함한다. 플라즈마 챔버는, 에지 링, 및 에지 링 아래에 위치되고 제 2 RF 필터에 커플링된 커플링 링을 포함한다. 커플링 링은 제 2 필터링된 RF 신호의 수신시 이온 플럭스의 방향성을 제어하기 위해 전극과 에지 링 사이에 커패시턴스를 더 생성하게 제 2 필터링된 RF 신호를 수신하도록 구성된 전극을 포함한다.
일부 실시예들에서, 플라즈마 챔버 내 에지 영역에서 이온 플럭스의 방향성을 제어하기 위한 시스템이 기술된다. 시스템은 필터링된 RF 신호를 출력하도록 구성되는 RF 필터, 및 플라즈마 챔버를 포함한다. 플라즈마 챔버는, 에지 링, 및 에지 링 아래에 위치되고 필터링된 RF 신호를 수신하도록 RF 필터에 커플링된 커플링 링을 포함한다. 커플링 링은 필터링된 RF 신호의 수신시 이온 플럭스의 방향성을 제어하기 위해 전극과 에지 링 사이에 커패시턴스를 생성하는 전극을 포함한다.
일부 실시예들에서, TES (tunable edge plasma sheath) 에 대한 제어 스킴이 제공된다. 웨이퍼 및 웨이퍼 에지 위의 플라즈마 시스들은 분리된 RF 생성기들, 예를 들어, 마스터 RF 생성기 및 슬레이브 RF 생성기, 등에 의해 구동된다. 웨이퍼와 에지 RF 시스들 사이의 위상 각 및 시스 전압 각각의 크기는 전압 픽업들 (pickups), 예를 들어, 전압 센서들, 등에 의해 모니터링되고, 그리고 크기는 웨이퍼 에지에서의 프로세스 결과들, 예를 들어, 하나 이상의 인자들, 등을 달성하도록 조정된다.
일부 실시예들에서, 웨이퍼 에지에서의 RF 플라즈마 시스의 제어가 기술된다. RF 전력은 동일한 RF 주파수의, 2 개의 생성기들, 예를 들어, 마스터 RF 생성기 및 슬레이브 RF 생성기, 등에 의해 용량 결합된 에지 링 및 웨이퍼에 독립적으로 인가된다. RF 전압들 및 위상들은 메인 및 에지 링 RF 매칭부들의 출력부들에서 측정되고 그리고 슬레이브 생성기로 피딩된다 (fed). 이어서 생성기들 양자의 주파수들은 동일한 값으로 조정되고 그리고 동기된다 (locked). 그 후, RF 전압들의 2 개의 전압 파형들 사이의 위상 각이 조정 및 동기된다. 그리고 최종적으로, 슬레이브 전압 출력 값은 웨이퍼 에지에서의 프로세스 결과들에 대응하는 특정한 값으로 설정된다. 위상 동기된 에지 RF 플라즈마 시스를 조정함으로써, 미리 결정된 수행, 예를 들어, 0 도 에지 틸팅, 미리 결정된 각도 에지 틸팅, 등이 웨이퍼 에지에서 달성된다. 몇몇의 실시예들에서, 주파수들은 2 개의 전압 파형들 사이의 위상 각을 조정한 후 조정된다. 일부 실시예들에서, RF 전압들 및 위상들은 주파수들이 조정된 후 측정된다.
다양한 실시예들에서, 슬레이브 RF 생성기는 마스터 RF 생성기와 주파수를 동기한다. 이어서, 슬레이브 RF 생성기는 턴 온되고, 예를 들어, 전력을 제공하고, 동작하고, RF 신호를 공급하고, 등을 한다. 그 후, 자동화된 위상 및 전압 제어가 미리 규정된 설정사항들에 기초하여 달성된다.
일부 실시예들에서, 슬레이브 RF 생성기는 각각의 상태, 예를 들어, 상태 S1 및 S2, 등에 대해 주파수 동기와 위상 및 전압 제어를 실행한다.
일부 실시예들에서, 플라즈마 챔버 내의 에지 영역과 연관된 미리 결정된 인자를 달성하기 위한 방법이 기술된다. 방법은 제 1 임피던스 매칭 회로를 통해 플라즈마 챔버 내의 메인 전극에 RF 신호를 제공하는 단계를 포함한다. RF 신호는 제 1 RF 생성기의 동작 주파수에 기초하여 생성된다. 방법은 제 2 임피던스 매칭 회로를 통해 플라즈마 챔버 내의 에지 전극에 또 다른 RF 신호를 제공하는 단계를 더 포함한다. 이 다른 RF 신호는 제 1 RF 생성기의 동작 주파수에 기초하여 생성된다. 방법은 제 1 임피던스 매칭 회로의 출력부와 연관된 변수의 제 1 측정값을 수신하는 단계, 제 2 임피던스 매칭 회로의 출력부와 연관된 변수의 제 2 측정값을 수신하는 단계, 및 제 1 측정값과 제 2 측정값에 기초하여 이 다른 RF 신호의 위상을 수정하는 단계를 포함한다. 방법은 미리 결정된 인자를 달성하도록 제 2 RF 생성기와 연관된 변수의 크기를 변화시키는 단계를 포함한다.
다양한 실시예들에서, 에지 영역과 연관된 미리 결정된 인자를 달성하기 위한 시스템이 기술된다. 시스템은 메인 전극 및 에지 전극을 가진 플라즈마 챔버, 메인 전극에 커플링된 제 1 임피던스 매칭 회로, 에지 전극에 커플링된 제 2 임피던스 매칭 회로, 및 RF 신호를 제 1 임피던스 매칭 회로를 통해 메인 전극으로 제공하도록 제 1 임피던스 매칭 회로에 커플링된 제 1 RF 생성기를 포함한다. RF 신호는 제 1 RF 생성기의 동작 주파수에 기초하여 생성된다. 시스템은 또 다른 RF 신호를 제 2 임피던스 매칭 회로를 통해 에지 전극으로 제공하도록 제 2 임피던스 매칭 회로에 커플링된 제 2 RF 생성기를 포함한다. 이 다른 RF 신호는 제 1 RF 생성기의 동작 주파수에 기초하여 생성된다. 제 2 RF 생성기는 제 1 임피던스 매칭 회로의 출력부와 연관된 변수의 제 1 측정값을 수신한다. 제 2 RF 생성기는 제 2 임피던스 매칭 회로의 출력부와 연관된 변수의 제 2 측정값을 수신한다. 제 2 RF 생성기는 제 1 측정값 및 제 2 측정값에 기초하여 다른 RF 신호의 위상을 수정한다. 제 2 RF 생성기는 미리 결정된 인자를 달성하기 위해 제 2 RF 생성기와 연관된 변수의 크기를 변화시킨다.
몇몇의 실시예들에서, 플라즈마 챔버 내의 에지 영역과 연관된 미리 결정된 인자를 달성하기 위한 프로그램 인스트럭션들을 포함한 비일시적인 컴퓨터 판독가능 매체로서, 컴퓨터 시스템의 하나 이상의 프로세서들에 의한 프로그램 인스트럭션들의 실행은 하나 이상의 프로세서들로 하여금 복수의 동작들을 실시하게 하는 비일시적인 컴퓨터 판독가능 매체가 기술된다. 동작들은 제 1 임피던스 매칭 회로를 통해 플라즈마 챔버 내의 메인 전극으로 RF 신호를 제공하는 동작을 포함한다. RF 신호는 제 1 RF 생성기의 동작 주파수에 기초하여 생성된다. 동작들은 제 2 임피던스 매칭 회로를 통해 플라즈마 챔버 내의 에지 전극으로 또 다른 RF 신호를 제공하는 동작을 더 포함한다. 이 다른 RF 신호는 제 1 RF 생성기의 동작 주파수에 기초하여 생성된다. 동작들은 제 1 임피던스 매칭 회로의 출력부와 연관된 변수의 제 1 측정값을 수신하는 동작, 제 2 임피던스 매칭 회로의 출력부와 연관된 변수의 제 2 측정값을 수신하는 동작, 및 제 1 측정값 및 제 2 측정값에 기초하여 이 다른 RF 신호의 위상을 수정하는 동작을 포함한다. 동작들은 미리 결정된 인자를 달성하도록 제 2 RF 생성기와 연관된 변수의 크기를 변화시키는 동작을 포함한다.
본 명세서에 기술된 시스템들 및 실시예들의 일부 장점들은 대략 90 도 프로파일 각도를 달성하는 것을 포함한다. 에지 링에 커플링되는 커플링 링 내 전극에 공급된 액티브 전력 또는 패시브 전력의 양은 90 도 프로파일 각도를 달성하도록 변화된다. 이온 플럭스가 측정되고, 이온 플럭스는 측정치에 기초하여 제어된다. 이온 플럭스는 전극과 에지 링 간의 커패시턴스를 변화시키기 위해 커플링 링 내 전극에 커플링되는 액티브 전력 소스 또는 패시브 전력 소스를 제어함으로써 제어된다. 커패시턴스는 대략 90 도 프로파일 각도를 달성하도록 변화된다. 커패시턴스는 에지 영역에서 웨이퍼를 에칭하는 에칭 레이트를 더 제어하기 위해 에지 링의 전압을 제어하도록 사용된다. 에지 링의 전압은 접지와 비교하여 에지 링의 임피던스에 비례한다. 프로파일 각도는 미리 결정된 양 미만, 예를 들어, 3 % 미만, 2 % 미만, 4 % 미만, 등인 에지 프로파일, 예를 들어, 상단 CD, 보우 CD, 등의 균일도를 달성하는 것을 돕는다.
더욱이, 본 명세서에 기술된 시스템들 및 방법들의 다른 장점들은 에지 링 전압을 가변함으로써 에지 링 수명의 연장을 포함한다. 일단 에지 링이 마모되면, 예를 들어, 높이가 감소되는 등 하면, 플라즈마 시스가 벤딩하고, 이온 플럭스는 웨이퍼 에지에 포커싱하게 된다. 그 결과, 에지 틸팅이 사양에 규정된 범위를 벗어나게 된다. 에지 링 전압을 조정하는 것은 보다 균일한 플라즈마 시스로 유도하고 웨이퍼 에지 프로세스 파라미터들을 다시 사양에 규정된 범위 내에 둔다. 에지 링 대신 커플링 링 내에서 전극을 구현함으로써, 에지 링의 수명이 증가한다.
본 명세서에서 기술된 시스템들 및 방법들의 추가의 장점들은 에지 링 상의 RF 전압이 웨이퍼의 에지에서 에칭 패턴의 임의의 왜곡을 방지하도록 마스터 RF 생성기에 의해 공급된 메인 RF 전력의 위상으로, 그리고 웨이퍼에 전력을 인가하는 마스터 RF 생성기와 유사한, 예를 들어, 동일한, 등의 RF 주파수로 동작하는 슬레이브 RF 생성기에 의해 독립적으로 제어된다는 것을 포함한다. 유사한 RF 주파수를 가진 RF 전압의 이러한 동위상 인가는 웨이퍼의 에지에서, 인자, 예를 들어, 틸팅, 등의 달성을 용이하게 하고 그리고 동시에 플라즈마 챔버의 중심 영역에서 플라즈마 시스에 영향을 주지 않고, 예를 들어, 플라즈마 시스에 최소의 영향을 주는, 등 한다.
다른 양태들이 첨부된 도면들과 함께 취해진, 이하의 상세한 설명으로부터 명백해질 것이다.
실시예들은 첨부된 도면들과 함께 취해진 이하의 기술을 참조하여 이해된다.
도 1은 커플링 링을 사용함으로써 플라즈마 챔버의 에지 영역의 이온들의 방향성을 제어하는 것을 예시하기 위한 플라즈마 시스템의 실시예의 도면이다.
도 2a는 RF 필터를 통한 커플링 링 내 전극의 임피던스 매칭 회로 (IMC) 로의 커플링 및 전극에 액티브 전력을 제공하는 것을 예시하기 위한 시스템의 실시예의 도면이다.
도 2b는 커플링 링 내에 임베딩된 전극에 패시브 전력을 제공하는 것을 예시하기 위한 시스템의 실시예의 도면이다.
도 3a는 에지 영역의 이온 플럭스의 방향성을 더 제어하도록 에지 영역 내 플라즈마의 임피던스를 제어하게 x ㎒ RF 생성기 또는 x1 ㎑ RF 생성기에 의해 공급된 전력을 튜닝하기 위한 이온 플럭스의 사용을 예시하기 위한 시스템의 실시예의 도면이다.
도 3b는 에지 영역 내 이온 플럭스의 방향성을 더 제어하도록 에지 영역 내 임피던스를 제어하게 RF 필터를 튜닝하기 위한 이온 플럭스의 사용을 예시하기 위한 시스템의 실시예의 도면이다.
도 3c는 에지 영역의 이온 플럭스의 방향성을 더 제어하도록 에지 영역 내 플라즈마의 임피던스를 제어하게 x ㎒ RF 생성기 또는 x1 ㎑ RF 생성기에 의해 공급된 전력을 튜닝하기 위한 DC 바이어스의 사용을 예시하기 위한 시스템의 실시예의 도면이다.
도 3d는 에지 영역 내 이온 플럭스의 방향성을 더 제어하도록 에지 영역 내 플라즈마의 임피던스를 제어하게 RF 필터를 튜닝하기 위한 DC 바이어스의 사용을 예시하기 위한 시스템의 실시예의 도면이다.
도 4a는 커플링 링 내에 임베딩된 전극의 예인 메시 전극의 실시예의 도면이다.
도 4b는 전극의 또 다른 예인, 링 형상 전극의 실시예의 도면이다.
도 5는 피드 링의 일부 및 피드 링의 일부와 전력 핀 사이의 연결부를 예시하기 위한 플라즈마 챔버의 실시예의 도면이다.
도 6은 플라즈마 챔버의 남아 있는 컴포넌트들에 대한 전극의 위치를 예시하기 위한 플라즈마 챔버의 부분의 실시예의 도면이다.
도 7은 RF 로드에 커플링되는 피드 링을 예시하기 위한 시스템의 실시예의 도면이다.
도 8a는 전극에 공급되는 전력량의 변화와 함께 플라즈마 챔버 내에서 프로세싱되는 웨이퍼의 정규화된 에칭 레이트의 변화를 예시하기 위한 그래프의 실시예이다.
도 8b는 전극에 공급되는 전력량의 변화와 함께 이온 플럭스의 방향성의 변화를 예시하기 위한 플라즈마 챔버의 부분의 도면이다.
도 9a는 RF 필터의 커패시턴스의 변화와 함께 기판을 에칭하는 에칭 레이트의 변화를 예시하기 위한 그래프의 실시예이다.
도 9b는 도 9a의 패시브 RF 필터의 커패시턴스 대 에지 링의 피크 전압을 플롯팅하는 그래프의 실시예이다.
도 10은 마스터 RF 생성기와 슬레이브 RF 생성기 사이의 동기화를 예시하기 위한 시스템의 실시예의 도면이다.
도 11은 마스터 RF 생성기 및 슬레이브 RF 생성기 양자가 연속적인 파형 모드로 동작할 때 마스터 RF 생성기와 슬레이브 RF 생성기 사이의 주파수 동기 및 위상 동기를 예시하기 위한 시스템의 실시예의 도면이다.
도 12는 슬레이브 RF 생성기에 의해 수정될 RF 신호의 변수와 인자 사이의 대응을 예시하기 위한 표의 실시예의 도면이다.
도 13은 마스터 RF 생성기 및 슬레이브 RF 생성기 양자가 상태 전이 모드로 동작할 때 마스터 RF 생성기와 슬레이브 RF 생성기 사이의 주파수 동기 및 위상 동기를 예시하기 위한 시스템의 실시예의 도면이다.
도 14는 도 13의 마스터 RF 생성기, 도 13의 슬레이브 RF 생성기에 의해 생성된 RF 신호들, 및 TTL (transistor-transistor logic) 신호의 복수의 상태들을 예시하기 위한 타이밍도의 실시예의 도면이다.
도 15는 상태들 (S1 및 S2)에 대한 인자와 상태들 (S1 및 S2)에 대한 도 13의 슬레이브 RF 생성기에 의해 수정될 RF 신호의 변수 사이의 대응을 예시하기 위한 표의 실시예의 도면이다.
도 16a는 임피던스 매칭 회로의 출력부와 연관된 변수 및 또 다른 임피던스 매칭 회로의 출력부와 연관된 변수의 위상들의 차를 예시하기 위한 그래프의 실시예의 도면이다.
도 16b는 임피던스 매칭 회로들의 출력부들과 연관된 변수의 위상들의 차의 감소를 예시하기 위한 그래프의 실시예의 도면이다.
도 16c는 인자를 달성하도록 전압 파형의 크기의 변화를 예시하기 위한 그래프의 실시예의 도면이다.
도 17a는 프로세스 1 동안, 플라즈마 챔버의 에지 영역의 플라즈마 시스의 틸팅이 도 10의 슬레이브 RF 생성기에 의해 생성된 RF 신호의 변수의 크기를 제어함으로써 제어된다는 것을 예시하기 위한 그래프의 실시예의 도면이다.
도 17b는 프로세스 2 동안, 에지 영역의 플라즈마 시스의 틸팅이 도 10의 슬레이브 RF 생성기에 의해 생성된 RF 신호의 변수의 크기를 제어함으로써 제어된다는 것을 예시하기 위한 그래프의 실시예의 도면이다.
이하의 실시예들은 커플링 링 내 전극을 사용함으로써 플라즈마 챔버의 에지 영역의 이온들의 방향성을 제어하고 그리고 메인 및 에지 RF 생성기들을 동기화함으로써 플라즈마 챔버 내의 에지 영역과 연관된 미리 결정된 인자를 달성하기 위한 시스템들 및 방법들을 기술한다. 제시된 실시예들은 이들 구체적인 상세들 중 일부 또는 전부가 없이 실시될 수도 있다는 것이 자명할 것이다. 다른 예들에서, 공지의 프로세스 동작들은 제시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다.
도 1은 커플링 링 (112) 을 사용함으로써 플라즈마 챔버 (104) 의 에지 영역 (102) 내 이온들의 방향성을 제어하는 것을 예시하기 위한 플라즈마 시스템 (100) 의 실시예의 도면이다. 플라즈마 시스템 (100) 은 x ㎒ RF 생성기, z ㎒ RF 생성기, x1 ㎑ RF 생성기, 임피던스 매칭 회로 (IMC) (108), 또 다른 IMC (113), 및 플라즈마 챔버 (104) 를 포함한다. 플라즈마 챔버 (104) 는 에지 링 (110), 커플링 링 (112), 및 척 (114), 예를 들어, 정전 척 (ESC), 등을 포함한다. 에지 링 (110) 은 척 (114) 상에 기판 (120) 을 포지셔닝하는 것 및 플라즈마 챔버 (104) 내에서 형성된 플라즈마의 이온들에 의해 손상되는 것이 기판 (120) 에 의해 보호되지 않는 플라즈마 챔버 (104) 의 아래에 놓인 컴포넌트들을 차폐하는 것을 포함하여, 많은 기능들을 수행한다. 척 (114), 예를 들어, 하부 전극, 등은 금속, 예를 들어, 양극산화된 알루미늄, 알루미늄의 합금, 등으로 이루어진다.
커플링 링 (112) 은 에지 링 (110) 아래에 위치되고 에지 링 (110) 에 커플링된다. 커플링 링 (112) 은 전기적 절연체 재료, 예를 들어, 유전체 재료, 세라믹, 유리, 합성 폴리머, 알루미늄 옥사이드, 등으로 이루어진다. 에지 링 (110) 은 기판 (120) 위의 영역에 플라즈마를 한정하고 그리고/또는 플라즈마에 의한 부식으로부터 척 (114) 을 보호한다. 에지 링 (110) 은 하나 이상의 재료들, 예를 들어, 결정성 실리콘, 다결정 실리콘, 실리콘 카바이드, 석영, 알루미늄 옥사이드, 알루미늄 나이트라이드, 실리콘 나이트라이드, 등으로 이루어진다. 에지 링 (110) 및 커플링 링 (112) 양자는 척 (114) 옆에 위치된다. 기판 (120) 의 에지는 에지 링 (110) 위에 배치되고 에지 링 (110) 의 에지는 에지 영역 (102) 내에 위치된다. 예로서, 에지 영역 (102) 은 척 (114) 의 에지로부터 척 (114) 의 반경을 따라 10 ㎜ 내지 15 ㎜의 미리 결정된 거리만큼 에지 링 (110) 으로부터 연장한다. 플라즈마 챔버 (104) 는 접지에 커플링된 챔버 벽 (115) 을 포함한다.
x ㎒ RF 생성기는 RF 케이블 (126), IMC (108) 및 RF 송신 선 (122) 을 통해 커플링 링 (112) 에 커플링된다. 더욱이, x1 ㎑ RF 생성기 및 z ㎒ RF 생성기들은 IMC (113) 및 또 다른 RF 송신 선 (124) 을 통해 척 (114) 에 커플링된다. RF 송신 선은 RF 로드 및 RF 로드를 둘러싸는 절연체 슬리브를 포함한다. x1 ㎑ RF 생성기는 RF 케이블 (128) 을 통해 IMC (113) 에 커플링되고 z ㎒ RF 생성기는 RF 케이블 (130) 을 통해 IMC (113) 에 커플링된다. x1 ㎑ RF 생성기의 예들은 400 ㎑의 동작 주파수를 갖는 생성기, 360 ㎑ 내지 440 ㎑ 범위의 동작 주파수를 갖는 생성기, 등을 포함한다. x ㎒ RF 생성기의 예들은 2 ㎒의 동작 주파수를 갖는 생성기, 27 ㎒의 동작 주파수를 갖는 생성기, 등을 포함한다. z ㎒ RF 생성기의 예는 27 ㎒의 동작 주파수를 갖는 생성기, 60 ㎒의 동작 주파수를 갖는 생성기, 등을 포함한다.
x1 ㎑ 생성기는 RF 신호를 생성하고 RF 신호를 IMC (113) 로 전송한다. 유사하게, z ㎒ RF 생성기는 RF 신호를 생성하고 RF 신호를 IMC (113) 로 전송한다. IMC (113) 는 자신의 출력부에서 수정된 RF 신호를 제공하도록 IMC (113) 의 출력부에 커플링된 부하, 예를 들어, RF 송신 선 (124), 플라즈마 챔버 (104), 등의 임피던스를 IMC (113) 의 입력부에 커플링된 소스, 예를 들어, RF 케이블 (128), RF 케이블 (130), x1 ㎑ RF 생성기 및 z ㎒ RF 생성기, 등의 임피던스와 매칭시킨다. 유사하게, IMC (108) 는 자신의 출력부에서 수정된 RF 신호를 제공하도록 IMC (108) 의 출력부에 커플링된 부하, 예를 들어, 플라즈마 챔버 (104), RF 송신 선 (122), 등 의 임피던스를 IMC (108) 의 입력부에 커플링된 소스, 예를 들어, x ㎒ RF 생성기, RF 케이블 (126), 등의 임피던스와 매칭시킨다.
IMC (113) 의 출력부의 수정된 RF 신호는 플라즈마의 임피던스를 수정하도록, 예를 들어, 플라즈마 챔버 (104) 의 중심 영역 (132) 에서 플라즈마 챔버 (104) 내에서 플라즈마를 생성 및 유지하도록 척 (114) 으로 전송된다. 중심 영역 (132) 은 에지 영역 (102) 에 인접하게 위치되고 에지 영역 (102) 에 의해 둘러싸인다. 중심 영역은 에지 영역 (102) 의 일 단부로부터 척 (114) 의 중심을 통해 에지 영역 (102) 의 반대편 단부로 연장한다. 더욱이, IMC (108) 의 출력부에서 수정된 RF 신호는 플라즈마의 임피던스 및 플라즈마 챔버 (104) 의 에지 영역 (102) 내 이온들의 방향성을 수정하도록 커플링 링 (112) 으로 전송된다. 하나 이상의 프로세스 가스들, 예를 들어, 산소 함유 가스, 불소 함유 가스, 등이 상부 전극 (121) 을 통해 플라즈마 챔버 (104) 의 중심 영역 (132) 으로 공급되면, 플라즈마가 생성되거나 유지된다.
상부 전극 (121) 은 척 (114) 과 대면하고 상부 전극 (121) 과 척 (114) 사이에 갭이 형성된다. 상부 전극 (121) 은 플라즈마 챔버 (104) 내에 위치되고 도전성 재료로 이루어진다. 플라즈마 챔버 (104) 내 플라즈마는 기판 (120)을 프로세싱하도록 사용된다. 예를 들어, 플라즈마는 기판 (120) 을 에칭하도록, 기판 (120) 상에 재료들을 증착하도록, 기판 (120) 을 세정하도록, 등을 위해 사용된다.
일부 실시예들에서, 플라즈마 챔버 (104) 는 부가적인 부품, 예를 들어, 상부 전극 (121) 을 둘러싸는 상부 전극 연장부, 상부 전극 (121) 과 상부 전극 연장부 사이의 유전체 링, 상부 전극 (121) 의 에지들 옆에 위치된 한정 링들 및 플라즈마 챔버 (104) 내 갭을 둘러싸기 위한 에지 링 (110), 등을 포함한다.
다양한 실시예들에서, x ㎒ RF 생성기에 의해 생성되는 RF 신호는 x1 ㎑ RF 생성기에 의해 생성되는 RF 신호 및 z ㎒ RF 생성기에 의해 생성되는 RF 신호와 동기화된다. 예를 들어, x ㎒ RF 생성기에 의해 생성된 RF 신호가 로우 상태로부터 하이 상태로 펄싱될 때, x1 ㎑ RF 생성기에 의해 생성되는 RF 신호는 로우 상태로부터 하이 상태로 펄싱되고, 그리고 z ㎒ RF 생성기에 의해 생성되는 RF 신호는 로우 상태로부터 하이 상태로 펄싱된다. 또 다른 예로서, x ㎒ RF 생성기에 의해 생성된 RF 신호가 하이 상태로부터 로우 상태로 펄싱될 때, x1 ㎑ RF 생성기에 의해 생성되는 RF 신호는 하이 상태로부터 로우 상태로 펄싱되고, z ㎒ RF 생성기에 의해 생성되는 RF 신호는 하이 상태로부터 로우 상태로 펄싱된다. RF 신호에 대한 하이 상태는 RF 신호에 대한 로우 상태와 비교하여 RF 신호의 보다 높은 레벨, 예를 들어, RMS (root mean square) 값, 피크-투-피크 진폭, 등의 전력을 갖는다.
일부 실시예들에서, x ㎒ RF 생성기에 의해 생성되는 RF 신호는 x1 ㎑ RF 생성기에 의해 생성되는 RF 신호와 동기화되지 않고, 또는 z ㎒ RF 생성기에 의해 생성되는 RF 신호와 동기화되지 않고, 또는 x1 ㎑ RF 생성기에 의해 생성되는 RF 신호와 동기화되지 않고, z ㎒ RF 생성기에 의해 생성되는 RF 신호와 동기화되지 않는다.
도 2a는 RF 필터 (208) 를 통한 커플링 링 (112) 내 전극 (202) 의 IMC (108) 로의 커플링 및 전극 (202) 에 액티브 전력을 제공하는 것을 예시하기 위한 시스템 (200) 의 실시예의 도면이다. RF 필터 (208) 는 RF 전류의 RF 전력에 의한 x1 ㎑ RF 생성기 또는 x ㎒ RF 생성기 및 IMC (108) 과 전극 (202) 사이의 RF 전달 시스템의 임의의 컴포넌트에 대한 모든 손상을 방지하도록 IMC (108) 를 통해 RF 필터 (208) 에 커플링되는 x1 ㎑ RF 생성기 또는 x ㎒ RF 생성기에 도달하는 RF 전류의 양을 감소시킨다. 예로서, RF 필터 (208) 는 하나 이상의 커패시터들, 또는 하나 이상의 인덕터들, 또는 커패시터들 및 인덕터들의 조합을 포함한다. RF 전류는 플라즈마 챔버 (206) 내의 플라즈마에 의해 생성된다.
시스템 (200) 은 플라즈마 챔버 (104) (도 1) 의 예인 플라즈마 챔버 (206) 를 포함한다. 시스템 (200) 은 x ㎒ RF 생성기 또는 x1 ㎑ RF 생성기, IMC (108), 및 RF 필터 (208) 를 더 포함한다. x ㎒ RF 생성기 또는 x1 ㎑ RF 생성기는 RF 케이블 (126) 을 통해 IMC (108) 에 커플링되고, IMC (108) 는 RF 송신 선 (122) 을 통해 RF 필터 (208) 에 커플링된다. RF 필터 (208) 는 전력 핀 (204) 을 통해 전극 (202) 에 커플링된다. 전극 (202) 은 커플링 링 (112) 내에 임베딩된다. 예를 들어, 커플링 링 (112) 외부로 전극 (202) 의 일부가 노출되지 않는다. 또 다른 예로서, 전극 (202) 은 커플링 링 (112) 의 하부 표면 (214) 과 비교하여 커플링 링 (112) 의 상부 표면 (212) 에 보다 가깝게 커플링 링 (112) 내에 임베딩된다. 상부 표면 (212) 은 에지 링 (110) 에 인접하고 하부 표면 (214) 은 플라즈마 챔버 (206) 의 절연체 링 (216) 에 인접하다. 절연체 링 (216) 은 커플링 링 (112) 의 아래에 위치되고 전기적 절연 재료, 예를 들어, 석영, 등으로 이루어진다.
전력 핀 (204) 은 동축 케이블 (220) 및 슬리브 (222) 를 포함한다. 슬리브 (222) 는 동축 케이블 (220) 을 둘러싸는 전기장들로부터 동축 케이블 (220) 을 절연하도록 동축 케이블 (220) 을 커버한다. 슬리브 (222) 는 전기 절연체 재료, 예를 들어, 플라스틱, 유리, 플라스틱과 유리의 조합, 등으로 이루어진다. 전력 핀 (204) 은 전극 (202) 에 커플링되고, RF 필터 (208) 에 커플링되는 RF 송신 선으로 피드 링을 통해 커플링된다. 예로서, 피드 링은 도전성 금속, 예를 들어, 알루미늄, 구리, 등으로 이루어진다. 전력 핀 (204) 의 일부는 절연체 링 (216), 설비 플레이트 (224) 옆에 위치되고, 전력 핀 (204) 의 나머지 부분은 커플링 링 (112) 으로 둘러싸인다. 설비 플레이트 (224) 는 금속, 예를 들어, 알루미늄, 등으로 이루어진다.
설비 플레이트 (224) 는 척 (114) 아래에 위치되고 RF 송신 선 (124) 에 커플링된다. 금속, 예를 들어, 알루미늄, 등으로 이루어진 복수의 접지 링들 (226) 이 절연체 링 (228) 및 절연체 링 (216) 의 일부를 둘러싸고, 접지에 연결된다. 절연체 링 (228) 은 절연 재료, 예를 들어, 석영, 등으로 이루어지고, 에지 링 (110) 이 DC 전력과 커플링되는 것을 방지한다.
플라즈마 챔버 (206) 는 척 (114) 과 대면하는 상부 전극 (121) 을 더 포함한다. 갭 (232) 은 상부 전극 (121) 과 척 (114) 사이에 형성된다. 플라즈마는 기판 (120) 을 프로세싱하기 위해 갭 (232) 내에 형성된다. 복수의 한정 링들 (238) 이 갭 (232) 및 상부 전극 (121) 의 일부를 둘러싸도록 적층된다. 한정 링들 (238) 은 갭 (232) 내 압력을 제어하기 위한 그리고/또는 갭 (232) 으로부터 플라즈마 챔버 (206) 아래에 위치된 하나 이상의 진공 펌프들로 흐르는 플라즈마의 양을 제어하기 위한 모터 메커니즘을 통해 개방 또는 폐쇄된다. 커버 링 (241), 예를 들어, 석영 커버 링, 등이 플라즈마의 RF 전력으로부터 접지 링들 (226) 을 보호하도록 접지 링들 (226) 의 상단 상에 덮힌다.
x ㎒ RF 생성기 또는 x1 ㎑ RF 생성기는 RF 신호를 IMC (108) 에 공급한다. IMC (108) 는 수정된 RF 신호를 생성하도록 부하, 예를 들어, RF 송신 선 (122), RF 필터 (208), 및 플라즈마 챔버 (206) 의 임피던스와 소스, 예를 들어, RF 케이블 (126) 및 x ㎒ RF 생성기 또는 x1 ㎑ RF 생성기, 등의 임피던스를 매칭한다. 수정된 RF 신호는 전극 (202) 으로 RF 송신 선 (122), RF 필터 (208), 피드 링 및 전력 핀 (204) 을 통과한다. 전극 (202) 에 의한 수정된 RF 신호의 수신은 에지 영역 (102) 내 플라즈마의 임피던스를 변화시키고, 에지 영역의 일부는 갭 (232) 내에 위치된다. 임피던스의 변화는 에지 영역 (102) 내 기판 (120) 의 플라즈마 프로세싱, 예를 들어, 에칭, 증착, 세정, 등을 제어하기 위해 에지 영역 (102) 내 이온 플럭스의 방향성을 변화시키도록 사용된다.
일 실시예에서, 시스템 (200) 은 RF 필터 (208) 를 제외하고, IMC (108) 는 RF 송신 선 (122) 을 통해 피드 링에 커플링된다.
도 2b는 커플링 링 (112) 내에 임베딩된 전극 (202) 에 패시브 전력 제어를 제공하는 것을 예시하기 위한 시스템 (250) 의 실시예의 도면이다. 시스템 (250) 은 RF 케이블 (254) 을 통해 출력부에서 RF 필터 (208) 에 커플링되고 접지에 커플링된 RF 필터 (207) 를 포함하는 것을 제외하고 시스템 (200) 과 동일하다. RF 필터 (207) 는 하나 이상의 커패시터들, 또는 하나 이상의 인덕터들, 또는 커패시터들 및 인덕터들의 조합을 포함한다. 예를 들어, RF 필터 (207) 는 인덕터와 병렬인 커패시터를 포함한다. 다른 예로서, RF 필터 (207) 는 커패시터를 포함한다. 또 다른 예로서, RF 필터 (207) 는 인덕터와 직렬인 커패시터를 포함한다. 일 실시예에서, RF 필터 (207) 의 하나 이상의 커패시터들은 가변성이고 RF 필터 (207) 의 하나 이상의 인덕터들은 가변성이다.
RF 필터 (207) 는 에지 영역 (102) 내 플라즈마로부터 수신되는 RF 신호에 대해 접지로의 임피던스 경로를 제공한다. RF 신호는 에지 영역 (102) 내 플라즈마로부터 생성되고 에지 링 (110) 및 전극 (202) 과 에지 링 (110) 간의 커패시턴스를 통해 전극 (202) 으로 흐르고, 전극 (202) 은 RF 신호를 출력한다. 전극 (202) 으로부터의 RF 신호는 RF 필터 (208) 로 전력 핀 (204) 및 피드 링을 통과한다. RF 필터 (208) 는 필터링된 RF 신호를 출력하도록 RF 신호 내의 모든 DC 전력을 필터링한다. 필터링된 RF 신호는 접지로 RF 케이블 (254) 및 RF 필터 (207) 를 통과한다. RF 필터 (207) 의 커패시턴스 또는 인덕턴스 또는 커패시턴스와 인덕턴스의 조합은 에지 영역 (102) 내 이온 플럭스의 방향성을 더 제어하기 위해 에지 영역 (102) 내 플라즈마의 임피던스를 수정하도록 접지로 흐르는 필터링된 RF 신호의 양을 결정한다.
다양한 실시예들에서, RF 필터 (207) 는 RF 송신 선 (254) 을 통해 RF 필터 (208) 로 필터링된 신호를 출력하기 위해 에지 영역 (102) 내 플라즈마로부터 수신되는 RF 신호의 일부를 필터링한다. RF 신호의 이 부분은 RF 필터 (207) 에 커플링된 접지로 흐른다. RF 송신 선 (254) 을 통해 RF 필터 (208) 에 의해 수신된 필터링된 신호는 전력 핀 (204) 의 동축 케이블 (220) 로 필터링된 신호를 출력하기 위해 DC 전력을 제거하도록 RF 필터 (208) 에 의해 필터링된다. 필터링된 신호는 전극 (202) 과 에지 링 (110) 사이의 커패시턴스를 변화시키도록 동축 케이블 (220) 을 통해 전극 (202) 으로 제공된다. 커패시턴스는 에지 영역 (102) 내 플라즈마의 임피던스를 변화시키도록 변화된다.
일부 실시예들에서, RF 필터 (208) 는 제외되고, RF 필터 (207) 는 RF 송신 선 (254) 을 통해 전력 핀 (204) 에 커플링된다.
도 3a는 에지 영역 (102) 내 이온 플럭스의 방향성을 더 제어하기 위해 에지 영역 (102) 내 플라즈마의 임피던스를 제어하도록 x ㎒ RF 생성기 또는 x1 ㎑ RF 생성기에 의해 공급된 전력을 튜닝하는 것을 예시하기 위한 시스템 (300) 의 실시예의 도면이다. 시스템 (300) 은, 시스템 (300) 이 평면형 이온 플럭스 프로브 (302), 측정 센서 (304) 및 호스트 컴퓨터 시스템 (306) 을 더 포함하는 것을 제외하고 도 2a의 시스템 (200) 과 동일하다. 평면형 이온 플럭스 프로브의 예는 Langmuir 프로브이다. 호스트 컴퓨터 시스템 (306) 의 예들은 컴퓨터, 태블릿, 스마트 폰, 등을 포함한다. 측정 센서 (304) 의 예들은 복소 전압 센서 또는 복소 전류 센서를 포함한다.
평면형 이온 플럭스 프로브 (302) 는 상부 전극 (121) 내 개구부를 통해 삽입되고 이온 플럭스 프로브 (302) 의 도전성 부분, 예를 들어, 실리콘, 등과 상부 전극 (121) 사이에 스페이서를 갖는다. 평면형 이온 플럭스 프로브 (302) 는 에지 영역 (102) 과 연관된 플라즈마에 노출되는 표면을 갖는 부분, 예를 들어, 실린더형 부분, 다각형 부분, 등을 갖는다. 평면형 이온 플럭스 프로브 (302) 는 전송 케이블 (310), 예를 들어, 직렬 전송 케이블, 병렬 전송 케이블, USB (Universal Serial Bus) 케이블, 등을 통해, 호스트 컴퓨터 시스템 (306) 에 커플링되는 측정 센서 (304) 로 RF 케이블 (308) 을 통해 커플링된다. 호스트 컴퓨터 시스템 (306) 은 전송 케이블 (312), 예를 들어, 직렬 전송 케이블, 병렬 전송 케이블, USB 케이블, 등을 통해, x ㎒ RF 생성기 또는 x1 ㎑ RF 생성기에 커플링된다. 직렬 전송 케이블은 데이터를 직렬로, 예를 들어, 한번에 1 비트, 등을 전송하도록 사용된다. 병렬 전송 케이블은 데이터를 병렬 방식으로, 예를 들어, 한번에 복수의 비트들, 등을 전송하도록 사용된다.
평면형 이온 플럭스 프로브 (302) 는 RF 신호를 생성하도록 에지 영역 (102) 과 연관된 플라즈마의 이온 플럭스, 예를 들어, 이온 플럭스 프로브 (302) 의 단위 표면적 당 이온 플로우의 양, 이온 플럭스 프로브 (302) 의 단위 표면적 당 전류의 양, 등을 측정한다. RF 신호는 측정 센서 (304) 로 RF 케이블 (308) 을 통과하고, 측정 센서 (304) 는 RF 신호의 복소 전압 또는 복소 전류를 측정한다. 측정 센서 (304) 는 전송 케이블 (310) 을 통해 호스트 컴퓨터 시스템 (306) 으로 측정된 복소 전압 또는 측정된 복소 전류를 데이터로서 출력한다. 호스트 컴퓨터 시스템 (306) 은 프로세서 및 메모리 디바이스를 포함한다. 프로세서의 예들은 CPU (central processing unit), 제어기, ASIC (application specific integrated circuit), 또는 PLD (programmable logic device), 등을 포함한다. 메모리 디바이스의 예들은 ROM (read-only memory), RAM (random access memory), 하드 디스크, 휘발성 메모리, 비휘발성 메모리, 저장 디스크들의 리던던트 어레이, Flash 메모리, 등을 포함한다.
호스트 컴퓨터 시스템 (306) 의 프로세서는 측정된 복소 전압 또는 측정된 복소 전류에 기초하여 IMC (108) 에 커플링된 x ㎒ RF 생성기 또는 x1 ㎑ RF 생성기에 의해 공급될 전력량을 결정한다. 예를 들어, 미리 결정된 복소 전압 또는 미리 결정된 복소 전류와 x ㎒ RF 생성기 또는 x1 ㎑ RF 생성기에 의해 공급되는 전력 간의 대응 관계, 예를 들어, 1 대 1 관계, 연관, 맵핑, 등이 프로세서에 커플링되는 메모리 디바이스 내에 저장된다. 미리 결정된 복소 전압 또는 미리 결정된 복소 전류는 에지 영역 (102) 내에서 생성될 이온 플럭스의 미리 결정된 양에 대응하고, 예를 들어, 1 대 1 관계를 갖고, 맵핑하는, 등 하고, 이 관계는 호스트 컴퓨터 시스템 (306) 의 메모리 디바이스에 저장된다. 프로세서는 측정된 복소 전류로부터 측정된 복소 전류가 달성될 미리 결정된 복소 전류와 매칭하지 않고 또는 미리 결정된 복소 전류로부터 미리 결정된 범위 내에 있지 않다고 결정한다. 프로세서는 미리 결정된 복소 전류와 x ㎒ RF 생성기 또는 x1 ㎑ RF 생성기에 의해 공급될 전력 양 간의 대응 관계에 기초하여 전력량을 결정한다. 프로세서는 이 전력량이 x ㎒ RF 생성기 또는 x1 ㎑ RF 생성기에 의해 공급될 것이라는 것을 x ㎒ RF 생성기 또는 x1 ㎑ RF 생성기에 나타내는 제어 신호를 생성한다.
일 실시예에서, 프로세서는 측정된 복소 전압으로부터 측정된 복소 전압이 달성될 미리 결정된 복소 전압과 매칭하지 않고 또는 미리 결정된 복소 전압으로부터 미리 결정된 범위 내에 있지 않다고 결정한다. 프로세서는 미리 결정된 복소 전압과 x ㎒ RF 생성기 또는 x1 ㎑ RF 생성기에 의해 공급될 전력량 간의 대응 관계에 기초하여 전력량을 결정한다. 프로세서는 이 전력량이 x ㎒ RF 생성기 또는 x1 ㎑ RF 생성기에 의해 공급될 것이라는 것을 x ㎒ RF 생성기 또는 x1 ㎑ RF 생성기에 나타내는 제어 신호를 생성한다.
이 전력량의 수신시, x ㎒ RF 생성기 또는 x1 ㎑ RF 생성기는 이 전력량을 갖는 RF 신호를 생성하고 RF 케이블 (126) 을 통해 IMC (108) 로 공급한다. IMC (108) 는 IMC (108) 에 커플링된 부하의 임피던스를 x ㎒ RF 생성기 또는 x1 ㎑ RF 생성기로부터 수신된 RF 신호로부터 수정된 RF 신호를 생성하도록 IMC (108) 에 커플링된 소스의 임피던스와 매칭한다. 수정된 RF 신호는 RF 필터 (208), RF 필터 (208) 에 커플링된 피드 링, 및 동축 케이블 (220) 을 통해 전극 (202) 에 제공된다. 전극 (202) 과 에지 링 (110) 의 하부 표면 간의 커패시턴스는, 전극 (202) 이 에지 영역 (102) 내 이온 플럭스의 방향을 더 수정하도록 에지 영역 (102) 내 플라즈마의 임피던스를 변화시키도록 수정된 RF 신호를 수신할 때 변화한다.
도 3b는 에지 영역 (102) 내 이온 플럭스의 방향성을 더 제어하기 위해 에지 영역 (102) 내 임피던스를 제어하도록 RF 필터 (207) 를 튜닝하는 것을 예시하기 위한 시스템 (320) 의 실시예의 도면이다. 시스템 (320) 은, 시스템 (320) 이 평면형 이온 플럭스 프로브 (302), 측정 센서 (304), 호스트 컴퓨터 시스템 (306), 전력 공급부 (328), 모터 (322), 예를 들어, DC 모터, AC (alternating current) 모터, 등을 포함하는 것을 제외하고 시스템 (250) (도 2b) 과 동일하다. 전력 공급부 (328) 의 예들은 AC 전력 공급부 또는 DC 전력 공급부를 포함한다. 전력 공급부 (328) 는 전송 케이블 (324) 을 통해 호스트 컴퓨터 시스템 (306) 에 커플링된다. 더욱이, 모터 (322) 는 케이블 (330) 을 통해 전력 공급부 (328) 에 커플링되고 연결 메커니즘 (326) 을 통해 RF 필터 (207) 에 커플링된다. 연결 메커니즘 (326) 의 예들은 하나 이상의 로드들, 하나 이상의 기어들, 또는 이들의 조합을 포함한다. 연결 메커니즘 (326) 은 회로 컴포넌트의 파라미터, 예를 들어, 커패시턴스, 인덕턴스, 등을 변화시키도록, RF 필터 (207) 의 회로 컴포넌트, 예를 들어, 인덕터, 커패시터, 등에 연결된다. 예를 들어, 연결 메커니즘 (326) 은 RF 필터 (207) 의 커패시터의 2 개의 평행한 플레이트들 사이의 면적 및/또는 플레이트들 간의 거리를 변화시키도록 회전한다. 다른 예로서, 연결 메커니즘 (326) 은 인덕터의 인덕턴스를 변화시키기 위해 RF 필터 (207) 의 인덕터의 코일에 의해 둘러싸인 코어를 대체한다.
프로세서는 측정된 복소 전류가 달성될 미리 결정된 복소 전류와 매칭하지 않는다거나 달성될 미리 결정된 복소 전류로부터 미리 결정된 범위 내에 있지 않다고 측정 센서 (304) 에 의해 측정된 복소 전류로부터 결정한다. 프로세서는 미리 결정된 복소 전류, 전력 공급부 (328) 에 의해 공급될 전력, 예를 들어, DC 전력, AC 전력, 등의 양 및 달성될 RF 필터 (207) 의 미리 결정된 커패시턴스 사이의 대응관계에 기초하여 전력량을 결정한다. 프로세서는 이 전력량이 RF 필터 (207) 의 미리 결정된 커패시턴스를 달성하기 위해 전력 공급부 (328) 에 의해 공급된다는 것을 전력 공급부 (328) 에 나타내는 제어 신호를 생성한다.
일 실시예에서, 프로세서는 측정된 복소 전압이 달성될 미리 결정된 복소 전압과 매칭하지 않는다거나 달성될 미리 결정된 복소 전압으로부터 미리 결정된 범위 내에 있지 않다고 측정된 복소 전압으로부터 결정한다. 프로세서는 미리 결정된 복소 전압, RF 필터 (207) 의 달성될 미리 결정된 커패시턴스, 및 전력 공급부 (328) 에 의해 공급될 전력량 사이의 대응관계에 기초하여, 전력량을 결정한다. 프로세서는 이 전력량이 전력 공급부 (328) 에 의해 공급된다는 것을 전력 공급부 (328) 에 나타내는 제어 신호를 생성한다.
제어 신호는 전송 케이블 (324) 을 통해 전력 공급부 (328) 로 전송된다. 이 전력량의 수신시, 전력 공급부 (328) 는 이 전력량을 생성하고 케이블 (330) 을 통해 모터 (322) 로 이 전력량을 공급한다. 모터 (322) 의 스테이터는 모터 (322) 의 로터를 회전시키는, 전기장을 생성하도록 이 전력량을 수신한다. 로터의 회전은 미리 결정된 커패시턴스를 달성하기 위해 RF 필터 (207) 의 파라미터를 변화시키도록 연결 메커니즘 (326) 을 회전시킨다. 파라미터, 예를 들어, 커패시턴스, 등의 변화는 전극 (202) 과 에지 링 (110) 사이의 커패시턴스를 더 변화시키도록 RF 필터 (207) 에 커플링된 접지로 RF 필터 (207) 를 통해 흐르는 RF 전력량을 변화시킨다. 전극 (202) 과 에지 링 (110) 간의 커패시턴스는 RF 케이블 (254), RF 필터 (208), RF 필터 (208) 에 커플링된 피드 링, 및 동축 케이블 (220) 을 통해 변화된다. 커패시턴스의 변화는 RF 송신 선 (254) 을 통해 RF 필터 (207) 로부터 RF 필터 (208) 로 흐르는 필터링된 신호의 전력량을 변화시킨다. 전력량의 변화는 에지 영역 (102) 내 이온 플럭스의 방향성을 더 수정하도록 에지 영역 (102) 내 플라즈마의 임피던스를 변화시킨다.
도 3c는 에지 영역 (102) 내의 이온 플럭스의 방향성을 더 제어하기 위해 에지 영역 (102) 내의 플라즈마의 임피던스를 제어하도록 x ㎒ RF 생성기 또는 x1 ㎑ RF 생성기에 의해 공급된 전력을 튜닝하기 위한 DC 바이어스의 사용을 예시하는 시스템 (350) 의 실시예의 도면이다. 시스템 (350) 은, 시스템 (350) 이 평면형 이온 플럭스 프로브 (302) (도 3a) 및 측정 센서 (304) (도 3a) 대신 측정 센서 (354), 및 DC 바이어스 프로브 (352) 를 포함하는 것을 제외하고 시스템 (300) (도 3a) 과 동일하다. 측정 센서 (354) 의 예는 DC 바이어스 전압 센서이다.
DC 바이어스 센서 (352) 의 일부는 에지 링 (110) 의 개구부를 통해 에지 링 (110) 내로 연장되고, DC 바이어스 센서 (352) 의 나머지 부분은 절연체 링 (228) 의 개구부를 통해 절연체 링 (228) 내로 연장된다. DC 바이어스 센서 (352) 는 케이블 (356) 을 통해 측정 센서 (354) 에 연결된다. 측정 센서 (354) 는 에지 링 (110) 의 RF 전력에 의해 생성되는 DC 바이어스, 예를 들어, DC 바이어스 전압, 등의 측정치를 제공한다. 에지 링 (110) 의 RF 전력은 에지 영역 (102) 내 플라즈마의 RF 전력에 기초한다. 측정 센서 (354) 는 전송 케이블 (310) 을 통해 호스트 컴퓨터 시스템 (306) 에 연결된다.
DC 바이어스 프로브 (352) 는 전기 신호를 생성하도록 에지 링 (110) 의 DC 바이어스 전압을 센싱하고 DC 바이어스 전압은 에지 영역 (102) 의 플라즈마의 RF 전력에 의해 유도된다. 전기 신호는 전기 신호에 기초하여 DC 바이어스 전압을 측정하는, 측정 센서 (354) 로 케이블 (356) 을 통해 전송된다. 측정된 DC 바이어스 전압의 양은 측정 센서 (354) 로부터 전송 케이블 (310) 을 통해 호스트 컴퓨터 시스템 (306) 으로 데이터로서 전송된다.
호스트 컴퓨터 시스템 (306) 의 프로세서는 측정된 DC 바이어스 전압에 기초하여 IMC (108) 에 커플링되는 x ㎒ RF 생성기 또는 x1 ㎑ RF 생성기에 의해 공급될 전력량을 결정한다. 예를 들어, DC 바이어스 전압과 x ㎒ RF 생성기 또는 x1 ㎑ RF 생성기에 의해 공급되는 전력량 간의 대응관계, 예를 들어, 1 대 1 관계, 연관, 맵핑, 등이 프로세서에 커플링되는 메모리 디바이스에 저장된다. 호스트 컴퓨터 시스템 (306) 의 프로세서는 측정된 DC 바이어스 전압이 달성될 미리 결정된 DC 바이어스 전압과 매칭하지 않는다거나 달성될 미리 결정된 DC 바이어스 전압으로부터의 미리 결정된 범위 내에 있지 않다고 측정된 DC 바이어스 전압으로부터 결정한다. 프로세서는 미리 결정된 DC 바이어스 전압과 x ㎒ RF 생성기 또는 x1 ㎑ RF 생성기에 의해 공급될 전력량 간의 대응관계에 기초하여 전력량을 결정한다. 프로세서는 이 전력량이 x ㎒ RF 생성기 또는 x1 ㎑ RF 생성기에 의해 공급된다는 것을 x ㎒ RF 생성기 또는 x1 ㎑ RF 생성기에 나타내는 제어 신호를 생성한다.
이 전력량의 수신시, x ㎒ RF 생성기 또는 x1 ㎑ RF 생성기는 이 전력량을 갖는 RF 신호를 생성하고, RF 케이블 (126) 을 통해 IMC (108) 로 이 전력량을 갖는 RF 신호를 공급한다. IMC (108) 는 IMC (108) 에 커플링된 부하의 임피던스를 x ㎒ RF 생성기 또는 x1 ㎑ RF 생성기로부터 수신된 RF 신호로부터 수정된 RF 신호를 생성하도록 IMC (108) 에 커플링된 소스의 임피던스와 매칭한다. 수정된 RF 신호는 RF 필터 (208), RF 필터 (208) 에 커플링된 피드 링, 및 동축 케이블 (220) 을 통해 전극 (202) 으로 제공된다. 전극 (202) 과 에지 영역 (110) 간의 커패시턴스는 전극 (202) 이 에지 영역 (102) 내 이온 플럭스의 방향을 더 수정하기 위해 에지 영역 (102) 내 플라즈마의 임피던스를 변화시키도록 수정된 RF 신호를 수신할 때 변화된다.
도 3d는 에지 영역 (102) 내의 이온 플럭스의 방향성을 더 제어하기 위해 에지 영역 (102) 내의 플라즈마의 임피던스를 제어하도록 RF 필터 (207) 를 튜닝하기 위한 DC 바이어스 전압의 사용을 예시하기 위한 시스템 (370) 의 실시예의 도면이다. 시스템 (370) 은, 시스템 (370) 이 평면형 이온 플럭스 프로브 (302) (도 3b) 및 측정 센서 (304) (도 3b) 대신 측정 센서 (354), 및 DC 바이어스 프로브 (352) 를 포함하는 것을 제외하고 시스템 (320) (도 3b) 과 동일하다. 도 3c를 참조하여 상기 설명된 바와 같이, 측정 센서 (354) 는 전송 케이블 (310) 을 통해 호스트 컴퓨터 시스템 (306) 으로 측정된 DC 바이어스 전압을 출력한다.
호스트 컴퓨터 시스템 (306) 의 프로세서는 측정된 DC 바이어스 전압에 기초하여 전력 공급부 (328) 에 의해 공급될 전력량을 결정한다. 예를 들어, DC 바이어스 전압과 전력 공급부 (328) 에 의해 공급되는 전력량 간의 대응관계, 예를 들어, 1 대 1 관계, 연관, 맵핑, 등이 프로세서에 커플링되는 메모리 디바이스에 저장된다. 호스트 컴퓨터 시스템 (306) 의 프로세서는 측정된 DC 바이어스 전압이 달성될 미리 결정된 DC 바이어스 전압과 매칭하지 않는다거나 달성될 미리 결정된 DC 바이어스 전압으로부터의 미리 결정된 범위 내에 있지 않다고 측정된 DC 바이어스 전압으로부터 결정한다. 프로세서는 미리 결정된 DC 바이어스 전압과 전력 공급부 (328) 에 의해 공급될 전력량 간의 대응관계에 기초하여 전력량을 결정한다. 프로세서는 이 전력량이 전력 공급부 (328) 에 의해 공급된다는 것을 전력 공급부 (328) 에 나타내는 제어 신호를 생성한다.
제어 신호는 전송 케이블 (324) 을 통해 전력 공급부 (328) 로 전송된다. 도 3b를 참조하여 상기 기술된 바와 같이, 이 전력량의 수신시, 전력 공급부 (328) 는 이 전력량을 생성하고, 케이블 (330) 을 통해 모터 (322) 로 이 전력량을 공급하고, 모터 (322) 는 RF 필터 (207) 의 파라미터를 변화시키도록 회전하고, 파라미터의 변화는 전극 (202) 과 에지 링 (110) 간의 커패시턴스를 변화시킨다. 전극 (202) 과 에지 링 (110) 간의 커패시턴스는 에지 영역 (102) 내 이온 플럭스의 방향성을 더 변화시키기 위해 에지 영역 (102) 내의 플라즈마의 임피던스를 변화시키도록 변화된다.
일부 실시예들에서, 전류, 예를 들어, 복소 전류, 등, 또는 전압, 예를 들어, DC 바이어스 전압, 복소 전압, 등은 본 명세서에서 가변성으로 참조된다.
도 4a는 커플링 링 (112) (도 1) 내에 임베딩되는 메시 전극 (402) 의 실시예의 도면이다. 메시 전극 (402) 은 네트-형 구조체를 형성하기 위한 복수의 교차하는 배선들을 포함하고, 전극 (202) (도 2a) 의 예이다. 메시 전극 (402) 은 금속, 예를 들어, 알루미늄, 구리, 등으로 이루어진다.
도 4b는 전극 (202) (도 2a) 의 예인 링 형상 전극 (404) 의 실시예의 도면이다. 링 형상 전극 (404) 은 구조가 튜브형이거나 구조가 편평, 예를 들어, 플레이트-형, 등이다. 링 형상 전극 (404) 은 금속, 예를 들어, 알루미늄, 구리, 등으로 이루어진다.
도 5는 피드 링 (502) 의 부분 및 이 부분과 전력 핀 (204) 사이의 연결부를 예시하기 위한 플라즈마 챔버 (500) 의 실시예의 도면이다. 플라즈마 챔버 (500) 는 플라즈마 챔버 (104) (도 1) 의 예이다. 피드 링 (502) 은 일 단부 (506) 에서 RF 송신 선 (122) (도 1) 의 RF 로드 (504) 에 연결되고 반대편 단부 (508) 에서 전력 핀 (204) 의 동축 케이블 (220) 에 연결된다. 플라즈마 챔버 (500) 는 RF 송신 선 (124) (도 1) 의 RF 로드 (510) 를 포함한다. RF 로드 (510) 는 RF 실린더 (512) 내에 위치되고, RF 실린더 (512) 는 이의 하단 부분에서 또 다른 RF 실린더 (514) 에 의해 둘러싸인다.
RF 송신 선 (122) 을 통해 IMC (108) 로부터 전송되는 수정된 RF 신호는 RF 송신 선 (122) 의 RF 로드 (504) 및 피드 링 (502) 으로의 단부 (506) 를 통해 전송된다. 수정된 RF 신호의 일부는 단부 (506) 로부터 전극 (202) 과 에지 링 (110) 사이에 용량성 결합을 제공하기 위한 커플링 링 (112) 내에 임베딩된 전극 (202) 으로 단부 (508) 및 동축 케이블 (220) 을 통해 전송된다.
패시브 전력이 전극 (202) 으로 제공되는 일부 실시예들에서, RF 로드 (504) 는 RF 송신 선 (122) (도 1) 이 아니라 RF 송신 선 (254) 의 로드이다. RF 송신 선 (254) 은 RF 필터 (207) 를 RF 필터 (208) (도 2b) 에 커플링한다.
다양한 실시예들에서, RF 필터 (208) 는 RF 송신 선 (254) 의 RF 로드 (504) 에 커플링되고 피드 링 (502) 에 커플링된다. 예를 들어, 패시브 RF 전력이 RF 필터 (207) 에 연결되는 접지로부터 전극 (202) 을 향해 흐르는 실시예에서, RF 필터 (208) 의 입력부는 RF 로드 (504) 에 커플링되고 RF 필터 (208) 의 출력부는 피드 링 (502) 에 커플링된다. 다른 예로서, 에지 영역 (102) 으로부터의 패시브 RF 전력이 RF 필터 (207) 에 커플링되는 접지로 흐르는 실시예에서, RF 필터 (208) 의 입력부는 피드 링 (502) 에 커플링되고 RF 필터 (208) 의 출력부는 RF 로드 (504) 에 커플링된다. 또 다른 예로서, RF 필터 (208) 는 암 (716) 의 단부 (506) 에 커플링되고 RF 로드 (504) 에 커플링된다.
액티브 전력이 사용되는 실시예에서, RF 필터 (208) 의 입력부는 IMC (108) (도 2a) 에 더 커플링되는 RF 로드 (504) 에 커플링되고 RF 필터 (208) 의 출력부는 피드 링 (502) 에 커플링된다.
도 6은 플라즈마 챔버의 남아 있는 컴포넌트들에 대해 전극 (202) 의 위치를 예시하기 위한 플라즈마 챔버 (104) (도 1) 의 예인, 플라즈마 챔버의 부분 (650) 의 실시예의 도면이다. 부분 (650) 은 플라즈마 챔버의 절연체 링 (652) 을 포함한다. 절연체 링 (652) 은 절연체 링 (604) 의 일부를 둘러싸고 절연체 링 (652) 의 일부는 절연체 링 (604) 아래에 위치된다. 절연체 링 (604) 은 또 다른 절연체 링 (654) 의 아래에 위치된다.
절연체 링 (654) 은 커플링 링 (112) 에 인접하고, 에지 링 (110) 을 둘러싸는 절연체 링 (612) 아래에 있다. 커플링 링 (112) 은 척 (114) 에 인접하다. 에지 링 (110) 은 커플링 링 (112) 의 부분 (608) 의 상단부 상에 놓인다. 커플링 링 (112) 의 부분 (608) 은, 용량 결합이 전극 (202) 과 에지 링 (110) 사이에 확립되도록, 전극 (202) 과 에지 링 (110) 의 하부 표면 사이의 유전체 같이 작용한다. 부분 (608) 은 에지 링 (110) 과 커플링 링 (112) 의 남아 있는 부분 (606) 사이에 유전체를 생성한다. 절연체 링 (612) 은 접지에 커플링된 이동식 접지 링 (614) 에 의해 둘러싸인다. 이동식 접지 링 (614) 은, 또한 접지에 커플링되는 고정된 접지 링 (616) 의 상단부 상에 위치된다.
절연체 링 (654) 은 내측 측면 상의 척 (114), 설비 플레이트 (224), 및 커플링 링 (112) 및 외측 측면 상의 고정된 접지 링 (616) 에 인접하게 위치된다. 더욱이, 절연체 링 (604) 은 척 (114) 을 지지하는 설비 플레이트 (224) 아래에 위치된다. 고정된 접지 링 (616) 은 절연체 링 (654) 및 절연체 링 (652) 의 상단부에 인접하고 둘러싼다.
한정 링들 (238) (도 2a 및 도 2b) 은 한정 링 부분 (656) 및 한정 링 수평 부분 (658), 예를 들어, 슬롯팅된 링, 등을 포함한다. 상부 전극 (121) 은 상부 전극 연장부 (660) 에 의해 둘러싸인다.
상부 전극 (121) 과 척 (114) 사이에 형성된 갭 (232) 은 상부 전극 (121), 상부 전극 연장부 (660), 한정 링 부분 (656), 한정 링 수평 부분 (658), 절연체 링 (612), 에지 링 (110), 및 척 (114) 에 의해 둘러싸인다.
커플링 링 (112) 은 에지 링 (110), 절연체 링 (654), 및 척 (114) 에 의해 둘러싸인다. 예를 들어, 커플링 링 (112) 은 척 (114), 에지 링 (110), 및 절연체 링 (654) 에 인접하다. 또 다른 예로서, 에지 링 (110) 은 전극 (202) 이 임베딩되는 커플링 링 (112) 의 상단부에 위치되고, 척 (114) 은 커플링 링 (112) 의 내측 측면에 인접하게 위치되고, 절연체 링 (654) 은 커플링 링 (112) 의 외측 측면에 인접하게 위치된다. 동축 케이블 (220) 은 절연체 링 (604) 및 커플링 링 (112) 의 부분 (606) 내에 위치된 전극 (202) 에 연결되는 절연체 링 (654) 을 통과한다.
도 7은 RF 로드 (504) 에 커플링되는 피드 링 (502) 을 예시하기 위한 시스템 (700) 의 실시예의 도면이다. 피드 링 (502) 은 복수의 암들 (710, 712, 714, 및 716) 에 연결되는 원형 부분 (708) 을 포함한다. 원형 부분 (708) 은 편평하거나 링-형상이다. 암 (716) 은 단부 (506) 에서 RF 로드 (504) 에 연결되고 반대편 단부 (718) 에서 원형 부분 (708) 에 연결된다. 예를 들어, 암 (716) 은 피팅 메커니즘, 예를 들어, 스크루, 볼트, 클램프, 너트, 또는 이들의 조합, 등을 통해 단부 (506) 에서 RF 로드 (504) 에 피팅된다. 유사하게, 암 (710) 은 단부 (720) 에서 전력 핀 (702) 에 연결된다. 예를 들어, 암 (710) 은 단부 (720) 에서 피팅 메커니즘을 통해 전력 핀 (702) 에 피팅된다. 전력 핀 (702) 은 전력 핀 (204) 과 구조 및 기능이 동일하다. 예를 들어, 전력 핀 (702) 은 동축 케이블 및 동축 케이블의 적어도 일부를 둘러싸는 슬리브를 포함한다. 암 (710) 은 반대편 단부 (722) 에서 원형 부분 (708) 에 연결된다.
더욱이, 암 (712) 은 단부 (724) 에서, 전력 핀 (204) 과 구조 및 기능이 동일한 전력 핀 (704) 에 연결된다. 예를 들어, 전력 핀 (704) 은 동축 케이블 및 동축 케이블의 적어도 일부를 둘러싸는 슬리브를 포함한다. 예로서, 암 (712) 은 단부 (724) 에서 피팅 메커니즘을 통해 전력 핀 (704) 에 피팅된다. 암 (712) 은 반대편 단부 (726) 에서 원형 부분 (708) 에 연결된다.
게다가, 암 (714) 은 단부 (508) 에서 전력 핀 (204) 에 연결된다. 암 (714) 은 반대편 단부 (728) 에서 원형 부분 (708) 에 연결된다. 암 (710) 은 원형 부분 (708) 으로부터 전력 핀 (702) 의 동축 케이블에 연결하도록 연장하고, 암 (712) 은 원형 부분 (708) 으로부터 전력 핀 (704) 의 동축 케이블에 연결하도록 연장하고, 그리고 암 (714) 은 원형 부분 (708) 으로부터 전력 핀 (204) 의 동축 케이블 (220) 에 연결하도록 연장한다. 전력 핀 (702), 예를 들어 전력 핀 (702) 의 동축 케이블, 등은 지점 (730) 에서 커플링 링 (112) 에 임베딩된 전극 (202) 에 연결된다. 더욱이, 전력 핀 (704), 예를 들어 전력 핀 (704) 의 동축 케이블, 등은 지점 (732) 에서 전극 (202) 에 연결되고, 그리고 전력 핀 (204), 예를 들어, 동축 케이블 (220), 등은 지점 (734) 에서 전극 (202) 에 연결된다.
RF 로드 (504) 및 임피던스 매칭 회로 (108) (도 1) 를 통해 수신되는 수정된 RF 신호는 암 (716) 을 통해 원형 부분 (708) 으로 전송되고, 암들 (710, 712, 및 714) 사이에서 분할된다. 수정된 RF 신호의 전력의 일부는 암 (710) 및 전력 핀 (702), 예를 들어 전력 핀 (702) 의 동축 케이블, 등을 통해 전극 (202) 을 통과하고, 수정된 RF 신호의 전력의 다른 부분은 암 (712) 및 전력 핀 (704), 예를 들어 전력 핀 (704) 의 동축 케이블, 등을 통해, 전극 (202) 을 통과하고, 그리고 전력의 또 다른 부분은 암 (714) 및 전력 핀 (204), 예를 들어, 동축 케이블 (220), 등을 통해 전극 (202) 을 통과한다.
일부 실시예들에서, 피드 링 (502) 은 원형 부분 (708) 으로부터 커플링 링 (112) 내 전극 (202) 에 연결되도록 연장하는 임의의 다른 수, 예를 들어, 2, 1, 4, 5, 등의 암들을 포함한다.
다양한 실시예들에서, 원형 부분 (708) 대신, 또 다른 형상, 예를 들어, 타원형, 다각형, 등의 부분이 사용된다.
도 8a는 전극 (202) (도 2a) 에 공급되는 전력량의 변화와 함께 플라즈마 챔버 (104) 내에서 프로세싱되는 웨이퍼의 정규화된 에칭 레이트의 변화를 예시하기 위한 그래프 (800) 의 실시예이다. 웨이퍼는 기판 (120) (도 1) 의 예이다. 그래프 (800) 는 플라즈마 챔버 (104) (도 1) 의 척 (114) 에 x1 ㎑ 생성기 및 z ㎒ RF 생성기로부터 IMC (113) (도 1) 를 통해 RF 전력이 공급될 때, 그리고 전극 (202) 에 x ㎒ RF 생성기로부터 IMC (108) (도 1) 를 통해 RF 전력이 공급될 때, 정규화된 에칭 레이트 대 웨이퍼 반경을 플롯팅한다.
그래프 (800) 는 3 개의 플롯들 (802, 804, 및 806) 을 포함한다. 플롯 (802) 은 x ㎒ RF 생성기의 RF 전력량 P1이 IMC (108) 를 통해 전극 (202) 에 공급될 때 생성된다. 플롯 (804) 은 x ㎒ RF 생성기의 RF 전력량 P2가 IMC (108) 를 통해 전극 (202) 에 공급될 때 생성되고, 플롯 (806) 은 x ㎒ RF 생성기의 RF 전력량 P3이 IMC (108) 를 통해 전극 (202) 에 공급될 때 생성된다. 전력 P3은 전력 P2보다 크고, 전력 P2는 전력 P1보다 크다.
도 8b는 전극 (202) 에 공급되는 전력량의 변화와 함께 이온 플럭스의 방향성의 변화를 예시하기 위한 플라즈마 챔버 (104) (도 1) 의 일부의 도면이다. 전력량 P1이 전극 (202) 에 공급될 때, 이온 플럭스 (810) 의 방향성 (812a) 은 이온들이 기판 (120) 을 향해 수직으로 지향되는 대신, 커플링 링 (112) 의 직경에 수직인, 90 도 이온 입사 각도에 대해 네거티브 각도 -θ로 지향된다. 각도 θ는 커플링 링 (112) 의 직경에 수직인 수직 축에 대해 측정된다. 이는 에지 영역 (102) 에서 기판 (120) 의 에칭의 에칭 레이트를 상승시킨다.
더욱이, 전력량 P2이 전극 (202) 에 공급될 때, 이온 플럭스 (810) 의 방향성 (812b) 은 이온들이 수직으로 지향되는, 예를 들어 θ = 0이다. 전력 P2는 전력 P1에 비해 에지 링 (110) 의 전압을 상승시킨다. 이는 전력량 P1이 공급될 때와 비교하여 에지 영역 (102) 에서 기판 (120) 의 에칭의 에칭 레이트를 감소시킨다. 에칭 레이트는 에지 영역 (102) 에서 균일한 에칭 레이트를 달성하고 에지 영역 (102) 에서 편평한 플라즈마 시스를 달성하도록 감소된다. 예를 들어, 웨이퍼 위 플라즈마 시스와 에지 링 (110) 위 플라즈마 시스의 레벨들 간에 차이가 거의 없거나 전혀 없다.
또한, 전력량 P3이 전극 (202) 에 공급될 때, 이온 플럭스 (810) 의 방향성 (812c) 은 이온들이 기판 (120) 을 향해 수직으로 지향되는 대신 포지티브 각도 θ로 지향된다. 이는 전력량 P2가 공급될 때와 비교하여 에지 영역 (102) 에서 기판 (120) 의 에칭의 에칭 레이트를 감소시킨다. 전극 (202) 에 공급된 전력량을 제어함으로써, 이온 플럭스 (810) 의 방향성은 전력 핀 (204) (도 2a) 및 전극 (202) 을 통해 제어된다.
일부 실시예들에서, 전극 (202) 에 의해 공급되는 전력량을 상승시키는 대신, RF 필터 (207) (도 2b) 의 커패시턴스의 양은 이온 플럭스 (810) 의 방향성을 제어하기 위해 각도 θ를 네거티브 값으로부터 0으로 또한 포지티브 값으로 변화시키도록 상승된다.
도 9a는 RF 필터 (207) (도 2b) 의 커패시턴스의 변화와 함께 기판 (120) (도 1) 을 에칭하는 에칭 레이트의 변화를 예시하기 위한 그래프 (900) 의 실시예이다. 그래프 (900) 는 RF 필터 (207) 의 커패시턴스들의 다양한 값들에 대한 정규화된 에칭 레이트 대 웨이퍼의 반경을 플롯팅한다. RF 필터 (207) 의 커패시턴스가 상승함에 따라, 에지 영역 (102) (도 1) 에서 에칭 레이트의 보다 큰 균일도를 달성하기 위해 웨이퍼의 에칭 레이트가 감소된다.
도 9b는 에지 링 (110) (도 1) 의 피크 전압 대 RF 필터 (207) (도 2b) 의 커패시턴스를 플롯팅하는 그래프 (902) 의 실시예이다. RF 필터 (207) 의 커패시턴스가 상승함에 따라, 에지 링 (110) 의 피크 전압은 이온 플럭스 (810) (도 8B) 의 방향성이 네거티브 θ로부터 0으로 포지티브 θ로 변화되도록 상승된다.
도 10은 마스터 RF 생성기 (1014) 와 슬레이브 RF 생성기 (1012) 사이의 동기화를 예시하기 위한 시스템 (1000) 의 실시예의 도면이다. 시스템 (1000) 은 마스터 RF 생성기 (1014), 슬레이브 RF 생성기 (1012), IMC (108), IMC (113), RF 생성기 (1002), 또 다른 RF 생성기 (1018), 변수 센서 (1020), 변수 센서 (1022), 척 (114), 및 에지 전극 (1016) 을 포함한다. 에지 전극 (1016) 의 예는 커플링 링 (112) 또는 에지 링 (110) (도 1), 또는 이들의 조합, 등이다. 예를 들어, 에지 전극 (1016) 은 커플링 링 (112) 의 상단 상의 에지 링 (110) 의 스택을 포함한다. 일부 실시예들에서, 에지 전극 (1016) 이 스택을 포함할 때, 에지 전극 (1016) 은 에지 전극 스택으로서 본 명세서에서 지칭된다. 에지 전극 (1016) 은 에지 영역 (102) 내에 형성된 플라즈마의 플라즈마 시스를 제어하기 위한 척 (114) 을 둘러싼다. 척 (114) 은 때때로 본 명세서에서 메인 전극으로서 지칭된다. 변수 센서 (1020) 및 변수 센서 (1022) 각각의 예들은 복소 전압 센서, 또는 복소 전력 센서, 또는 복소 전류 센서, 또는 복소 전압 및 전류 센서, 또는 복소 임피던스 센서를 포함한다.
마스터 RF 생성기 (1014) 는 척 (114) 으로 전력을 공급하는 RF 생성기들의 동작 주파수들 중에서 가장 낮은 동작 주파수를 갖고 그래서 LF (low frequency) 생성기이다. 예를 들어, 마스터 RF 생성기 (1014) 에 의해 생성된 RF 신호의 주파수는 또한 RF 신호를 IMC (108) 를 통해 척 (114) 으로 공급하는 RF 생성기 (1002) 의 주파수보다 낮은 주파수이다. 예시를 위해, 마스터 RF 생성기 (1014) 는 x1 ㎑의 동작 주파수를 갖고 그리고 RF 생성기 (1002) 는 x ㎒ 또는 y ㎒ 또는 z ㎒의 동작 주파수를 갖는다. 또 다른 예시로서, 마스터 RF 생성기 (1014) 는 x ㎒의 동작 주파수를 갖고 그리고 RF 생성기 (1002) 는 y ㎒ 또는 z ㎒의 동작 주파수를 갖는다. x ㎒ 주파수가 2 ㎒이고 그리고 z ㎒ 주파수가 60 ㎒일 때 y ㎒ 주파수의 예는 27 ㎒이다. 유사하게, 슬레이브 RF 생성기 (1012) 는 에지 전극 (1016) 으로 전력을 공급하는 RF 생성기들의 동작 주파수들 중에서 가장 낮은 주파수를 갖고 그래서 LF 생성기이다. 예를 들어, 슬레이브 RF 생성기 (1012) 에 의해 생성된 RF 신호의 주파수는 또한 RF 신호를 IMC (113) 를 통해 에지 전극 (1016) 으로 공급하는 RF 생성기 (1018) 의 주파수보다 낮은 주파수이다. 예시를 위해, 슬레이브 RF 생성기 (1012) 는 x1 ㎑의 동작 주파수를 갖고 그리고 RF 생성기 (1018) 는 x ㎒ 또는 y ㎒ 또는 z ㎒의 동작 주파수를 갖는다. 또 다른 예시로서, 슬레이브 RF 생성기 (1012) 는 x ㎒의 동작 주파수를 갖고 그리고 RF 생성기 (1018) 는 y ㎒ 또는 z ㎒의 동작 주파수를 갖는다.
마스터 RF 생성기 (1014) 및 RF 생성기 (1002) 는 IMC (108) 의 입력부들 (1038 및 1040) 에 커플링되고 그리고 IMC (108) 의 출력부 (1008) 는 RF 전송 선 (124) 을 통해 척 (114) 에 커플링된다. 예를 들어, 마스터 RF 생성기 (1014) 는 RF 케이블 (1036) 을 통해 IMC (108) 의 입력부 (1040) 에 커플링되고 그리고 RF 생성기 (1002) 는 RF 케이블 (1034) 을 통해 IMC (108) 의 입력부 (1038) 에 커플링된다. 유사하게, 슬레이브 RF 생성기 (1012) 및 RF 생성기 (1018) 는 IMC (113) 의 입력부들 (1042 및 1044) 에 커플링되고 그리고 IMC (113) 의 출력부 (1010) 는 RF 전송 선 (122) 을 통해 에지 전극 (1016) 에 커플링된다. 예를 들어, 슬레이브 RF 생성기 (1012) 는 RF 케이블 (1046) 을 통해 IMC (113) 의 입력부 (1042) 에 커플링되고 그리고 RF 생성기 (1018) 는 RF 케이블 (1048) 을 통해 IMC (113) 의 입력부 (1044) 에 커플링된다. 변수 센서 (1020) 는 RF 전송 선 (124) 상의 지점 (1004) 에서 커플링되고 그리고 변수 센서 (1022) 는 RF 전송 선 (122) 상의 지점 (1006) 에서 커플링된다. 변수 센서 (1020) 는 전송 케이블 (1030) 을 통해 슬레이브 RF 생성기 (1012) 에 커플링되고 그리고 변수 센서 (1022) 는 전송 케이블 (1032) 을 통해 슬레이브 RF 생성기 (1012) 에 커플링된다. 마스터 RF 생성기 (1014) 는 전송 케이블 (1050) 을 통해 슬레이브 RF 생성기 (1012) 에 커플링된다.
RF 생성기 (1002) 및 마스터 RF 생성기 (1014) 는 RF 신호들을 생성한다. 마스터 RF 생성기 (1014) 에 의해 생성된 RF 신호는 마스터 RF 생성기 (1014) 의 동작 주파수로부터 미리 설정된 한계값 내, 마스터 RF 생성기 (1014) 의 동작 주파수와 동일한, 마스터 RF 생성기 (1014) 의 동작 주파수로부터 미리 저장된 한계값 내, 등의 주파수를 갖는다. 마스터 RF 생성기 (1014) 에 의해 생성된 RF 신호는 RF 케이블 (1036) 및 입력부 (1040) 를 통해 IMC (108) 에 공급된다. 유사하게, RF 생성기 (1002) 에 의해 생성된 RF 신호는 RF 케이블 (1034) 및 입력부 (1038) 를 통해 IMC (108) 에 공급된다. IMC (108) 는 수정된 RF 신호를 생성하도록 IMC (108) 의 출력부 (1008) 에 커플링된, 부하, 예를 들어, 전송 선 (124) 및 척 (114), 등의 임피던스와 IMC (108) 의 입력부들 (1034 및 1036) 에 커플링된, 소스, 예를 들어, RF 케이블들 (1034 및 1036), 및 RF 생성기들 (1002 및 1014) 의 임피던스를 매칭한다. 수정된 RF 신호는 플라즈마 챔버 (104) (도 1) 내에 플라즈마를 생성하거나 유지하기 위한 하나 이상의 프로세스 가스들과 함께 RF 전송 선 (124) 을 통해 척 (114) 으로 공급된다.
마스터 RF 생성기 (1014) 는 마스터 RF 생성기 (1014) 의 동작 주파수를 전송 케이블 (1050) 을 통해 슬레이브 RF 생성기 (1012) 로 제공한다. 슬레이브 RF 생성기 (1012) 는 마스터 RF 생성기 (1014) 의 동작 주파수를 수신하고 그리고 마스터 RF 생성기 (1014) 의 동작 주파수로부터 미리 결정된 범위 내, 예를 들어, 마스터 RF 생성기 (1014) 의 동작 주파수와 동일한, 마스터 RF 생성기 (1014) 의 동작 주파수로부터 미리 저장된 주파수 범위 내, 등의 주파수를 갖는 RF 신호를 생성하기로 결정한다. 예를 들어, 슬레이브 RF 생성기 (1012) 는 마스터 RF 생성기 (1014) 의 동작 주파수를 수신하고 그리고 슬레이브 RF 생성기 (1012) 의 동작 주파수를 마스터 RF 생성기 (1014) 의 동작 주파수로부터 미리 결정된 범위 내에 있도록 수정한다.
RF 생성기 (1018) 및 슬레이브 RF 생성기 (1012) 는 RF 신호들을 생성한다. 슬레이브 RF 생성기 (1012) 에 의해 생성된 RF 신호는 미리 결정된 범위 내에 있는 주파수를 갖고 그리고 RF 케이블 (1046) 및 입력부 (1042) 를 통해 IMC (113) 로 공급된다. 유사하게, RF 생성기 (1018) 에 의해 생성된 RF 신호는 RF 케이블 (1048) 및 입력부 (1044) 를 통해 IMC (113) 로 공급된다. IMC (113) 는 수정된 RF 신호를 생성하도록 IMC (113) 의 출력부 (1010) 에 커플링되는, 부하, 예를 들어, RF 전송 선 (122) 및 에지 전극 (1016), 등의 임피던스와 IMC (113) 의 입력부들 (1042 및 1044) 에 커플링되는, 소스, 예를 들어, RF 케이블들 (1046 및 1048), 및 RF 생성기들 (1012 및 1018), 등의 임피던스를 매칭한다. 수정된 RF 신호는 플라즈마 챔버 (104) (도 1) 의 에지 영역 (102) 내의 플라즈마 시스를 제어하기 위해 RF 전송 선 (122) 을 통해 에지 전극 (1016) 으로 공급된다.
일단, RF 신호가 슬레이브 RF 생성기 (1012) 에 의해 생성되고 그리고 수정된 RF 신호가 RF 전송 선 (122) 을 통해 에지 전극 (1016) 으로 전송된다면, 예를 들어, 그 후에, 등에, 변수 센서 (1022) 는 IMC (113) 의 출력부 (1010) 와 연관된, 예를 들어, 출력부 (1010) 에서 측정된, RF 전송 선 (122) 상의 지점에서 측정된, 등의 변수, 예를 들어, 복소 전력, 복소 전압, 복소 전류, 복소 임피던스, 등의 값을 측정하고, 그리고 이 값을 전송 케이블 (1032) 을 통해 슬레이브 RF 생성기 (1012) 로 제공한다. 복소 변수는 복소 변수의 크기, 예를 들어, 진폭, 등 및 복소 변수의 위상을 포함한다는 것이 주의되어야 한다. 게다가, RF 신호가 마스터 RF 생성기 (1014) 에 의해 생성되고 그리고 수정된 RF 신호가 RF 전송 선 (124) 을 통해 척 (114) 으로 전송된 후에, 변수 센서 (1020) 는 출력부 (1008) 와 연관된, 예를 들어, IMC (108) 의 출력부 (1008) 에서 측정된, RF 전송 선 (124) 상의 지점에서 측정된 변수의 값을 측정하고, 그리고 이 값을 전송 케이블 (1030) 을 통해 슬레이브 RF 생성기 (1012) 로 제공한다.
슬레이브 RF 생성기 (1012) 는 변수 센서 (1022) 에 의해 측정된 변수의 값 내의 위상과 변수 센서 (1020) 에 의해 측정된 변수의 값 내의 위상을 비교한다. 비교에 응답하여, 변수 센서 (1022) 에 의해 측정된 변수의 값 내의 위상이 변수 센서 (1020) 에 의해 측정된 변수의 값의 위상으로부터 미리 설정된 범위 내, 예를 들어, 변수 센서 (1020) 에 의해 측정된 변수의 값의 위상과 동일, 변수 센서 (1020) 에 의해 측정된 변수의 값의 위상으로부터 미리 저장된 위상 범위 내에 있도록 슬레이브 RF 생성기 (1012) 는 슬레이브 RF 생성기 (1012) 에 의해 생성된 RF 신호의 위상을 수정한다. 예시를 위해, 비교에 기초하여, RF 신호의 위상이 변수 센서 (1020) 에 의해 측정된 변수의 값 내의 위상으로부터 미리 설정된 범위 내에 있도록 슬레이브 RF 생성기 (1012) 는 슬레이브 RF 생성기 (1012) 에 의해 생성된 RF 신호의 위상을 변화시킨다.
일단 슬레이브 RF 생성기 (1012) 가 슬레이브 RF 생성기 (1012) 의 동작 주파수를 마스터 RF 생성기 (1014) 의 동작 주파수로부터 미리 결정된 범위 내에 있도록 수정하고 그리고 RF 신호의 위상이 변수 센서 (1020) 에 의해 측정된 변수의 위상으로부터 미리 설정된 범위 내에 있도록 슬레이브 RF 생성기 (1012) 에 의해 생성된 RF 신호의 위상을 변화시킨다면, 슬레이브 RF 생성기 (1012) 는 RF 신호의 변수, 예를 들어, 복소 전력, 복소 전압, 복소 전류, 등의 크기를 결정한다. 예를 들어, 슬레이브 RF 생성기 (1012) 의 동작 주파수가 마스터 RF 생성기 (1014) 의 동작 주파수와 매칭되고 그리고 IMC (113) 의 출력부 (1010) 와 연관된 변수의 위상이 IMC (108) 의 출력부 (1008) 와 연관된 변수의 위상과 매칭하도록 슬레이브 RF 생성기 (1012) 에 의해 수정될 RF 신호의 위상이 조정된 후에, 슬레이브 RF 생성기 (1012) 는 슬레이브 RF 생성기 (1012) 에 의해 수정될 RF 신호의 변수의 크기를 결정하도록 슬레이브 RF 생성기 (1012) 내의 메모리 디바이스 내의 인자에 액세스한다. 인자의 예들은 에지 영역 (102) 내의 플라즈마 시스의 틸팅, 에지 전극 (1016) 의 웨이퍼 DC 바이어스, 또는 기판 (120) 의 상단 표면에서의 원들의 콘택트의 타원율 (ellipticity), 기판 (120) 을 에칭하는 에칭 레이트, 기판 (120) 상에 재료들을 증착하는 증착 레이트, 등을 포함한다.
예로서, 슬레이브 RF 생성기 (1012) 에 의해 생성된 RF 신호의 변수의 크기는 출력부들 (1008 및 1010) 과 연관된 변수와 상이한 타입의 크기임이 주의되어야 한다. 예시를 위해, 출력부들 (1008 및 1010) 과 연관된 변수가 전압일 때, 슬레이브 RF 생성기 (1012) 에 의해 생성된 RF 신호의 변수의 크기는 전력 진폭이다. 또 다른 예시로서, 출력부들 (1008 및 1010) 과 연관된 변수가 전력일 때, 슬레이브 RF 생성기 (1012) 에 의해 생성된 RF 신호의 변수의 크기는 전압 진폭이다. 또 다른 예로서, 슬레이브 RF 생성기 (1012) 에 의해 생성된 RF 신호의 변수의 크기는 출력부들 (1008 및 1010) 과 연관된 변수와 동일한 타입이다. 예시를 위해, 출력부들 (1008 및 1010) 과 연관된 변수가 전력일 때, 슬레이브 RF 생성기 (1012) 에 의해 생성된 RF 신호의 변수의 크기는 전력 진폭이다.
변수의 크기는 슬레이브 RF 생성기 (1012) 로부터 RF 케이블 (1046) 및 IMC (113) 의 입력부 (1042) 를 통해 제공되는 RF 신호를 생성하도록 슬레이브 RF 생성기 (1012) 에 의해 적용된다. 게다가, RF 생성기 (1018) 는 RF 신호를 생성하고 그리고 RF 신호를 RF 케이블 (1048) 및 입력부 (1044) 를 통해 IMC (113) 로 공급한다. IMC (113) 는 수정된 RF 신호를 생성하도록 IMC (113) 의 출력부 (1010) 에 커플링되는 부하의 임피던스와 IMC (113) 의 입력부들 (1042 및 1044) 에 커플링되는 소스의 임피던스를 매칭한다. 수정된 RF 신호는 에지 영역 (102) 에서 플라즈마 시스와 연관된 인자를 제어하기 위해 RF 전송 선 (124) 을 통해 에지 전극 (1016) 으로 전송된다.
다양한 실시예들에서, 변수 센서 (1020) 는 출력부 (1008) 에 연결되고 그리고 변수 센서 (1022) 는 출력부 (1010) 에 연결된다. 일부 실시예들에서, 변수 센서 (1020) 는 출력부 (1008) 와 척 (114) 사이의 RF 전송 선 (124) 상의 임의의 지점에 커플링된다. 유사하게, 몇몇의 실시예들에서, 변수 센서 (1022) 는 출력부 (1010) 와 에지 전극 (1016) 사이의 RF 전송 선 (122) 상의 임의의 지점에 커플링된다.
일부 실시예들에서, 시스템 (1000) 은 RF 생성기 (1002) 및/또는 RF 생성기 (1018) 를 배제한다. 다양한 실시예들에서, 시스템 (1000) 은 IMC (108) 에 커플링된, 상이한 동작 주파수들을 가진 임의의 수의, 예를 들어, 3 개, 등의 RF 생성기들, 및/또는 IMC (113) 에 커플링된 상이한 동작 주파수들을 가진, 임의의 수의 예를 들어, 3 개, 등의 RF 생성기들을 포함한다.
몇몇의 실시예들에서, 전극 (202) (도 2a) 은 커플링 링 (112) 내에 임베딩되는 대신 에지 링 (110) 내에 임베딩되고 그리고 상기에 기술된 방식과 유사한 방식으로, 예를 들어, RF 필터 (208) 및 전력 핀 (204) (도 2a) 을 통해 RF 전송 선 (122) 에 커플링된다.
일부 실시예들에서, 에지 전극 (1016) 을 사용하는 대신, 척 (114) 은 2 개의 전극들, 예를 들어, 중심 하부 전극 및 주변 하부 전극, 등으로 나눠진다. 중심 하부 전극은 RF 전송 선 (124) 에 커플링되고 그리고 주변 하부 전극은 RF 전송 선 (122) 에 커플링된다. 전극 (202) (도 2a) 은 주변 하부 전극 내에 임베딩되고 그리고 전극 (202) 은 상기에 기술된 방식과 유사한 방식으로, 예를 들어, RF 필터 (208) 및 전력 핀 (204) (도 2a), 등을 통해 RF 전송 선 (122) 에 커플링된다.
다양한 실시예들에서, 에지 전극 (1016) 대신, 상부 전극 연장부 (660) (도 6) 가 RF 전송 선 (122) 에 커플링되고 그리고 척 (114) 대신, 상부 전극 (121) (도 6) 이 RF 전송 선 (124) 에 커플링된다. 이들 실시예들에서, 전극 (202) (도 2a) 은 상부 전극 연장부 (660) 내에 임베딩되고 그리고 전극 (202) 은 상기에 기술된 방식과 유사한 방식으로, 예를 들어, RF 필터 (208) 및 전력 핀 (204) (도 2a), 등을 통해 RF 전송 선 (122) 에 커플링된다.
일부 실시예들에서, 상부 전극 연장부 (660) 를 사용하는 대신, 상부 전극 (121) 은 2 개의 전극들, 예를 들어, 중심 상부 전극 및 주변 상부 전극, 등으로 나눠진다. 중심 상부 전극은 RF 전송 선 (124) 에 커플링되고 그리고 주변 상부 전극은 RF 전송 선 (122) 에 커플링된다. 전극 (202) (도 2a) 은 주변 상부 전극 내에 임베딩되고 그리고 전극 (202) 은 상기에 기술된 방식과 유사한 방식으로, 예를 들어, RF 필터 (208) 및 전력 핀 (204) (도 2a), 등을 통해 RF 전송 선 (122) 에 커플링된다.
다양한 실시예들에서, 마스터 RF 생성기 (1014) 는 척 (114) 으로 전력을 공급하는 RF 생성기들의 동작 주파수들 중에서 중간 동작 주파수를 갖고 그래서 MF (medium frequency) 생성기이다. 예를 들어, 마스터 RF 생성기 (1014) 는 y ㎒의 동작 주파수를 갖고 그리고 척 (114) 으로 전력을 공급하는 다른 RF 생성기들은 x ㎒ 주파수 및 z ㎒ 주파수를 갖는다. 또 다른 예로서, 마스터 RF 생성기 (1014) 는 y ㎒의 동작 주파수를 갖고 그리고 척 (114) 으로 전력을 공급하는 다른 RF 생성기들은 x1 ㎑ 주파수 및 z ㎒ 주파수를 갖는다. 또 다른 예로서, 마스터 RF 생성기 (1014) 는 x ㎒의 동작 주파수를 갖고 그리고 척 (114) 으로 전력을 공급하는 다른 RF 생성기들은 x1 ㎑ 주파수 및 z ㎒ 주파수를 갖는다. 여전히 또 다른 예로서, 마스터 RF 생성기 (1014) 는 x ㎒의 동작 주파수를 갖고 그리고 척 (114) 으로 전력을 공급하는 다른 RF 생성기들은 x1 ㎑ 주파수 및 y ㎒ 주파수를 갖는다. 또 다른 예로서, 마스터 RF 생성기 (1014) 의 동작 주파수는 척 (114) 으로 전력을 공급하는 다른 RF 생성기들의 동작 주파수들 사이이다.
다양한 실시예들에서, 마스터 RF 생성기 (1014) 는 척 (114) 으로 전력을 공급하는 RF 생성기들의 동작 주파수들 중에서 가장 높은 동작 주파수를 갖고 그래서 HF (high frequency) 생성기이다. 예를 들어, 마스터 RF 생성기 (1014) 는 z ㎒의 동작 주파수를 갖고 그리고 척 (114) 으로 전력을 공급하는 다른 RF 생성기들은 x ㎒ 주파수 및 y ㎒ 주파수를 갖는다. 또 다른 예로서, 마스터 RF 생성기 (1014) 는 z ㎒의 동작 주파수를 갖고 그리고 척 (114) 으로 전력을 공급하는 다른 RF 생성기들은 x1 ㎑ 주파수 및 y ㎒ 주파수를 갖는다. 또 다른 예로서, 마스터 RF 생성기 (1014) 는 z ㎒의 동작 주파수를 갖고 그리고 척 (114) 으로 전력을 공급하는 다른 RF 생성기들은 x1 ㎑ 주파수 및 x ㎒ 주파수를 갖는다. 또 다른 예로서, 마스터 RF 생성기 (1014) 의 동작 주파수는 척 (114) 으로 전력을 공급하는 다른 RF 생성기들의 동작 주파수들보다 크다.
유사하게, 일부 실시예들에서, 슬레이브 RF 생성기 (1012) 는 에지 전극 (1016) 으로 전력을 공급하는 RF 생성기들의 동작 주파수들 중에서 중간 동작 주파수를 갖고 그래서 중간 주파수 생성기이다. 예를 들어, 슬레이브 RF 생성기 (1012) 는 y ㎒의 동작 주파수를 갖고 그리고 에지 전극 (1016) 으로 전력을 공급하는 다른 RF 생성기들은 x ㎒ 주파수 및 z ㎒ 주파수를 갖는다. 또 다른 예로서, 슬레이브 RF 생성기 (1012) 는 y ㎒의 동작 주파수를 갖고 그리고 에지 전극 (1016) 으로 전력을 공급하는 다른 RF 생성기들은 x1 ㎑ 주파수 및 z ㎒ 주파수를 갖는다. 또 다른 예로서, 슬레이브 RF 생성기 (1012) 는 x ㎒의 동작 주파수를 갖고 그리고 에지 전극 (1016) 으로 전력을 공급하는 다른 RF 생성기들은 x1 ㎑ 주파수 및 y ㎒ 주파수를 갖는다. 여전히 또 다른 예로서, 슬레이브 RF 생성기 (1012) 는 x ㎒의 동작 주파수를 갖고 그리고 에지 전극 (1016) 으로 전력을 공급하는 다른 RF 생성기들은 x1 ㎑ 주파수 및 z ㎒ 주파수를 갖는다. 또 다른 예로서, 슬레이브 RF 생성기 (1012) 의 동작 주파수는 에지 전극 (1016) 으로 전력을 공급하는 다른 RF 생성기들의 동작 주파수들 사이이다.
다양한 실시예들에서, 슬레이브 RF 생성기 (1012) 는 에지 전극 (1016) 으로 전력을 공급하는 RF 생성기들의 동작 주파수들 중에서 가장 높은 동작 주파수를 갖고 그래서 고 주파수 생성기이다. 예를 들어, 슬레이브 RF 생성기 (1012) 는 z ㎒의 동작 주파수를 갖고 그리고 에지 전극 (1016) 으로 전력을 공급하는 다른 RF 생성기들은 x ㎒ 주파수 및 y ㎒ 주파수를 갖는다. 또 다른 예로서, 슬레이브 RF 생성기 (1012) 는 z ㎒의 동작 주파수를 갖고 그리고 에지 전극 (1016) 으로 전력을 공급하는 다른 RF 생성기들은 x1 ㎑ 주파수 및 y ㎒ 주파수를 갖는다. 또 다른 예로서, 슬레이브 RF 생성기 (1012) 는 z ㎒의 동작 주파수를 갖고 그리고 에지 전극 (1016) 으로 전력을 공급하는 다른 RF 생성기들은 x1 ㎑ 주파수 및 x ㎒ 주파수를 갖는다. 또 다른 예로서, 슬레이브 RF 생성기 (1012) 의 동작 주파수는 에지 전극 (1016) 으로 전력을 공급하는 다른 RF 생성기들의 동작 주파수들보다 크다.
일부 실시예들에서, 슬레이브 RF 생성기 (1012) 의 동작 주파수가 마스터 RF 생성기 (1014) 의 동작 주파수로부터 미리 결정된 범위 내에 있도록 조정되기 전에 출력부들 (1008 및 1010) 과 연관된 변수들의 위상들이 조정된다. 다양한 실시예들에서, 출력부들 (1008 및 1010) 과 연관된 변수들의 위상들은 슬레이브 RF 생성기 (1012) 의 동작 주파수가 마스터 RF 생성기 (1014) 의 동작 주파수로부터 미리 결정된 범위 내에 있도록 조정되는 것과 동시에, 예를 들어, 동일한 시간에, 동일한 클록 사이클 동안, 등으로 조정된다.
도 11은 마스터 RF 생성기 (1014A) 및 슬레이브 RF 생성기 (1012A) 양자가 연속적인 파형 모드로 동작할 때 마스터 RF 생성기 (1014A) 와 슬레이브 RF 생성기 (1012A) 사이의 주파수 동기 및 위상 동기를 예시하기 위한 시스템 (1100) 의 실시예의 도면이다. 마스터 RF 생성기 (1014A) 는 마스터 RF 생성기 (1014) (도 10) 의 예이고 그리고 슬레이브 RF 생성기 (1012A) 는 슬레이브 RF 생성기 (1012) (도 10) 의 예이다. 예로서, 연속적인 파형 모드에서, RF 생성기는 2 개의 전력 레벨들의 미리 규정된 범위 내의, 전력 레벨, 예를 들어, RF 신호의 최대 진폭, RF 신호의 크기들의 RMS (root mean square) 값, RF 신호의 엔빌로프 (envelope), 등을 가진 RF 신호를 생성한다. 연속적인 파형 모드에서 RF 신호는 일 상태, 예를 들어, 상태 S1 또는 상태 S2, 등을 갖고, 그리고 이하에 더 기술되는 복수의 상태들을 갖지 않는다.
시스템 (1100) 은 마스터 RF 생성기 (1014A), 슬레이브 RF 생성기 (1012A), IMC (108), IMC (113), 및 호스트 컴퓨터 시스템 (306) 을 포함한다. 마스터 RF 생성기 (1014A) 는 DSP (digital signal processor) (1102), 드라이버 (1104), 및 RF 전력 공급부 (1106) 를 포함한다. 본 명세서에 기술된 RF 전력 공급부는 전력 소스이다. DSP (1102) 는 전도체, 예를 들어, 와이어, 케이블, 등을 통해 드라이버 (1104) 에 커플링되고, 그리고 드라이버 (1104) 는 전도체를 통해 RF 전력 공급부 (1106) 에 커플링된다. 호스트 컴퓨터 시스템 (306) 은 전송 케이블 (1108) 을 통해 DSP (1102) 에 커플링된다. 드라이버의 예들은 하나 이상의 트랜지스터들을 포함한다.
슬레이브 RF 생성기 (1012A) 는 DSP (1114), 드라이버 (1116), 및 RF 전력 공급부 (1118) 를 포함한다. DSP (1114) 는 전도체를 통해 드라이버 (1116) 에 커플링되고 그리고 드라이버 (1116) 는 전도체를 통해 RF 전력 공급부 (1118) 에 커플링된다. 호스트 컴퓨터 시스템 (306) 은 전송 케이블 (1110) 을 통해 DSP (1114) 에 커플링된다.
DSP (1102) 는 호스트 컴퓨터 시스템 (306) 으로부터 전송 케이블 (1108) 을 통해 마스터 RF 생성기 (1014A) 에 의해 생성될 RF 신호의 주파수 및 전력을 수신한다. DSP (1102) 는 RF 신호의 전력 및 주파수를 나타내도록 드라이버 (1104) 로 제어 신호를 전송한다. 드라이버 (1104) 는 제어 신호로부터 수신된 전력 및 주파수에 기초한, 예를 들어, 가진, 등인 구동 신호, 예를 들어, 전류 신호, 등을 구동, 예를 들어, 생성, 등을 하고 그리고 이 전류 신호를 RF 전력 공급부 (1106) 에 제공한다. RF 전력 공급부 (1106) 는 RF 케이블 (1036) 을 통해 IMC (108) 의 입력부 (1040) 에 제공되는 RF 신호를 생성한다. RF 신호는 제어 신호로부터 수신된 주파수 및 전력을 갖는다.
DSP (1102) 는 DSP (1102) 에 의해 생성된 RF 신호의 주파수를 전송 케이블 (1050) 을 통해 슬레이브 RF 생성기 (1012A) 의 DSP (1114) 로 제공한다. 슬레이브 RF 생성기 (1012A) 의 DSP (1114) 는 DSP (1102) 로부터 주파수를 수신하고 그리고 DSP (1102) 로부터 수신된 주파수로부터 미리 결정된 범위 내에 있는 주파수를 나타내는 제어 신호를 생성하는 것으로 결정한다. 더욱이, DSP (1114) 는 호스트 컴퓨터 시스템 (306) 의 프로세서로부터 전송 케이블 (1110) 을 통해 슬레이브 RF 생성기 (1012A) 에 의해 생성될 RF 신호의 전력을 수신한다. DSP (1114) 는 호스트 컴퓨터 시스템 (306) 으로부터 수신된 전력, 및 RF 신호의 미리 결정된 범위 내의 주파수를 나타내도록 드라이버 (1116) 로 제어 신호를 전송한다. 드라이버 (1116) 는 제어 신호로부터 수신된 전력 및 주파수에 기초한, 예를 들어, 가진, 구동 신호, 예를 들어, 전류 신호, 등을 구동, 예를 들어, 생성, 등을 하고, 이 전류 신호를 RF 전력 공급부 (1118) 로 제공한다. RF 전력 공급부 (1118) 는 호스트 컴퓨터 시스템 (306) 으로부터 수신된 전력, 및 DSP (1114) 로부터 수신된 주파수를 가진 RF 신호를 생성한다. RF 신호는 RF 전송 선 (122) 을 통해 전송되는 수정된 신호를 생성하도록 RF 전력 공급부 (1118) 에 의해 RF 케이블 (1046) 을 통해 IMC (113) 로 공급된다.
게다가, 일단 수정된 RF 신호가 RF 전송 선 (122) 을 통해 공급되고 슬레이브 RF 생성기 (1012A) 에 의해 생성된 RF 신호에 기초하여 생성된다면, DSP (1114) 는 전송 케이블 (1030) 을 통해 출력부 (1008) 와 연관된 변수 및 전송 케이블 (1032) 을 통해 출력부 (1010) 와 연관된 변수를 수신한다. 출력부 (1008) 와 연관된 변수는 출력부 (1008) 와 연관된 변수의 위상을 포함하고 그리고 출력부 (1010) 와 연관된 변수는 출력부 (1010) 와 연관된 변수의 위상을 포함한다. DSP (1114) 는 위상들이 서로 미리 설정된 범위 내에 있는지의 여부를 결정하도록 출력부 (1010) 와 연관된 변수의 위상과 출력부 (1008) 와 연관된 변수의 위상을 비교한다. 위상들이 서로 미리 설정된 범위 내에 없다고 결정할 시, 출력부 (1010) 와 연관된 변수의 위상이 출력부 (1008) 와 연관된 변수의 위상으로부터 미리 설정된 범위 내에 있도록 DSP (1114) 는 슬레이브 RF 생성기 (1012A) 에 의해 수정될 RF 신호의 위상을 결정한다. 예를 들어, DSP (1114) 는 RF 신호의 위상이 출력부 (1008) 와 연관된 변수의 위상으로부터 미리 설정된 범위 내에 있도록 RF 신호가 슬레이브 RF 생성기 (1012A) 에 의해 출력되는 시간, 예를 들어, 클록 사이클, 등을 결정한다. DSP (1114) 는 드라이버 (1116) 로 전송된 제어 신호 내의 슬레이브 RF 생성기 (1012A) 에 의해 수정될 RF 신호의 위상을 나타낸다. 예를 들어, 출력부 (1010) 와 연관된 변수의 위상이 출력부 (1008) 와 연관된 변수의 위상으로부터 미리 설정된 범위 내에 있도록 DSP (1114) 는 드라이버 (1116) 로 제어 신호를 전송할 것을 그 시기에 결정한다.
게다가, 일단 DSP (1114) 가 DSP (1102) 로부터 수신된 주파수로부터 미리 결정된 범위 내에 있는 주파수 및 미리 설정된 범위 내에 있는 RF 신호의 위상을 결정한다면, DSP (1114) 는 인자를 달성하도록 RF 신호의 변수의 크기를 결정한다. 예를 들어, DSP (1102) 로부터 수신된 주파수로부터 미리 결정된 범위 내에 있는 주파수 및 미리 설정된 범위 내에 있는 RF 신호의 위상을 결정한 후에, DSP (1114) 는 메모리 디바이스 (1112) 에 저장된 표 1200 (도 12 참조) 으로부터 슬레이브 RF 생성기 (1012A) 에 의해 수정될 RF 신호의 변수, 예를 들어, 복소 전력, 복소 전압, 등의 크기에 액세스하고 그리고 드라이버 (1116) 로 전송될 제어 신호 내에서 이 크기를 나타낸다. DSP (1114) 는 인자의 값과 1 대 1 관계를 갖고, 인자의 값에 링킹되고, 인자의 값에 맵핑되는, 등을 하는 변수의 크기를 결정한다.
이 때, DSP (1102) 로부터 수신된 주파수로부터 미리 결정된 범위 내에 있는 주파수 및 DSP (1114) 는 슬레이브 RF 생성기 (1012A) 에 의해 수정될 RF 신호의 변수의 크기를 나타내는 제어 신호를 드라이버 (1116) 로 전송한다. 더욱이, 이 때, 드라이버 (1116) 는 DSP (1114) 로부터 수신된 주파수 및 DSP (1114) 로부터 수신된 제어 신호 내에 나타난 변수의 크기에 기초한, 예를 들어, 가진, 등인 구동 신호를 생성하고 이 구동 신호를 RF 전력 공급부 (1118) 에 제공한다. 더욱이, 이 때, RF 전력 공급부 (1118) 는 드라이버 (1116) 로부터 구동 신호를 수신할 시, 인자에 기초하여 결정된 크기, 및 미리 결정된 범위 내의 주파수를 가진 RF 신호를 생성하고, 그리고 이 RF 신호를 RF 케이블 (1046) 을 통해 IMC (113) 의 입력부 (1042) 로 전송한다.
일부 실시예들에서, PLL (phase-locked loop), 예를 들어, 오실레이터에 커플링된 위상 검출기, 등은 RF 전송 선들 (122 및 124) 을 통해 전송되는 수정된 RF 신호들 사이의 위상 차를 결정하도록 출력부들 (1008 및 1010) 과 연관된다. 예를 들어, 위상 검출기의 입력부들은 출력부 (1008) 및 출력부 (1010) 에 커플링된다. 또 다른 예로서, 위상 검출기의 입력부는 RF 전송 선 (122) 상의 임의의 지점에 커플링되고 그리고 위상 검출기의 또 다른 입력부는 RF 전송 선 (124) 상의 임의의 지점에 커플링된다. 위상 검출기의 출력부는 PLL의 오실레이터에 커플링되고 그리고 PLL의 오실레이터의 출력부는 DSP (1114) 에 커플링된다. PLL의 위상 검출기는 RF 전송 선 (122) 을 통과하는 수정된 RF 신호와 RF 전송 선 (124) 을 통과하는 수정된 RF 신호의 위상들 사이의 차를 결정하고, 그리고 PLL의 오실레이터가 출력부 (1008) 와 연관된 변수 및 출력부 (1010) 와 연관된 변수의 위상들 사이의 미리 설정된 범위를 달성하기 위해 신호를 생성하도록 PLL의 오실레이터로 신호를 전송한다. 오실레이터에 의해 생성된 신호는 신호의 위상을 결정하는 DSP (1114) 로 제공된다.
일부 실시예들에서, RF 생성기의 드라이버는 RF 생성기의 RF 전력 공급부의 일부이다. 예를 들어, 드라이버 (1104) 는 RF 전력 공급부 (1106) 의 일부이다. 또 다른 예로서, 드라이버 (1116) 는 RF 전력 공급부 (1118) 의 일부이다.
도 12는 슬레이브 RF 생성기 (1012A) (도 11) 에 의해 수정될 RF 신호의 변수와 인자 사이의 대응을 예시하기 위한 표 1200의 실시예의 도면이다. 표 1200은 슬레이브 RF 생성기 (1012A) 에 의해 수정될 RF 신호의 인자의 다양한 값들, 예를 들어, FTR1, FTR2, FTR3, 등과 변수의 값들, 예를 들어, VR1, VR2, VR3, 등 사이의 대응을 포함한다. 예를 들어, 값 FTR1은 값 VR1에 대응, 예를 들어, 유일하게 연관, 등이 되고, 값 FTR2는 값 VR2에 대응하고, 그리고 값 FTR3은 값 VR3에 대응한다. 값들 (VR1, VR2, 및 VR3) 각각은 RF 전력 공급부 (1118) 에 의해 수정될 RF 신호의 변수, 예를 들어, 복소 전력, 복소 전압, 복소 전류, 등의 크기이다.
도 13은 마스터 RF 생성기 (1014B) 및 슬레이브 RF 생성기 (1012B) 양자가 상태 전이 모드로 동작할 때 마스터 RF 생성기 (1014B) 와 슬레이브 RF 생성기 (1012B) 사이의 주파수 동기 및 위상 동기를 예시하기 위한 시스템 (1300) 의 실시예의 도면이다. 상태 전이 모드에서, RF 생성기 각각은 3 개의 상태들 (S1, S2, 및 S3) 중, 임의의 다른 수의 상태들 중, 등 중에서, 복수의 상태들 사이에서, 예를 들어, 상태 S1과 상태 S2 사이에서 전이한다. 상태 S1 동안, RF 생성기 각각은 상태 S2 동안 RF 신호의 전력 레벨로부터 미리 규정된 범위 외부에 있는 전력 레벨을 가진 RF 신호를 생성한다. 마스터 RF 생성기 (1014B) 는 마스터 RF 생성기 (1014) (도 10) 의 예이고 그리고 슬레이브 RF 생성기 (1012B) 는 슬레이브 RF 생성기 (1012) (도 10) 의 예이다.
마스터 RF 생성기 (1014B) 는 DSP (1102), 상태 S1에 대한 전력 제어기 (1302), 상태 S2에 대한 전력 제어기 (1304), 상태 S1에 대한 AFT (auto frequency tuner) (1306), 및 상태 S2에 대한 AFT (1308) 를 포함한다. DSP (1102) 는 전력 제어기들 (1302 및 1304) 에, 그리고 AFT들 (1306 및 1308) 에 커플링된다. 게다가, 전력 제어기들 (1302 및 1304), 및 AFT들 (1306 및 1308) 은 드라이버 (1104) 에 커플링된다.
유사하게, 슬레이브 RF 생성기 (1012B) 는 DSP (1114), 상태 S1에 대한 전력 제어기 (1310), 상태 S2에 대한 전력 제어기 (1312), 상태 S1에 대한 AFT (1314), 및 상태 S2에 대한 AFT (1316) 를 포함한다. DSP (1114) 는 전력 제어기들 (1310 및 1312) 에, 그리고 AFT들 (1314 및 1316) 에 커플링된다. 게다가, 전력 제어기들 (1310 및 1312), 및 AFT들 (1314 및 1316) 은 드라이버 (1116) 에 커플링된다.
DSP (1102) 는 호스트 컴퓨터 시스템 (306) 의 클록 소스, 예를 들어, 클록 소스, 디지털 오실레이터, 디지털 펄스 생성기, 프로세서, 등으로부터 전송 케이블 (1108) 을 통해, TTL (transistor-transistor logic) 신호, 예를 들어, 클록 신호, 등을 수신하고 그리고 DSP (1114) 는 이 TTL 신호를 호스트 컴퓨터 시스템 (306) 의 클록 소스로부터 전송 케이블 (1110) 을 통해 수신한다. 이 TTL 신호는 상태 S1과 상태 S2, 예를 들어, 로직 레벨 하이와 로직 레벨 로우, 또는 로직 레벨 0과 로직 레벨 1 사이, 등에서 전이한다.
DSP (1102) 는 TTL 신호를 수신하고 그리고 TTL 신호의 상태 S1과 상태 S2를 구별한다. DSP (1102) 는 또한 상태 S1에 대한 전력 레벨, 상태 S2에 대한 전력 레벨, 상태 S1에 대한 주파수, 및 상태 S2에 대한 주파수를 호스트 컴퓨터 시스템 (306) 의 프로세서로부터 전송 케이블 (1108) 을 통해 수신한다. 예로서, 상태 S1 동안 RF 생성기에 의해 생성된 RF 신호의 주파수는 상태 S2 동안 RF 생성기에 의해 생성된 RF 신호의 주파수와 상이하다. 또 다른 예로서, 상태 S1 동안 RF 생성기에 의해 생성된 RF 신호의 주파수는 상태 S2 동안 RF 생성기에 의해 생성된 RF 신호의 주파수와 동일하다. TTL 신호가 상태 S1에 있을 때, DSP (1102) 는 마스터 RF 생성기 (1014B) 에 의해 생성될 RF 신호의 전력 레벨을 나타내는 신호를 전력 제어기 (1302) 로 전송하고 그리고 마스터 RF 생성기 (1014B) 에 의해 생성될 RF 신호의 주파수를 나타내는 신호를 AFT (1306) 로 전송한다. 전력 제어기 (1302) 는 DSP (1102) 로부터 수신된 전력 레벨을 나타내는 제어 신호를 드라이버 (1104) 로 전송하고 그리고 AFT (1306) 는 DSP (1102) 로부터 수신된 주파수를 나타내는 제어 신호를 드라이버 (1104) 로 전송한다.
드라이버 (1104) 는 상태 S1에 대한 주파수, 및 상태 S1에 대한 전력 레벨을 가진, 구동 신호, 예를 들어, 전류 신호, 등을 생성하고, 그리고 이 구동 신호를 RF 전력 공급부 (1106) 에 제공한다. 상태 S1 동안 구동 신호를 수신할 시, RF 전력 공급부 (1106) 는 상태 S1에 대한 주파수 및 상태 S1에 대한 전력 레벨을 가진 RF 신호를 생성한다.
유사하게, TTL 신호가 상태 S2에 있을 때, DSP (1102) 는 마스터 RF 생성기 (1014B) 에 의해 생성될 RF 신호의 전력 레벨을 나타내는 신호를 전력 제어기 (1304) 로 전송하고 그리고 마스터 RF 생성기 (1014B) 에 의해 생성될 RF 신호의 주파수를 나타내는 신호를 AFT (1308) 로 전송한다. 전력 제어기 (1304) 는 DSP (1102) 로부터 수신된 전력 레벨을 나타내는 제어 신호를 드라이버 (1104) 로 전송하고 그리고 AFT (1308) 는 DSP (1102) 로부터 수신된 주파수를 나타내는 제어 신호를 드라이버 (1104) 로 전송한다.
드라이버 (1104) 는 상태 S2에 대한 주파수, 및 상태 S2에 대한 전력 레벨을 가진, 구동 신호를 생성하고, 그리고 이 구동 신호를 RF 전력 공급부 (1106) 에 제공한다. 상태 S2 동안 구동 신호를 수신할 시, RF 전력 공급부 (1106) 는 상태 S2에 대한 주파수 및 상태 S2에 대한 전력 레벨을 가진 RF 신호를 생성한다.
상태 S1 동안, DSP (1102) 는 DSP (1102) 에 의해 생성된 RF 신호의, 상태 S1에 대한, 주파수를 전송 케이블 (1050) 을 통해 슬레이브 RF 생성기 (1012B) 의 DSP (1114) 로 제공한다. 슬레이브 RF 생성기 (1012B) 의 DSP (1114) 는 DSP (1102) 로부터, 상태 S1에 대한, 주파수를 수신하고 그리고 상태 S1에 대한 DSP (1102) 로부터 수신된 주파수로부터, 상태 S1에 대해 미리 결정된 범위 내에 있는, 상태 S1에 대한, 주파수를 나타내는 신호를 생성하기로 결정한다. 미리 결정된 범위 내에 있는, 상태 S1에 대한, 주파수를 나타내는 신호는 DSP (1114) 로부터 AFT (1314) 로 제공된다.
또한, DSP (1114) 는 TTL 신호를 수신하고 그리고 TTL 신호의 상태 S1과 상태 S2를 구별한다. DSP (1114) 는 또한 상태 S1에 대한 전력 레벨, 및 상태 S2에 대한 전력 레벨을 호스트 컴퓨터 시스템 (306) 의 프로세서로부터 전송 케이블 (1110) 을 통해 수신한다. TTL 신호가 상태 S1에 있을 때, DSP (1114) 는 슬레이브 RF 생성기 (1012B) 에 의해 생성될 RF 신호의 전력 레벨을 나타내는 신호를 전력 제어기 (1310) 로 전송하고 그리고 슬레이브 RF 생성기 (1012B) 에 의해 생성될 RF 신호의 주파수를 나타내는 신호를 AFT (1314) 로 전송한다. 생성될 RF 신호의, 상태 S1에 대한, 주파수는 DSP (1102) 로부터 수신된, 상태 S1에 대한, 주파수의 미리 결정된 범위 내에 있다. 전력 제어기 (1310) 는 DSP (1114) 로부터 수신된 전력 레벨을 나타내는 제어 신호를 드라이버 (1116) 로 전송하고 그리고 AFT (1314) 는 DSP (1114) 로부터 수신된 주파수를 나타내는 제어 신호를 드라이버 (1116) 로 전송한다.
드라이버 (1116) 는 상태 S1에 대한, DSP (1102) 로부터 수신된, 주파수 및 상태 S1에 대한, 전력 레벨에 기초한, 예를 들어, 가진, 등인 구동 신호, 예를 들어, 전류 신호, 등을 생성하고, 그리고 이 구동 신호를 RF 전력 공급부 (1118) 로 제공한다. 상태 S1 동안 구동 신호를 수신할 시, RF 전력 공급부 (1118) 는 상태 S1에 대한 주파수 및 상태 S1에 대한 전력 레벨을 가진 RF 신호를 생성한다.
게다가, 상태 S1 동안, 일단 RF 전송 선 (122) 을 통해 전송되는 수정된 RF 신호가 슬레이브 RF 생성기 (1012B) 에 의해 생성되는 RF 신호에 기초하여 생성된다면, DSP (1114) 는 출력부 (1008) 와 연관된, 상태 S1에 대한, 변수를 전송 케이블 (1030) 을 통해 그리고 출력부 (1010) 와 연관된, 상태 S1에 대한, 변수를 전송 케이블 (1032) 을 통해 수신한다. 상태 S1 동안 출력부 (1008) 와 연관된, 상태 S1에 대한, 변수는 출력부 (1008) 와 연관된 변수의 위상을 포함하고, 출력부 (1010) 와 연관된, 상태 S1에 대한, 변수는 출력부 (1010) 와 연관된 변수의 위상을 포함한다. 상태 S1 동안, 위상들이 서로 상태 S1에 대해 미리 설정된 범위 내에 있는지의 여부를 결정하도록 DSP (1114) 는 출력부 (1010) 와 연관된, 상태 S1에 대한, 변수의 위상과 출력부 (1008) 와 연관된, 상태 S1에 대한, 변수의 위상을 비교한다. 게다가, 상태 S1 동안, 상태 S1에 대한, 위상들이 서로 상태 S1에 대해 미리 설정된 범위 내에 없다고 결정할 시, 출력부 (1010) 와 연관된 변수의, 상태 S1에 대한, 위상이 출력부 (1008) 와 연관된 변수의, 상태 S1에 대한, 위상으로부터 상태 S1에 대해 미리 설정된 범위 내에 있도록 DSP (1114) 는 슬레이브 RF 생성기 (1012B) 에 의해 수정될 RF 신호의, 상태 S1에 대한, 위상을 결정한다. 예를 들어, 상태 S1에 대한, RF 신호의 위상이 출력부 (1008) 와 연관된 변수의, 상태 S1에 대한, 위상으로부터, 상태 S1에 대해 미리 설정된 범위 내에 있도록 DSP (1114) 는 RF 신호가 슬레이브 RF 생성기 (1012B) 에 의해 출력되는, 시간, 예를 들어, 클록 사이클, 등을 결정한다. 상태 S1 동안, DSP (1114) 는 이 때 AFT (1314) 로 그리고 전력 제어기 (1310) 로 신호를 전송한다. 신호를 이 때 DSP (1114) 로부터 수신할 시, AFT (1314) 는 DSP (1114) 로부터 수신된, 상태 S1에 대한, 주파수를 나타내는 제어 신호를 생성하고 드라이버 (1116) 로 전송한다. AFT (1314) 에 의해 DSP (1114) 로부터 수신된 주파수는 상태 S1에 대한 DSP (1102) 로부터 수신된 주파수로부터 상태 S1에 대해 미리 결정된 범위 내에 있다.
게다가, 상태 S1 동안, 일단 DSP (1114) 가 DSP (1102) 로부터 수신된, 상태 S1에 대한, 주파수로부터, 상태 S1에 대해 미리 결정된 범위 내에 있는, 상태 S1에 대한, 주파수 및 슬레이브 RF 생성기 (1012B) 에 의해 수정될 RF 신호의, 상태 S1에 대한, 위상을 결정한다면, DSP (1114) 는 상태 S1에 대한 인자를 달성하도록 RF 신호의 변수의, 상태 S1에 대한, 크기를 결정한다. 예를 들어, DSP (1102) 로부터 수신된, 상태 S1에 대한, 주파수로부터, 상태 S1에 대해 미리 결정된 범위 내에 있는, 상태 S1에 대한, 주파수 및 슬레이브 RF 생성기 (1012B) 에 의해 수정될 RF 신호의, 상태 S1에 대한, 위상을 결정한 후에, DSP (1114) 는 메모리 디바이스 (1112) 내에 저장된 표 1500 (도 15 참조) 로부터 슬레이브 RF 생성기 (1012B) 에 의해 수정될 RF 신호의 변수, 예를 들어, 복소 전력, 복소 전압, 등의, 상태 S1에 대한, 크기에 액세스하고 그리고 신호의 크기를 나타낸다. DSP (1114) 는 변수의 크기를 나타내는 신호를 이 때 전력 제어기 (1310) 로 전송하고, 그리고 신호를 수신할 시, 전력 제어기 (1310) 는 상태 S1에 대한, 크기를 나타내는 제어 신호를 생성하고, 그리고 이 제어 신호를 드라이버 (1116) 로 전송한다.
상태 S1 동안, 드라이버 (1116) 는 이 때, 상태 S1에 대해, AFT (1314) 로부터 수신된 신호 내에 나타낸 상태 S1에 대한 주파수를 갖고 전력 제어기 (1310) 로부터 수신된 상태 S1에 대한 변수의 크기를 가진 구동 신호를 생성하고, 그리고 이 구동 신호를 RF 전력 공급부 (1118) 로 제공한다. 상태 S1 동안, 드라이버 (1116) 로부터 구동 신호를 수신할 시, RF 전력 공급부 (1118) 는 이 때, 상태 S1에 대한 주파수 및 상태 S1에 대한 변수의 크기를 가진 RF 신호를 생성하고, 그리고 이 RF 신호를 RF 케이블 (1046) 을 통해 IMC (113) 의 입력부 (1042) 로 전송한다.
게다가, 상태 S2 동안, DSP (1102) 는 DSP (1102) 에 의해 생성된 RF 신호의, 상태 S2에 대한, 주파수를 전송 케이블 (1050) 을 통해 슬레이브 RF 생성기 (1012B) 의 DSP (1114) 로 제공한다. 예로서, 상태 S2에 대한 주파수는 상태 S1에 대한 주파수와 상이, 예를 들어, 미만, 초과, 등이다. 또 다른 예로서, 상태 S2에 대한 주파수는 상태 S1에 대한 주파수와 동일하다. 슬레이브 RF 생성기 (1012B) 의 DSP (1114) 는 DSP (1102) 로부터, 상태 S2에 대한, 주파수를 수신하고 그리고 상태 S2에 대한 DSP (1102) 로부터 수신된 주파수로부터 상태 S2에 대해 미리 결정된 범위 내에 있는, 상태 S2에 대한, 주파수를 나타내는 신호를 생성하기로 결정한다. 예로서, 상태 S2에 대해 미리 결정된 범위는 상태 S1에 대해 미리 결정된 범위와 상이, 예를 들어, 미만, 초과, 등임이 주의되어야 한다. 또 다른 예로서, 상태 S2에 대해 미리 결정된 범위는 상태 S1에 대해 미리 결정된 범위와 동일하다.
TTL 신호가 상태 S2에 있을 때, DSP (1114) 는 슬레이브 RF 생성기 (1012B) 에 의해 생성될 RF 신호의, 호스트 컴퓨터 시스템 (306) 으로부터 수신된, 전력 레벨을 나타내는 신호를 전력 제어기 (1312) 로 전송하고 그리고 슬레이브 RF 생성기 (1012B) 에 의해 생성될 RF 신호의, 상태 S2에 대한, 주파수를 나타내는 신호를 AFT (1316) 로 전송한다. 상태 S2에 대한, 주파수는 상태 S2에 대한 DSP (1102) 로부터 수신된 주파수로부터 상태 S2에 대해 미리 결정된 범위 내에 있다. 전력 제어기 (1312) 는 DSP (1114) 로부터 수신된 전력 레벨을 나타내는 제어 신호를 드라이버 (1116) 로 전송하고 그리고 AFT (1316) 는 DSP (1114) 로부터 수신된 주파수를 나타내는 제어 신호를 드라이버 (1116) 로 전송한다.
드라이버 (1116) 는 상태 S2에 대한 주파수 및 상태 S2에 대한 전력 레벨을 가진 구동 신호를 생성하고, 그리고 이 구동 신호를 RF 전력 공급부 (1118) 로 제공한다. 상태 S2 동안 구동 신호를 수신할 시, RF 전력 공급부 (1118) 는 상태 S2에 대한 주파수 및 상태 S2에 대한 전력 레벨을 가진 RF 신호를 생성한다.
게다가, 일단 RF 전송 선 (122) 을 통해 전송된 수정된 RF 신호가 슬레이브 RF 생성기 (1012B) 에 의해 생성된 상태 S2에 대해 미리 결정된 범위 내의, 주파수를 가진 RF 신호에 기초하여 생성된다면, DSP (1114) 는 출력부 (1008) 와 연관된, 상태 S2에 대한, 변수를 전송 케이블 (1030) 을 통해 그리고 출력부 (1010) 와 연관된, 상태 S2에 대한, 변수를 전송 케이블 (1032) 을 통해 수신한다. 출력부 (1008) 와 연관된, 상태 S2에 대한, 변수는 출력부 (1008) 와 연관된 변수의 위상을 포함하고 그리고 출력부 (1010) 와 연관된, 상태 S2에 대한, 변수는 출력부 (1010) 와 연관된 변수의 위상을 포함한다. 예로서, 상태 S2에 대한 출력부 (1008) 와 연관된 변수의 위상은 상태 S1에 대한 출력부 (1008) 와 연관된 변수의 위상과 상이, 예를 들어, 미만, 초과, 등이다. 또 다른 예로서, 상태 S2에 대한 출력부 (1008) 와 연관된 변수의 위상은 상태 S1에 대한 출력부 (1008) 와 연관된 변수의 위상과 동일하다. 예로서, 상태 S2에 대한 출력부 (1010) 와 연관된 변수의 위상은 상태 S1에 대한 출력부 (1010) 와 연관된 변수의 위상과 상이, 예를 들어, 미만, 초과, 등이다. 또 다른 예로서, 상태 S2에 대한 출력부 (1010) 와 연관된 변수의 위상은 상태 S1에 대한 출력부 (1010) 와 연관된 변수의 위상과 동일하다.
상태 S2 동안, 위상들이 서로 상태 S2에 대해 미리 설정된 범위 내에 있는지의 여부를 결정하도록 DSP (1114) 는 출력부 (1010) 와 연관된, 상태 S2에 대한, 변수의 위상과 출력부 (1008) 와 연관된, 상태 S2에 대한, 변수의 위상을 비교한다. 예로서, 상태 S2에 대해 미리 설정된 범위는 상태 S1에 대해 미리 설정된 범위와 상이, 예를 들어, 미만, 초과, 등임이 주의되어야 한다. 또 다른 예로서, 상태 S2에 대해 미리 설정된 범위는 상태 S1에 대해 미리 설정된 범위와 동일하다. 게다가, 상태 S2 동안, 상태 S2에 대한, 위상들이 서로 상태 S2에 대해 미리 설정된 범위 내에 없다고 결정할 시, 출력부 (1010) 와 연관된 변수의, 상태 S2에 대한, 위상이 출력부 (1008) 와 연관된 변수의, 상태 S2에 대한, 위상으로부터, 상태 S2에 대해 미리 설정된 범위 내에 있도록 DSP (1114) 는 슬레이브 RF 생성기 (1012B) 에 의해 생성된 RF 신호의, 상태 S2에 대한, 위상을 결정한다. 예를 들어, 상태 S2에 대한, RF 신호의 위상이 출력부 (1008) 와 연관된 변수의, 상태 S2에 대한, 위상으로부터, 상태 S2에 대해 미리 설정된 범위 내에 있도록 DSP (1114) 는 RF 신호가 슬레이브 RF 생성기 (1012B) 에 의해 출력되는, 시간, 예를 들어, 클록 사이클, 등을 결정한다. 상태 S2 동안, DSP (1114) 는 신호를 이 때, 상태 S2에 대해, AFT (1316) 로 그리고 전력 제어기 (1312) 로 전송한다. 신호를 이 때 DSP (1114) 로부터 수신할 시, AFT (1316) 는 DSP (1114) 로부터 수신된, 상태 S2에 대한, 주파수를 나타내는 제어 신호를 생성하고 드라이버 (1116) 로 전송한다. AFT (1316) 에 의해 DSP (1114) 로부터 수신된 주파수는 상태 S2에 대한 DSP (1102) 로부터 수신된 주파수로부터, 상태 S2에 대해 미리 결정된 범위 내에 있다.
게다가, 상태 S2 동안, 일단 DSP (1114) 가 DSP (1102) 로부터 수신된, 상태 S2에 대한, 주파수로부터, 상태 S2에 대해 미리 결정된 범위 내에 있는, 상태 S2에 대한, 주파수 및 슬레이브 RF 생성기 (1012B) 에 의해 수정될 RF 신호의, 상태 S2에 대해 미리 설정된 범위 내에 있는, 상태 S2에 대한, 위상을 결정한다면, DSP (1114) 는 상태 S2에 대한 인자를 달성하도록 RF 신호의 변수의, 상태 S2에 대한, 크기를 결정한다. 예를 들어, DSP (1102) 로부터 수신된, 상태 S2에 대한, 주파수로부터, 상태 S2에 대해 미리 결정된 범위 내에 있는, 상태 S2에 대한, 주파수 및 슬레이브 RF 생성기 (1012B) 에 의해 수정될 RF 신호의, 상태 S2에 대한, 위상을 결정한 후에, DSP (1114) 는 메모리 디바이스 (1112) 내에 저장된 표 1500으로부터 슬레이브 RF 생성기 (1012B) 에 의해 수정될 RF 신호의 변수, 예를 들어, 복소 전력, 복소 전압, 등의, 상태 S2에 대한, 크기에 액세스하고 그리고 신호의 크기를 나타낸다. DSP (1114) 는 신호를 전력 제어기 (1312) 로 이 때 전송하고, 그리고 신호를 수신할 시, 전력 제어기 (1312) 는 상태 S2에 대한, 크기를 나타내는 제어 신호를 생성하고, 그리고 이 제어 신호를 드라이버 (1116) 로 전송한다.
드라이버 (1116) 는 상태 S2에 대한 시간에, AFT (1316) 로부터 수신된 신호 내에 나타낸 상태 S2에 대한 주파수를 갖고 전력 제어기 (1312) 로부터 수신된 상태 S2에 대한 변수의 크기를 가진 구동 신호를 생성하고, 그리고 이 구동 신호를 RF 전력 공급부 (1118) 로 제공한다. 상태 S2 동안, 드라이버 (1116) 로부터 구동 신호를 수신할 시, RF 전력 공급부 (1118) 는 상태 S2에 대한 시간에, 상태 S2에 대한 주파수 및 상태 S2에 대한 크기를 가진 RF 신호를 생성하고, 그리고 이 RF 신호를 RF 케이블 (1046) 을 통해 IMC (113) 의 입력부 (1042) 로 전송한다.
일부 실시예들에서, PLL은 상태 S1 동안, RF 전송 선들 (122 및 124) 을 통해 전송되는 수정된 RF 신호들 사이의 위상 차를 결정하도록 출력부들 (1008 및 1010) 과 연관된다. 상태 S1 동안, PLL의 위상 검출기는 RF 전송 선 (122) 을 통과하는 수정된 RF 신호와 RF 전송 선 (124) 을 통과하는 수정된 RF 신호의 위상들 사이의 차를 결정하고, 그리고 오실레이터가 출력부 (1008) 와 연관된 변수 및 출력부 (1010) 와 연관된 변수의 위상들 사이의, 상태 S1에 대해 미리 설정된 범위를 달성하기 위해 신호를 생성하도록 신호를 PLL의 오실레이터로 전송한다. 오실레이터에 의해 생성된 신호는 상태 S1 동안, 신호의 위상을 결정하는 DSP (1114) 로 제공된다.
유사하게, 다양한 실시예들에서, PLL은 상태 S2 동안, RF 전송 선들 (122 및 124) 을 통해 전송되는 수정된 RF 신호들 사이의 위상 차를 결정하도록 출력부들 (1008 및 1010) 과 연관된다. 예로서, 상태 S2 동안 RF 전송 선들 (122 및 124) 을 통해 전송되는 수정된 신호들 사이의 위상 차는 상태 S1 동안 수정된 신호들 사이의 위상 차와 상이, 예를 들어, 미만, 초과, 등이다. 또 다른 예로서, 상태 S2 동안 RF 전송 선들 (122 및 124) 을 통해 전송되는 수정된 신호들 사이의 위상 차는 상태 S1 동안 수정된 신호들 사이의 위상 차와 동일하다. 게다가, 상태 S2 동안, PLL의 위상 검출기는 RF 전송 선 (122) 을 통과하는 수정된 RF 신호 및 RF 전송 선 (124) 을 통과하는 수정된 RF 신호의 위상들 사이의 차를 결정하고, 그리고 오실레이터가 출력부 (1008) 와 연관된 변수 및 출력부 (1010) 와 연관된 변수의 위상들 사이의, 상태 S2에 대해 미리 설정된 범위를 달성하기 위해 신호를 생성하도록 신호를 PLL의 오실레이터로 전송한다. 오실레이터에 의해 생성된 신호는 상태 S2 동안, 신호의 위상을 결정하는 DSP (1114) 로 제공된다.
일부 실시예들에서, TTL 신호가 호스트 컴퓨터 시스템 (306) 으로부터 슬레이브 RF 생성기 (1012B) 로 공급되는 대신, TTL 신호는 DSP (1102) 에 의해 호스트 컴퓨터 시스템 (306) 의 클록 소스로부터 수신되고, 그리고 DSP (1102) 는 슬레이브 RF 생성기 (1012B) 의 동작과 마스터 RF 생성기 (1014B) 의 동작을 동기화하도록 TTL 신호를 전송 케이블 (1050) 을 통해 DSP (1114) 로 전송한다.
도 14는 마스터 RF 생성기 (1014B) (도 13) 에 의해 생성된 RF 신호 (1402) 의, 슬레이브 RF 생성기 (1012B) (도 13) 에 의해 생성된 RF 신호 (1404) 의, 그리고 TTL 신호 (1406) 의 복수의 상태들을 예시하기 위한 타이밍도의 실시예의 도면이다. 그래프 1408은 시간 t에 대해 TTL 신호 (1406) 의 로직 레벨들, 예를 들어, 0 및 1, 로우 및 하이, 등을 플롯팅한다. 일 로직 레벨은 상태 S1에 대응하고 그리고 또 다른 로직 레벨은 상태 S2에 대응한다. 게다가, 그래프 1410은 시간 t에 대해 상태들 (S1 및 S2) 동안 마스터 RF 생성기 (1014B) 에 의해 생성된 RF 신호 (1402) 의 전력 레벨들을 플롯팅하고, 그리고 그래프 1412는 시간 t에 대해 상태들 (S1 및 S2) 동안 슬레이브 RF 생성기 (1012B) 에 의해 생성된 RF 신호 (1404) 의 전력 레벨들을 플롯팅한다.
그래프 1410에 도시된 바와 같이, 상태 S1 동안 RF 신호 (1402) 의 전력 레벨은 P1이고, 그리고 RF 신호 (1402) 의 전력 레벨은 상태 S2 동안 0이다. 게다가, 그래프 1412에 도시된 바와 같이, RF 신호 (1404) 의 전력 레벨은 상태 S1 동안 P2이고, 그리고 RF 신호 (1404) 의 전력 레벨은 상태 S2 동안 0이다. 예로서, 전력 레벨 P1은 전력 레벨 P2와 같다. 또 다른 예로서, 전력 레벨 P1은 전력 레벨 P2와 상이, 예를 들어, 초과, 미만, 등이다. RF 신호 (1402) 및 RF 신호 (1404) 각각은 상태들 (S1 및 S2) 사이에서 주기적 방식으로 전이하고 TTL 신호 (1406) 와 동기화된다. 예를 들어, TTL 신호 (1406) 의 절반 듀티 사이클 동안, RF 신호 (1402) 는 전력 레벨 P1을 갖고 그리고 TTL 신호 (1406) 의 남은 절반 듀티 사이클 동안, RF 신호 (1402) 는 전력 레벨 0을 갖는다. 또 다른 예로서, TTL 신호 (1406) 의 절반 듀티 사이클 동안, RF 신호 (1404) 는 전력 레벨 P2를 갖고 그리고 TTL 신호 (1406) 의 남은 절반 듀티 사이클 동안, RF 신호 (1404) 는 전력 레벨 0을 갖는다. 듀티 사이클의 다른 예들은 40 % 듀티 사이클, 30 % 듀티 사이클, 70 % 듀티 사이클, 60 % 듀티 사이클, 등을 포함한다.
다양한 실시예들에서, 상태 S1 동안 RF 신호 (1402) 의 주파수는 상태 S1 동안 RF 신호 (1404) 의 주파수와 상이, 예를 들어, 미만, 초과, 등이다. 또 다른 예로서, 상태 S1 동안 RF 신호 (1402) 의 주파수는 상태 S1 동안 RF 신호 (1404) 의 주파수와 동일하다.
몇몇의 실시예들에서, 상태 S2 동안 RF 신호 (1402) 의 주파수는 상태 S2 동안 RF 신호 (1404) 의 주파수와 상이, 예를 들어, 미만, 초과, 등이다. 또 다른 예로서, 상태 S2 동안 RF 신호 (1402) 의 주파수는 상태 S2 동안 RF 신호 (1404) 의 주파수와 동일하다.
일부 실시예들에서, RF 신호 (1402) 의 전력 레벨은 상태 S1 동안 P1이고, 그리고 RF 신호 (1402) 의 전력 레벨은 상태 S2 동안 P3이고, 여기서 P3은 P1보다 작고 0보다 크다. 다양한 실시예들에서, RF 신호 (1404) 의 전력 레벨은 상태 S1 동안 P2이고, 그리고 RF 신호 (1404) 의 전력 레벨은 상태 S2 동안 P4이고, 여기서 P4는 P2보다 작고 0보다 크다.
도 15는 상태들 (S1 및 S2) 에 대한 인자의 값들과 상태들 (S1 및 S2) 에 대한 슬레이브 RF 생성기 (1012B) (도 13) 에 의해 수정될 RF 신호의 변수의 크기들 사이의 대응을 예시하기 위한 표 1500의 실시예의 도면이다. 표 1500은 상태 S1에 대한, 인자의 다양한 값들, 예를 들어, FTRS11, FTRS12, 등과 상태 S1 동안 슬레이브 RF 생성기 (1012B) 에 의해 수정될 RF 신호의, 상태 S1에 대한, 변수의 값들, 예를 들어, VRS11, VRS12, 등 사이의 대응을 포함한다. 예를 들어, 상태 S1에 대해, 값 FTRS11은 값 VRS11에 대응, 예를 들어, 유일하게 연관, 맵핑, 링킹, 등이 되고 그리고 값 FTRS12은 값 VRS12에 대응한다. 값들 VRS11 및 VRS12 각각은 상태 S1 동안 RF 전력 공급부 (1118) 에 의해 수정될 RF 신호의 변수, 예를 들어, 복소 전력, 복소 전압, 복소 전류, 등의 크기이다.
게다가, 표 1500은 상태 S2 동안 인자의 다양한 값들, 예를 들어, FTRS21, FTRS22, 등과 상태 S2 동안 슬레이브 RF 생성기 (1012B) 에 의해 수정될 RF 신호의, 상태 S2에 대한, 변수의 값들, 예를 들어, VRS21, VRS22, 등 사이의 대응을 포함한다. 예를 들어, 상태 S2에 대해, 값 FTRS21은 값 VRS21에 대응하고 그리고 값 FTRS22는 값 VRS22에 대응한다. 값들 VRS21 및 VRS22 각각은 상태 S2 동안 RF 전력 공급부 (1118) 에 의해 수정될 RF 신호의 변수, 예를 들어, 복소 전력, 복소 전압, 복소 전류, 등의 크기이다.
도 16a는 출력부 (1008) (도 10) 와 연관된 변수와 출력부 (1010) (도 10) 와 연관된 변수의 위상들의 차, 예를 들어, 위상 시프트, 등을 예시하기 위한 그래프 1600의 실시예의 도면이다. 그래프 1600은 시간 t에 대해 전압 V를 플롯팅한다. 그래프 1600은 변수 센서 (1020) (도 10) 에 의해 측정된 전압 파형의 플롯 1602 및 변수 센서 (1022) (도 10) 에 의해 측정된 전압 파형의 플롯 1604를 포함한다. 출력부들 (1008 및 1010) 과 연관된 변수들의 위상들이 슬레이브 RF 생성기 (1012) (도 10) 에 의해 조정되기 전에, 위상 시프트는 전압 파형들 (1602 및 1604) 사이에 존재한다.
도 16b는 출력부 (1008) (도 10) 와 연관된 변수 및 출력부 (1010) (도 10) 와 연관된 변수의 위상들의 차의 감소를 예시하기 위한 그래프 1606의 실시예의 도면이다. 그래프 1606은 시간 t에 대해 전압 V를 플롯팅한다. 그래프 1606은 변수 센서 (1020) (도 10) 에 의해 측정된 전압 파형의 플롯 1602 및 변수 센서 (1022) (도 10) 에 의해 측정된 전압 파형의 플롯 1604를 포함한다. 출력부들 (1008 및 1010) 과 연관된 변수들의 위상들이 슬레이브 RF 생성기 (1012) (도 10) 에 의해 조정된 후에, 전압 파형들 (1602 및 1604) 사이의 위상 시프트는 감소되고, 예를 들어, 존재하지 않고, 0으로 감소되고, 미리 설정된 범위 내에 있도록 감소되고, 등 한다.
도 16c는 인자를 달성하도록 전압 파형 1604의 크기의 변화, 예를 들어, 증가, 감소, 등을 예시하기 위한 그래프 1608의 실시예의 도면이다. 그래프 1608은 시간에 대해 전압을 플롯팅한다. 슬레이브 RF 생성기 (1012) 에 의해 생성된 RF 신호의 변수의 크기의 변화가 달성될 때 전압 파형 1604의 크기의 변화가 달성된다.
도 17a는 프로세스 1 동안, 에지 영역 (102) (도 10) 의 플라즈마 시스의 틸팅이 슬레이브 RF 생성기 (1012) 에 의해 생성된 RF 신호의 변수의 크기를 제어함으로써 제어된다는 것을 예시하기 위한 그래프 1700의 실시예의 도면이다. 게다가, 슬레이브 RF 생성기 (1012) 에 의해 생성된 RF 신호의 변수의 크기가 인자를 달성하도록 변화될 때 중심 영역 (132) (도 10) 내에서 플라즈마 시스에 대한 영향은 거의 없거나 전혀 없다. 슬레이브 RF 생성기 (1012) (도 10) 에 의해 생성된 RF 신호의 위상이 마스터 RF 생성기 (1014) (도 10) 에 의해 생성된 RF 신호의 위상으로부터 미리 설정된 범위 내에 있고 그리고/또는 슬레이브 RF 생성기 (1012) 에 의해 생성된 RF 신호의 주파수가 마스터 RF 생성기 (1014) 에 의해 생성된 RF 신호의 주파수로부터 미리 결정된 범위 내에 있을 때 이러한 영향이 거의 또는 전혀 달성되지 않는다. 그래프 1700은 플롯 1702, 플롯 1704, 및 플롯 1706을 포함하고, 그리고 기판 (120) (도 10) 의, 밀리미터 (㎜) 로 측정된, 반경에 대해 도로 측정된, 틸팅 각을 플롯팅한다. 도시된 바와 같이, 제 1 양의 전력 크기가 슬레이브 RF 생성기 (1012) 에 의해 에지 전극 (1016) 에 인가될 때, 에지 영역 (102) 내의 플라즈마 시스의 외향 틸팅이 있다. 게다가, 제 2 양의 전력 크기가 슬레이브 RF 생성기 (1012) 에 의해 에지 전극 (1016) 에 인가될 때, 에지 영역 (102) 내의 플라즈마 시스의 외향 틸팅은 에지 영역 (102) 내의 플라즈마 시스의 실질적으로 편평한 틸팅으로 감소된다. 또한, 제 3 양의 전력 크기가 슬레이브 RF 생성기 (1012) 에 의해 에지 전극 (1016) 에 인가될 때, 실질적으로 편평한 틸팅은 에지 영역 (102) 내의 플라즈마 시스의 내향 틸팅으로 변화된다. 제 1 전력 크기는 제 2 전력 크기보다 크고, 제 2 전력 크기는 제 3 전력 크기보다 크다.
도 17b는 프로세스 2 동안, 에지 영역 (102) (도 10) 내의 플라즈마 시스의 틸팅이 슬레이브 RF 생성기 (1012) 에 의해 생성된 RF 신호의 변수의 크기를 제어함으로써 제어된다는 것을 예시하기 위한 그래프 1708의 실시예의 도면이다. 그래프 1708은 플롯 1710, 플롯 1712, 및 플롯 1714를 포함하고, 그리고 기판 (120) (도 10) 의 반경에 대해 틸팅 각을 플롯팅한다. 도시된 바와 같이, 제 4 양의 전력 크기가 슬레이브 RF 생성기 (1012) 에 의해 에지 전극 (1016) 에 인가될 때, 에지 영역 (102) 내의 플라즈마 시스의 외향 틸팅이 있다. 게다가, 제 5 양의 전력 크기가 슬레이브 RF 생성기 (1012) 에 의해 에지 전극 (1016) 에 인가될 때, 에지 영역 (102) 내의 플라즈마 시스의 외향 틸팅이 감소된다. 또한, 제 6 양의 전력 크기가 슬레이브 RF 생성기 (1012) 에 의해 에지 전극 (1016) 에 인가될 때, 플롯 1712에 도시된 틸팅이 에지 영역 (102) 내의 플라즈마 시스의 내향 틸팅으로 변화된다. 제 4 전력 크기는 제 5 전력 크기보다 크고, 제 5 전력 크기는 제 6 전력 크기보다 크다.
일부 실시예들에서, 프로세스 2에 대한, 레시피의 적어도 부분, 예를 들어, 플라즈마 챔버 (104) (도 1) 내의 압력, 또는 플라즈마 챔버 (104) 내의 온도, 또는 상부 전극 (121) (도 1) 과 척 (114) (도 1) 사이의 갭, 또는 프로세스 가스의 타입, 또는 프로세스 가스의 양, 또는 프로세스 가스의 플로우 레이트, 또는 에지 전극 (1016) (도 10) 의 높이, 또는 에지 전극 (1016) 의 재료, 또는 플라즈마 챔버 (104) 로부터 나가는 플라즈마의 레이트, 또는 이들의 2 개 이상의 조합, 등은 프로세스 1 동안 레시피의 부분과 상이하다.
상기에 기술된 실시예들 중 일부에서, RF 신호는 척 (114) 에 공급되고 그리고 상부 전극 (121) 은 접지된다는 것이 주의되어야 한다. 다양한 실시예들에서, RF 신호는 상부 전극 (121) 에 공급되고 그리고 척 (114) 은 접지된다.
일부 실시예들에서, 전극 (202) 및 커플링 링 (112) 각각은 복수의 세그먼트들로 세그먼팅된다. 하나 이상의 RF 생성기들로부터 RF 전력이 전극 (202) 의 세그먼트들 각각으로 독립적으로 제공된다.
본 명세서에 기술된 실시예들은 휴대형 하드웨어 유닛들, 마이크로프로세서 시스템들, 마이크로프로세서-기반 또는 프로그램가능 가전, 미니컴퓨터들, 메인프레임 컴퓨터들 등을 포함하는 다양한 컴퓨터 시스템 구성들로 실시될 수도 있다. 본 명세서에 기술된 실시예들은 또한 컴퓨터 네트워크를 통해 링크된 원격 프로세싱 하드웨어 유닛들에 의해 태스크들이 수행되는 분산 컴퓨팅 환경들에서 실시될 수 있다.
일부 실시예들에서, 제어기는 상술된 예들의 일부일 수도 있는, 시스템의 일부이다. 시스템은 프로세싱 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템 등) 을 위한 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 플랫폼 또는 플랫폼들을 포함하는 반도체 프로세싱 장비를 포함한다. 시스템은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 동안에 또는 이후에 그의 동작을 제어하기 위한 전자 장치들과 통합된다. 이 전자 장치들은 시스템 의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭된다. 제어기는 프로세싱 요건들 및/또는 시스템 유형에 따라 본 명세서에서 기술된 모든 프로세스를 제어하도록 프로그램되며, 이러한 프로세스는 프로세스 가스들의 전달, 온도 설정 (예를 들어, 가열 및/또는 냉각), 압력 설정, 진공 설정, 전력 설정, RF 생성기 설정, RF 매칭 회로 설정, 주파수 설정, 플로우 레이트 설정, 유체 전달 설정, 위치 및 동작 설정, 및 시스템에 연결되거나 시스템과 인터페이싱하는 툴 및 다른 전달 툴들 및/또는 로드록들 내외로의 웨이퍼 이송 등을 포함한다.
일반적으로 말하면, 다양한 실시예들에서, 제어기는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치들로서 규정되며, 이들은 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고 엔드포인트 측정, 등을 인에이블한다. 집적 회로는 프로그램 인스트럭션들을 저장하는 펌웨어 형태로 된 칩들, DSP들 (digital signal processors), ASIC들 (Application Specific Integrated Circuit) 로서 규정되는 칩들, PLD들 (programmable logic devices), 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 를 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함한다. 프로그램 인스트럭션들은 반도체 웨이퍼 상의 또는 이에 대한 프로세스를 실행하기 위한 동작 파라미터들을 규정하는 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 통신되는 인스트럭션들이다. 동작 파라미터들은, 일부 실시예들에서, 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 실리콘 이산화물, 표면들, 회로들 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하기 위해서 프로세스 엔지니어들에 의해서 규정된 레시피의 일부이다.
제어기는 일부 실시예들에서, 시스템에 통합되거나 시스템에 커플링되거나 이와 달리 시스템에 네트워킹되거나 이들의 조합으로 된 컴퓨터에 커플링되거나 컴퓨터의 일부이다. 예를 들어, 제어기는 "클라우드" 내에 있거나 팹 (fab) 호스트 컴퓨터 시스템의 일부 또는 전부이며, 이는 웨이퍼 프로세싱을 위한 원격 액세스를 가능하게 한다. 제어기는 제조 동작들의 현 진행 사항을 모니터링하기 위해서 시스템으로의 원격 액세스를 인에이블하며, 지난 제조 동작들의 이력을 검사하고, 복수의 제조 동작들로부터의 경향성들 또는 성능 계측사항들을 검사하고, 현 프로세싱의 파라미터를 변화시키게 하며 현 프로세싱을 따르도록 프로세싱 단계들을 설정하게 하고, 새로운 프로세스를 시작하게 한다.
일부 실시예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 프로세스 레시피들을 컴퓨터 네트워크를 통해 시스템에 제공하며, 이 네트워크는 로컬 네트워크 또는 인터넷을 포함한다. 원격 컴퓨터는 사용자 인터페이스들을 포함하며 이 인터페이스는 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하며, 이들은 이어서 원격 컴퓨터로부터 시스템으로 통신된다. 일부 예들에서, 제어기는 웨이퍼를 프로세싱하기 위한 설정사항들의 형태로 인스트럭션들을 수신한다. 설정사항들은 웨이퍼 상에서 수행될 프로세스 타입 및 제어기가 인터페이싱하거나 제어하는 툴의 타입에 특정된다는 것이 이해되어야 한다. 따라서, 상기 기술된 바와 같이, 제어기는 예를 들어 서로 네트워킹된 하나 이상의 개별 제어기들을 포함시키고 예를 들어 본 명세서에서 기술된 프로세스들을 충족하는 것과 같은 공통 목적을 위해서 작동시킴으로써 분산된다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 서로 결합되는 이격되게 위치한 (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 하나 이상의 집적 회로들과 통신하는 챔버 내의 하나 이상의 집적 회로들을 포함한다.
비한정적으로, 다양한 실시예들에서, 시스템은 플라즈마 에칭 챔버, 증착 챔버, 스핀-린스 챔버, 금속 도금 챔버, 세정 챔버, 베벨 에지 에칭 챔버, PVD (physical vapor deposition) 챔버, CVD (chemical vapor deposition) 챔버, ALD (atomic layer deposition) 챔버, ALE (atomic layer etch) 챔버, 이온 주입 챔버, 추적 챔버, 및 반도체 웨이퍼들을 제조 및/또는 제작시에 사용되거나 연관된 임의의 다른 반도체 프로세싱 챔버를 포함한다.
상술된 동작들은 평행 평판 플라즈마 챔버, 예를 들어, 용량 결합된 플라즈마 챔버, 등과 관련하여 기술되었지만, 일부 실시예들에서, 상술된 동작들을 다른 유형들의 플라즈마 챔버들, 예를 들어, ICP 반응기, TCP 반응기, 도전체 툴들, 유전 툴들을 포함하는 플라즈마 챔버, ECR 반응기를 포함하는 플라즈마 챔버, 등에 적용한다는 것을 또한 주의한다. 예를 들어, 하나 이상의 RF 생성기들이 ICP 플라즈마 챔버 내 인덕터에 커플링된다. 인덕터의 형상의 예들은 솔레노이드, 돔-형상 코일, 평탄한 형상의 코일, 등을 포함한다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 동작에 따라, 제어기는 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접하는 툴들, 이웃하는 툴들, 공장 전반에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 반도체 제조 공장에서 웨이퍼들의 용기들을 툴 위치들 및/또는 로드 포트들로 그리고 이들로부터 이동하는 재료 이송 시에 사용되는 툴들 중 하나 이상과 통신한다.
상기 실시예들을 유념하여, 일부 실시예들이 컴퓨터 시스템들에 저장된 데이터를 수반하는 다양한 컴퓨터-구현된 동작들을 채용한다는 것이 이해될 것이다. 이들 컴퓨터 구현된 동작들은 물리량들을 조작하는 동작들이다.
일부 실시예들은 또한 이들 동작들을 수행하기 위한 하드웨어 유닛 또는 장치와 관련된다. 장치는 특수 목적 컴퓨터를 위해 특별히 구성된다. 특수 목적 컴퓨터로서 규정될 때, 컴퓨터는 특수 목적의 일부가 아닌 다른 프로세싱, 프로그램 실행 또는 루틴들을 수행하지만, 여전히 특수 목적을 위해 동작할 수 있다.
일부 실시예들에서, 본 명세서에 기술된 동작들은 컴퓨터 메모리에 저장되거나 컴퓨터 네트워크를 통해 획득된 하나 이상의 컴퓨터 프로그램들에 의해 선택적으로 활성화되거나 구성된 컴퓨터에 의해 수행된다. 데이터가 컴퓨터 네트워크를 통해 획득될 때, 데이터는 컴퓨터 네트워크 상의 다른 컴퓨터들, 예를 들어, 컴퓨팅 리소스들의 클라우드에 의해 프로세싱될 수도 있다.
본 명세서에 기술된, 하나 이상의 실시예들은 또한 비일시적인 컴퓨터-판독가능 매체 상의 컴퓨터 판독가능 코드로서 제조될 수 있다. 비일시적인 컴퓨터-판독가능 매체는 이후에 컴퓨터 시스템에 의해 판독되는 데이터를 저장하는 임의의 데이터 저장 하드웨어 유닛, 예를 들어, 메모리 디바이스이다. 비일시적인 컴퓨터-판독가능 매체의 예들은 하드 드라이브, NAS (network attached storage), RAM (read-only memory), ROM (random-access memory), CD-ROMs (compact disc-ROMs), CD-Rs (CD-recordables), CD-RWs (CD-rewritables), 자기 테이프들, 및 다른 광학 및 비광학 데이터 저장 하드웨어 유닛들을 포함한다. 일부 실시예들에서, 비일시적인 컴퓨터-판독가능 매체는 컴퓨터 판독가능 코드가 분산된 방식으로 저장되고 실행되도록 네트워크 커플링된 컴퓨터 시스템을 통해 분산된 컴퓨터-판독가능 유형의 매체를 포함한다.
방법 동작들이 특정한 순서로 상기에 기술되고, 제시되었지만, 다양한 실시예들에서, 다른 관리 동작들이 방법 동작들 사이에서 수행되고, 또는 방법 동작들이 약간 상이한 시간들에 일어나도록 조정되고, 또는 다양한 간격들로 방법 동작들의 발생을 가능하게 하는 시스템 내에 분산되고, 또는 상기 기술된 순서와 상이한 순서로 수행된다.
일 실시예에서, 상기 기술된 임의의 실시예로부터 하나 이상의 특징들은 본 개시에 기술된 다양한 실시예들에 기술된 범위로부터 벗어나지 않고 임의의 다른 실시예의 하나 이상의 피처들과 결합된다는 것을 또한 주의해야 한다.
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세하게 기술되었지만, 첨부된 청구항들의 범위 내에서 특정한 변화들 및 수정들이 실시될 수 있다는 것이 자명할 것이다. 따라서, 본 실시예들은 예시적이고 비제한적인 것으로 간주되고, 실시예들은 본 명세서에 주어진 상세들로 제한되지 않지만, 첨부된 청구항들의 범위 및 등가물들 내에서 수정될 수도 있다.

Claims (20)

  1. 프로세서로서,
    제 1 임피던스 매칭 회로에 제 1 RF (radio frequency) 신호를 제공하는 제 1 RF 생성기의 동작 주파수를 수신하고;
    제 2 임피던스 매칭 회로에 제 2 RF 신호를 제공하기 위해 상기 제 2 RF 신호의 생성을 용이하게 하도록 제 2 RF 생성기의 전력 공급부를 제어하고―상기 제 2 임피던스 매칭 회로는 플라즈마 챔버 내의 에지 전극에 커플링되는 출력부를 가지고, 상기 제 2 RF 신호는 상기 제 1 RF 생성기의 상기 동작 주파수에 기초하여 생성됨―;
    상기 제 1 임피던스 매칭 회로의 출력부와 연관된 변수의 제 1 측정값을 수신하고;
    상기 제 2 임피던스 매칭 회로의 출력부로부터 상기 변수의 제 2 측정값을 수신하고; 그리고
    상기 제 1 측정값 및 상기 제 2 측정값에 기초하여 상기 제 2 RF 신호의 위상을 수정하도록 구성된, 상기 프로세서; 및
    상기 프로세서에 커플링된 메모리 디바이스를 포함하는, 제어기.
  2. 제 1 항에 있어서,
    상기 제 1 임피던스 매칭 회로의 상기 출력부는 상기 플라즈마 챔버 내 메인 전극에 커플링되고, 상기 에지 전극은 상기 메인 전극을 둘러싸는, 제어기.
  3. 제 1 항에 있어서,
    상기 프로세서는 상기 제 1 RF 생성기의 상기 동작 주파수에 기초하여 상기 전력 공급부의 동작 주파수를 조정하도록 구성되고, 상기 전력 공급부의 상기 동작 주파수는 상기 제 1 RF 생성기의 상기 동작 주파수로부터 미리 결정된 범위 내이도록 조정되는, 제어기.
  4. 제 1 항에 있어서,
    상기 전력 공급부의 상기 동작 주파수가 조정된 후 상기 위상이 수정되는, 제어기.
  5. 제 1 항에 있어서,
    상기 변수는 복소 전압 또는 복소 전류인, 제어기.
  6. 제 1 항에 있어서,
    상기 프로세서는 상기 제 1 측정값으로부터 제 1 위상 및 상기 제 2 측정값으로부터 제 2 위상을 식별하도록 구성되고, 상기 프로세서는 상기 제 2 위상이 상기 제 1 위상으로부터 미리 설정된 범위 내인지 여부를 결정하도록 구성되고, 상기 제 2 위상이 상기 미리 설정된 범위 밖이라는 결정시, 상기 제 2 RF 신호의 상기 위상이 수정되는, 제어기.
  7. 제 6 항에 있어서,
    상기 제 2 RF 신호의 상기 위상을 수정하기 위해, 상기 프로세서는 상기 제 2 RF 신호가 상기 전력 공급부로부터 제공되는 시간을 조정하도록 구성되는, 제어기.
  8. 제 1 항에 있어서,
    상기 제 1 측정값은 상기 제 1 임피던스 매칭 회로의 상기 출력부에 커플링된 제 1 센서로부터 수신되고, 그리고 상기 제 2 측정값은 상기 제 2 임피던스 매칭 회로의 상기 출력부에 커플링된 제 2 센서로부터 수신되는, 제어기.
  9. 제 1 항에 있어서,
    상기 프로세서는 드라이버를 통해 상기 전력 공급부에 커플링되는, 제어기.
  10. 제 1 RF 신호를 생성하도록 구성된 제 1 RF 생성기;
    메인 전극 및 에지 전극을 갖는 플라즈마 챔버;
    상기 제 1 RF 신호를 수신하기 위해 상기 제 1 RF 생성기에 커플링된 제 1 임피던스 매칭 회로로서, 상기 제 1 임피던스 매칭 회로는 상기 메인 전극에 커플링된 출력부를 갖는, 상기 제 1 임피던스 매칭 회로;
    제 2 RF 신호를 생성하도록 구성된 제 2 RF 전력 공급부;
    상기 제 2 RF 신호를 수신하기 위해 상기 제 2 RF 전력 공급부에 커플링된 제 2 임피던스 매칭 회로로서, 상기 제 2 임피던스 매칭 회로는 상기 에지 전극에 커플링된 출력부를 갖는, 상기 제 2 임피던스 매칭 회로; 및
    상기 제 1 RF 생성기 및 상기 제 2 RF 전력 공급부에 커플링된 프로세서로서,
    상기 제 1 RF 생성기의 동작 주파수를 수신하고―상기 제 2 RF 신호는 상기 제 1 RF 생성기의 상기 동작 주파수에 기초하여 생성됨―;
    상기 제 1 임피던스 매칭 회로의 상기 출력부와 연관된 변수의 제 1 측정값을 수신하고;
    상기 제 2 임피던스 매칭 회로의 상기 출력부로부터 상기 변수의 제 2 측정값을 수신하고; 그리고
    상기 제 1 측정값 및 상기 제 2 측정값에 기초하여 상기 제 2 RF 신호의 위상을 수정하도록 구성된, 상기 프로세서를 포함하는, 플라즈마 시스템.
  11. 제 10 항에 있어서,
    상기 에지 전극은 상기 메인 전극을 둘러싸는, 플라즈마 시스템.
  12. 제 10 항에 있어서,
    상기 프로세서는 상기 제 1 RF 생성기의 상기 동작 주파수에 기초하여 상기 제 2 RF 전력 공급부의 동작 주파수를 조정하도록 구성되고, 상기 제 2 RF 전력 공급부의 상기 동작 주파수는 상기 제 1 RF 생성기의 상기 동작 주파수로부터 미리 결정된 범위 내이도록 조정되는, 플라즈마 시스템.
  13. 제 10 항에 있어서,
    상기 제 2 RF 전력 공급부의 상기 동작 주파수가 조정된 후 상기 위상이 수정되는, 플라즈마 시스템.
  14. 제 10 항에 있어서,
    상기 변수는 복소 전압 또는 복소 전류인, 플라즈마 시스템.
  15. 제 10 항에 있어서,
    상기 프로세서는 상기 제 1 측정값으로부터 제 1 위상 및 상기 제 2 측정값으로부터 제 2 위상을 식별하도록 구성되고, 상기 프로세서는 상기 제 2 위상이 상기 제 1 위상으로부터 미리 설정된 범위 내인지 여부를 결정하도록 구성되고, 상기 제 2 위상이 상기 미리 설정된 범위 밖이라는 결정시, 상기 제 2 RF 신호의 상기 위상이 수정되는, 플라즈마 시스템.
  16. 제 15 항에 있어서,
    상기 제 2 RF 신호의 상기 위상을 수정하기 위해, 상기 프로세서는 상기 제 2 RF 신호가 상기 제 2 RF 전력 공급부로부터 출력되는 시간을 조정하도록 구성되는, 플라즈마 시스템.
  17. 제 10 항에 있어서,
    상기 제 1 측정값은 상기 제 1 임피던스 매칭 회로의 상기 출력부에 커플링된 제 1 센서로부터 수신되고, 그리고 상기 제 2 측정값은 상기 제 2 임피던스 매칭 회로의 상기 출력부에 커플링된 제 2 센서로부터 수신되는, 플라즈마 시스템.
  18. 제 10 항에 있어서,
    상기 프로세서는 드라이버를 통해 상기 제 2 RF 전력 공급부에 커플링되는, 플라즈마 시스템.
  19. 제 1 임피던스 매칭 회로에 제 1 RF (radio frequency) 신호를 제공하는 제 1 RF 생성기의 동작 주파수를 수신하는 단계;
    제 2 임피던스 매칭 회로에 제 2 RF 신호를 제공하기 위해 상기 제 2 RF 신호의 생성을 용이하게 하도록 제 2 RF 생성기의 전력 공급부를 제어하는 단계로서, 상기 제 2 임피던스 매칭 회로는 플라즈마 챔버 내의 에지 전극에 커플링되는 출력부를 가지고, 상기 제 2 RF 신호는 상기 제 1 RF 생성기의 상기 동작 주파수에 기초하여 생성되는, 상기 제 2 RF 생성기의 전력 공급부를 제어하는 단계;
    상기 제 1 임피던스 매칭 회로의 출력부와 연관된 변수의 제 1 측정값을 수신하는 단계;
    상기 제 2 임피던스 매칭 회로의 출력부로부터 상기 변수의 제 2 측정값을 수신하는 단계; 및
    상기 제 1 측정값 및 상기 제 2 측정값에 기초하여 상기 제 2 RF 신호의 위상을 수정하는 단계를 포함하는, 방법.
  20. 제 19 항에 있어서,
    상기 제 1 임피던스 매칭 회로의 상기 출력부는 상기 플라즈마 챔버 내 메인 전극에 커플링되고, 상기 에지 전극은 상기 메인 전극을 둘러싸는, 방법.
KR1020220072281A 2016-07-25 2022-06-14 메인 rf 생성기 및 에지 rf 생성기를 동기화함으로써 플라즈마 챔버 내에서 에지 영역과 연관된 미리 결정된 인자를 달성하기 위한 시스템들 및 방법들 KR102451940B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662366567P 2016-07-25 2016-07-25
US62/366,567 2016-07-25
US15/636,519 US10283330B2 (en) 2016-07-25 2017-06-28 Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators
US15/636,519 2017-06-28
KR1020170088762A KR102410563B1 (ko) 2016-07-25 2017-07-13 메인 rf 생성기 및 에지 rf 생성기를 동기화함으로써 플라즈마 챔버 내에서 에지 구역과 연관된 미리 결정된 인자를 달성하기 위한 시스템들 및 방법들

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020170088762A Division KR102410563B1 (ko) 2016-07-25 2017-07-13 메인 rf 생성기 및 에지 rf 생성기를 동기화함으로써 플라즈마 챔버 내에서 에지 구역과 연관된 미리 결정된 인자를 달성하기 위한 시스템들 및 방법들

Publications (2)

Publication Number Publication Date
KR20220088833A true KR20220088833A (ko) 2022-06-28
KR102451940B1 KR102451940B1 (ko) 2022-10-06

Family

ID=60990094

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020170088762A KR102410563B1 (ko) 2016-07-25 2017-07-13 메인 rf 생성기 및 에지 rf 생성기를 동기화함으로써 플라즈마 챔버 내에서 에지 구역과 연관된 미리 결정된 인자를 달성하기 위한 시스템들 및 방법들
KR1020220072281A KR102451940B1 (ko) 2016-07-25 2022-06-14 메인 rf 생성기 및 에지 rf 생성기를 동기화함으로써 플라즈마 챔버 내에서 에지 영역과 연관된 미리 결정된 인자를 달성하기 위한 시스템들 및 방법들

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020170088762A KR102410563B1 (ko) 2016-07-25 2017-07-13 메인 rf 생성기 및 에지 rf 생성기를 동기화함으로써 플라즈마 챔버 내에서 에지 구역과 연관된 미리 결정된 인자를 달성하기 위한 시스템들 및 방법들

Country Status (5)

Country Link
US (2) US10283330B2 (ko)
JP (2) JP7072354B2 (ko)
KR (2) KR102410563B1 (ko)
CN (2) CN111489952B (ko)
TW (2) TWI800158B (ko)

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
JP6545261B2 (ja) 2014-10-17 2019-07-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 付加製造プロセスを使用する、複合材料特性を有するcmpパッド構造
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US9852889B1 (en) * 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10283330B2 (en) * 2016-07-25 2019-05-07 Lam Research Corporation Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators
US10665433B2 (en) * 2016-09-19 2020-05-26 Varian Semiconductor Equipment Associates, Inc. Extreme edge uniformity control
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10763081B2 (en) * 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
JP6902450B2 (ja) * 2017-10-10 2021-07-14 東京エレクトロン株式会社 プラズマ処理方法
CN111670491A (zh) 2018-01-31 2020-09-15 朗姆研究公司 静电卡盘(esc)基座电压隔离
US11848177B2 (en) * 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
CN110323117B (zh) * 2018-03-28 2024-06-21 三星电子株式会社 等离子体处理设备
CN110416049B (zh) * 2018-04-28 2022-02-11 中微半导体设备(上海)股份有限公司 可调节边缘射频等离子体分布的ccp刻蚀装置及其方法
JP7170422B2 (ja) * 2018-05-15 2022-11-14 東京エレクトロン株式会社 処理装置
TWI652751B (zh) * 2018-06-26 2019-03-01 旺矽科技股份有限公司 用於垂直式共振腔面射型雷射晶粒之點測方法
JP7080114B2 (ja) * 2018-06-27 2022-06-03 株式会社Screenホールディングス 基板処理装置および基板処理方法
US11183368B2 (en) * 2018-08-02 2021-11-23 Lam Research Corporation RF tuning systems including tuning circuits having impedances for setting and adjusting parameters of electrodes in electrostatic chucks
KR101938574B1 (ko) * 2018-08-24 2019-04-10 주식회사 알에프피티 플라즈마 챔버에 고정밀 및 고속의 전력을 공급하기 위한 디지탈 전력전달장치
US10991550B2 (en) * 2018-09-04 2021-04-27 Lam Research Corporation Modular recipe controlled calibration (MRCC) apparatus used to balance plasma in multiple station system
CN112654655A (zh) 2018-09-04 2021-04-13 应用材料公司 先进抛光垫配方
KR102438864B1 (ko) * 2018-09-28 2022-08-31 램 리써치 코포레이션 플라즈마 챔버의 전극으로 전력 전달 최적화를 위한 방법들 및 시스템들
JP6762410B2 (ja) * 2018-10-10 2020-09-30 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
KR102111504B1 (ko) 2018-10-15 2020-05-18 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR102595900B1 (ko) * 2018-11-13 2023-10-30 삼성전자주식회사 플라즈마 처리 장치
US11289310B2 (en) * 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP7117734B2 (ja) * 2018-12-06 2022-08-15 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11515123B2 (en) * 2018-12-21 2022-11-29 Advanced Energy Industries, Inc. Apparatus and system for modulated plasma systems
US11804362B2 (en) * 2018-12-21 2023-10-31 Advanced Energy Industries, Inc. Frequency tuning for modulated plasma systems
US10720305B2 (en) * 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
US11361947B2 (en) * 2019-01-09 2022-06-14 Tokyo Electron Limited Apparatus for plasma processing and method of etching
WO2020167451A1 (en) 2019-02-12 2020-08-20 Lam Research Corporation Electrostatic chuck with ceramic monolithic body
KR102290910B1 (ko) * 2019-06-27 2021-08-19 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR102214333B1 (ko) * 2019-06-27 2021-02-10 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN112151343B (zh) * 2019-06-28 2023-03-24 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体处理装置及其方法
US20220415625A1 (en) * 2019-12-06 2022-12-29 Lam Research Corporation Substrate supports with integrated rf filters
CN112992635B (zh) * 2019-12-13 2023-10-24 中微半导体设备(上海)股份有限公司 一种晶圆固定装置及其形成方法、等离子体处理设备
WO2021178185A1 (en) * 2020-03-06 2021-09-10 Lam Research Corporation Tuning voltage setpoint in a pulsed rf signal for a tunable edge sheath system
JP7411463B2 (ja) * 2020-03-17 2024-01-11 東京エレクトロン株式会社 検査方法及び検査装置
US11276601B2 (en) 2020-04-10 2022-03-15 Applied Materials, Inc. Apparatus and methods for manipulating power at an edge ring in a plasma processing device
TWI767655B (zh) * 2020-05-01 2022-06-11 日商東京威力科創股份有限公司 蝕刻裝置及蝕刻方法
JP2022007865A (ja) * 2020-05-01 2022-01-13 東京エレクトロン株式会社 エッチング装置及びエッチング方法
JPWO2022004209A1 (ko) * 2020-06-29 2022-01-06
CN114551199A (zh) * 2020-11-19 2022-05-27 中微半导体设备(上海)股份有限公司 一种限制环及其制作方法、以及等离子体处理装置
WO2022125231A1 (en) * 2020-12-08 2022-06-16 Lam Research Corporation Low frequency rf generator and associated electrostatic chuck
KR20230133757A (ko) * 2021-02-05 2023-09-19 램 리써치 코포레이션 균일성을 달성하기 위한 듀티 사이클 제어
WO2022216419A1 (en) * 2021-04-07 2022-10-13 Lam Research Corporation Systems and methods for controlling a plasma sheath characteristic
WO2023003832A1 (en) * 2021-07-23 2023-01-26 Lam Research Corporation Plasma systems and methods for using square-shaped pulse signals
KR102580583B1 (ko) * 2021-08-10 2023-09-21 피에스케이 주식회사 기판 처리 장치
KR20240063924A (ko) * 2021-09-29 2024-05-10 램 리써치 코포레이션 에지 용량성 커플링 플라즈마 (capacitively coupled plasma, ccp) 챔버 구조체
WO2023240003A1 (en) * 2022-06-08 2023-12-14 Lam Research Corporation Rf and dc frequency and phase locked pulsed edge tilt control system
WO2024112517A1 (en) * 2022-11-23 2024-05-30 Lam Research Corporation Systems and methods for controlling tilts across a surface of a substrate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006156530A (ja) * 2004-11-26 2006-06-15 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理装置の制御方法
KR20120077380A (ko) * 2010-12-30 2012-07-10 엘아이지에이디피 주식회사 플라즈마를 이용한 기판처리장치 및 이를 이용한 기판처리방법
KR20140004718U (ko) * 2011-12-15 2014-08-19 어플라이드 머티어리얼스, 인코포레이티드 극단 엣지 튜닝성을 위한 연장형 및 독립형의 rf 전력공급형 음극 기판과 함께 사용하기 위한 프로세스 키트 구성요소들

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5824606A (en) * 1996-03-29 1998-10-20 Lam Research Corporation Methods and apparatuses for controlling phase difference in plasma processing systems
US6447719B1 (en) * 2000-10-02 2002-09-10 Johnson & Johnson Power system for sterilization systems employing low frequency plasma
US8083890B2 (en) * 2005-09-27 2011-12-27 Lam Research Corporation Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
US20080179948A1 (en) * 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
US20100015357A1 (en) * 2008-07-18 2010-01-21 Hiroji Hanawa Capacitively coupled plasma etch chamber with multiple rf feeds
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8911588B2 (en) * 2012-03-19 2014-12-16 Lam Research Corporation Methods and apparatus for selectively modifying RF current paths in a plasma processing system
US10526708B2 (en) * 2012-06-19 2020-01-07 Aixtron Se Methods for forming thin protective and optical layers on substrates
US9408288B2 (en) * 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9336995B2 (en) * 2013-04-26 2016-05-10 Mks Instruments, Inc. Multiple radio frequency power supply control of frequency and phase
US10224210B2 (en) * 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9595424B2 (en) * 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US9761414B2 (en) * 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
US10187032B2 (en) * 2016-06-17 2019-01-22 Lam Research Corporation Combiner and distributor for adjusting impedances or power across multiple plasma processing stations
US9852889B1 (en) * 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10026592B2 (en) * 2016-07-01 2018-07-17 Lam Research Corporation Systems and methods for tailoring ion energy distribution function by odd harmonic mixing
US10283330B2 (en) * 2016-07-25 2019-05-07 Lam Research Corporation Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators
TWI788390B (zh) * 2017-08-10 2023-01-01 美商應用材料股份有限公司 用於電漿處理的分佈式電極陣列

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006156530A (ja) * 2004-11-26 2006-06-15 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理装置の制御方法
KR20120077380A (ko) * 2010-12-30 2012-07-10 엘아이지에이디피 주식회사 플라즈마를 이용한 기판처리장치 및 이를 이용한 기판처리방법
KR20140004718U (ko) * 2011-12-15 2014-08-19 어플라이드 머티어리얼스, 인코포레이티드 극단 엣지 튜닝성을 위한 연장형 및 독립형의 rf 전력공급형 음극 기판과 함께 사용하기 위한 프로세스 키트 구성요소들

Also Published As

Publication number Publication date
US20180025891A1 (en) 2018-01-25
CN111489952B (zh) 2023-11-14
JP7072354B2 (ja) 2022-05-20
CN107665804B (zh) 2020-03-03
CN107665804A (zh) 2018-02-06
TW201816831A (zh) 2018-05-01
US10283330B2 (en) 2019-05-07
US20190244788A1 (en) 2019-08-08
KR20180011711A (ko) 2018-02-02
JP7335999B2 (ja) 2023-08-30
JP2018026331A (ja) 2018-02-15
CN111489952A (zh) 2020-08-04
JP2022106941A (ja) 2022-07-20
TW202213510A (zh) 2022-04-01
TWI752063B (zh) 2022-01-11
TWI800158B (zh) 2023-04-21
KR102410563B1 (ko) 2022-06-16
US11195706B2 (en) 2021-12-07
KR102451940B1 (ko) 2022-10-06

Similar Documents

Publication Publication Date Title
KR102451940B1 (ko) 메인 rf 생성기 및 에지 rf 생성기를 동기화함으로써 플라즈마 챔버 내에서 에지 영역과 연관된 미리 결정된 인자를 달성하기 위한 시스템들 및 방법들
KR102392731B1 (ko) 커플링 링 내에서 전극의 사용에 의해 에지 영역에서 이온들의 방향성 제어를 위한 시스템들 및 방법들
US10340915B2 (en) Frequency and match tuning in one state and frequency tuning in the other state
US20190080885A1 (en) Multi Regime Plasma Wafer Processing to Increase Directionality of Ions
TWI840683B (zh) 耦合環、饋送環系統及電漿電極組件
KR20230164552A (ko) 플라즈마 시스 (sheath) 특성을 제어하기 위한 시스템들 및 방법들
WO2023003832A1 (en) Plasma systems and methods for using square-shaped pulse signals

Legal Events

Date Code Title Description
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant