JP2022515286A - 集積回路i/oの完全性および劣化監視 - Google Patents

集積回路i/oの完全性および劣化監視 Download PDF

Info

Publication number
JP2022515286A
JP2022515286A JP2021538119A JP2021538119A JP2022515286A JP 2022515286 A JP2022515286 A JP 2022515286A JP 2021538119 A JP2021538119 A JP 2021538119A JP 2021538119 A JP2021538119 A JP 2021538119A JP 2022515286 A JP2022515286 A JP 2022515286A
Authority
JP
Japan
Prior art keywords
signal
sensor
buffer
signal path
coupled
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021538119A
Other languages
English (en)
Other versions
JPWO2020141516A5 (ja
JP7419380B2 (ja
Inventor
ファイネ,エヤル
ランドマン,エヴリン
コーヘン,シャイ
レッドラー,ガイ
ウェイントロブ,インバー
Original Assignee
プロテアンテックス リミテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by プロテアンテックス リミテッド filed Critical プロテアンテックス リミテッド
Publication of JP2022515286A publication Critical patent/JP2022515286A/ja
Publication of JPWO2020141516A5 publication Critical patent/JPWO2020141516A5/ja
Priority to JP2024001695A priority Critical patent/JP2024038302A/ja
Application granted granted Critical
Publication of JP7419380B2 publication Critical patent/JP7419380B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31712Input or output aspects
    • G01R31/31717Interconnect testing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2853Electrical testing of internal connections or -isolation, e.g. latch-up or chip-to-lead connections
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/50Testing of electric apparatus, lines, cables or components for short-circuits, continuity, leakage current or incorrect line connections
    • G01R31/66Testing of connections, e.g. of plugs or non-disconnectable joints
    • G01R31/70Testing of connections between components and printed circuit boards
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/16Handling requests for interconnection or transfer for access to memory bus
    • G06F13/1668Details of memory controller
    • G06F13/1673Details of memory controller using buffers
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/409Read-write [R-W] circuits 
    • G11C11/4093Input/output [I/O] data interface arrangements, e.g. data buffers
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/02Detection or location of defective auxiliary circuits, e.g. defective refresh counters
    • G11C29/022Detection or location of defective auxiliary circuits, e.g. defective refresh counters in I/O circuitry
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/02Detection or location of defective auxiliary circuits, e.g. defective refresh counters
    • G11C29/025Detection or location of defective auxiliary circuits, e.g. defective refresh counters in signal lines
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/50Marginal testing, e.g. race, voltage or current testing
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1051Data output circuits, e.g. read-out amplifiers, data output buffers, data output registers, data output level conversion circuits
    • G11C7/1057Data output buffers, e.g. comprising level conversion circuits, circuits for adapting load
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1078Data input circuits, e.g. write amplifiers, data input buffers, data input registers, data input level conversion circuits
    • G11C7/1084Data input buffers, e.g. comprising level conversion circuits, circuits for adapting load
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C2029/0409Online test
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/02Disposition of storage elements, e.g. in the form of a matrix array
    • G11C5/04Supports for storage elements, e.g. memory modules; Mounting or fixing of storage elements on such supports
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1003Interface circuits for daisy chain or ring bus memory arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1431Logic devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • H01L2924/1435Random access memory [RAM]
    • H01L2924/1436Dynamic random-access memory [DRAM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1532Connection portion the connection portion being formed on the die mounting surface of the substrate
    • H01L2924/15321Connection portion the connection portion being formed on the die mounting surface of the substrate being a ball array, e.g. BGA

Abstract

半導体集積回路(IC)用の入力/出力(I/O)ブロックであって、通信チャネルを介したリモートI/Oブロックへの接続に関する少なくとも1つの信号経路を定義するよう構成されており、各信号経路がそれぞれの信号エッジスロープを引き起こす、少なくとも1つのI/Oバッファと、少なくとも1つの信号経路に結合され、(a)第1の信号経路のための信号エッジと第2の信号経路のための信号エッジとの間のタイミング差、および(b)少なくとも1つの信号経路のうちの1つ以上のアイパターンパラメータ、のうちの一方または両方を示す出力信号を生成するよう構成されているI/Oセンサと、を含む、IC用のI/Oブロック。【選択図】図6

Description

関連出願の相互参照
本出願は、2018年12月30日に出願された「INTEGRATED CIRCUIT PAD FAILURE DETECTION」と題された米国仮特許出願第62/786,460号の優先権の利益を主張し、その内容はすべて参照によりその全体が本明細書に組み込まれる。
本発明は、集積回路の分野に関する。
集積回路(IC)は、シリコンウェーハなどの平坦な半導体基板上のアナログおよびデジタル電子回路を含み得る。フォトリソグラフィ技術を使用して微細なトランジスタを基板に印刷し、非常に小さな領域に数十億個のトランジスタの複雑な回路を作成し、ICを使用した最新の電子回路設計を低コストと高性能の両方で実現する。ICは、ファウンドリと呼ばれる工場の組立ラインで生産され、そこでは相補型金属酸化膜半導体(CMOS)ICなどのICの生成を共用化している。デジタルICには、ウェーハ上の機能単位および/または論理単位に配置された数十億個のトランジスタが含まれており、金属、プラスチック、ガラス、またはセラミックのケーシングにパッケージされている。ケーシング、または、パッケージは、はんだを使用するなどして回路基板に接続される。パッケージのタイプには、ICパッドと回路基板間を接続するための、リードフレーム(スルーホール、表面実装、チップキャリアなど)、ピングリッドアレイ、チップスケールパッケージ、ボールグリッドアレイなどが含まれ得る。本明細書で使用する場合、ICという用語は、パッケージを含む集積回路を意味する。
Hofmeister et al.“Ball Grid Array(BGA)Solder Joint Intermittency Detection:SJ BIST”,In IEEE Aerospace Conference,2008は、フィールドプログラマブルゲートアレイ(FPGA)の入力/出力(I/O)ブロックに属するはんだ接合ネットワークの障害、特にボールグリッドアレイパッケージの障害を検出するための感知方法について考察している。これには、パッケージの隅にできるだけ近い2つの未使用のI/Oポートに、小さなコンデンサを取り付けることが含まれる。このアプローチは、断続的な障害を検出するための特別なバンプの監視には好適であり得るが、機能的なバンプの監視には不適である場合がある。
関連技術の前述の例およびそれに関連する制限は、例示的であり、排他的ではないことを意図している。関連技術の他の制限は、明細書を読み、図を検討することにより、当業者に明らかになるであろう。
以下の実施形態およびその態様は、範囲を限定するものではなく、例示的かつ実例的であることを意図するシステム、ツール、および方法と併せて説明および図示される。
一実施形態によれば、半導体集積回路(IC)用の入力/出力(I/O)ブロックであって、通信チャネルを介したリモートI/Oブロックへの接続に関する少なくとも1つの信号経路を定義するよう構成されており、各信号経路がそれぞれの信号エッジスロープ(またはエッジ形状)を引き起こす、少なくとも1つのI/Oバッファと、少なくとも1つの信号経路に結合され、(a)第1の信号経路のための信号エッジと第2の信号経路のための信号エッジとの間のタイミング差、および(b)少なくとも1つの信号経路のうちの1以上のアイパターンパラメータ、のうちの一方または両方を示す出力信号を生成するよう構成されているI/Oセンサと、を含む、IC用のI/Oブロックが提供される。
実施形態では、少なくとも1つのI/Oバッファは、送信バッファを含み、少なくとも1つの信号経路は、通信チャネルに結合された送信バッファの出力に結合された、第1の信号経路を含む。
実施形態では、第1の信号経路は、少なくとも1つの接続バンプを介してリモートI/Oブロックにさらに結合され、I/Oセンサの出力信号は、少なくとも1つの接続バンプの品質をさらに示す。
実施形態では、少なくとも1つの信号経路が、第2の接続バンプを介してリモートI/Oブロックに結合されている第3の信号経路と、第4の信号経路と、を備え、I/Oセンサは、第3および/または第4の信号経路に結合され、I/Oセンサの出力信号が、第1の接続バンプおよび第2の接続バンプの品質を示すような、第3の信号経路の信号エッジと第4の信号経路の信号エッジとの間のタイミング差、ならびに第3の信号経路および/または第4の信号経路のためのアイパターンパラメータのうちの一方または両方をさらに示す出力信号を生成するように構成されている。
実施形態では、少なくとも1つの信号経路は、送信バッファの入力に結合された信号経路、および/または相互接続(インターポーザまたはブリッジなど)を介してリモートI/Oブロックに結合された信号経路、および送信バッファと相互接続の間の接続バンプを含み、I/Oセンサの出力信号は、接続バンプの品質をさらに示す。
実施形態では、I/Oブロックは、通信チャネルに結合された経路で受信された差動バッファ入力信号と、固定レベル信号と、の間の差を出力するように構成された差分バッファをさらに備え、少なくとも1つの信号経路の信号経路は差動バッファの出力に結合されている。
実施形態では、固定レベル信号はICに対する直流電源電圧の所定の割合で固定された電圧であり、任意選択的に、所定の割合が動的に調整され、および/または所定の割合が75%である。
実施形態では、少なくとも1つのI/Oバッファが、通信チャネルに結合された入力を有する受信バッファを含み、少なくとも1つの信号経路のうちの1つの信号経路が受信バッファの出力に結合されている。
実施形態では、受信バッファへの入力が、相互接続(例えばインターポーザまたはブリッジ)、ならびにこの相互接続とリモートI/Oブロックとの間の接続バンプと、を介してリモートI/Oブロックに結合され、I/Oセンサの出力信号が接続バンプの品質をさらに示す。
実施形態では、通信チャネルは、2つの信号線、すなわち第1の信号線に結合されている少なくとも1つの信号経路の第1の信号経路と、第2の信号線に結合されている少なくとも1つの信号経路の第2の信号経路と、を介して差動信号を伝送するように構成されている。
実施形態では、少なくとも1つのI/Oバッファが、2つの信号線を介して差動出力を提供するように構成された送信バッファを備え、第1の信号経路は、第1の信号線に結合されている送信バッファの第1の出力に結合されており、第2の信号経路は、第2の信号線に結合されている送信バッファの第2の出力に結合されている。
実施形態では、第1の信号線が、第1の近端接続バンプを介して送信バッファに結合された第1の相互接続(インターポーザまたはブリッジなど)を備え、第2の信号線が、第2の近端接続バンプを介して送信バッファに結合された第2の相互接続(インターポーザまたはブリッジなど)を備え、I/Oセンサの出力信号が、第1および第2の近端接続バンプの品質をさらに示す。
実施形態では、第1の信号線が、第1の遠端接続バンプを介してリモートI/Oブロックに結合された第1の相互接続(インターポーザまたはブリッジなど)を備え、第2の信号線が、第2の遠端接続バンプを介してリモートI/Oブロックに結合された第2の相互接続(インターポーザまたはブリッジなど)を備え、I/Oセンサの出力信号が、第1および第2の遠端接続バンプの品質をさらに示す。
実施形態では、I/Oセンサは、第1の信号経路の第1のオプションに結合された第1の入力ポートと、第1の信号経路のための第2のオプションに結合された第2の入力ポートと、第2の信号経路に結合された第3の入力ポートと、セレクタであって、I/Oセンサの出力信号が、第2の信号経路の信号エッジと、第1の信号経路の第1のオプションまたは第1の信号経路の第2のオプションのいずれかの信号エッジとの間のタイミング差を選択的に示すように、受信された選択信号に応答して第1または第2の入力ポートを選択するように構成された、セレクタと、を備える。
実施形態では、I/Oブロックは、通信チャネルに結合された信号経路で受信された第1の信号と、第2の固定レベル信号と、の間の差を出力するように構成されている差動バッファをさらに備え、第3の入力ポートは、差動バッファの出力に結合されている。
実施形態では、少なくとも1つのI/Oバッファは、送信バッファであって、第1の入力ポートが通信チャネルに結合されている送信バッファの出力に結合されている、送信バッファ、および通信チャネルに結合された入力を有する受信バッファであって、第2の入力ポートが受信バッファの出力に結合されている、受信バッファ、のうちの一方または両方を含み得る。
実施形態では、アイパターンパラメータは、アイの高さ、アイ幅のジッタ、およびアイの高さの変動のうちの1つ以上を含む。
実施形態では、出力信号は、第1の信号経路の信号エッジと第2の信号経路の信号エッジとの間のタイミング差、またはアイパターンパラメータ、のうちのいずれかを示す幅を有するパルスを含む。
実施形態では、I/Oブロックは、I/Oセンサの出力信号に基づいて少なくとも1つのI/Oバッファのパラメータを調整するように構成された性能オプティマイザ、および/またはI/Oセンサの出力信号に基づいてI/Oバッファの構成を調整するように構成された修復コントローラ、をさらに備える。
実施形態では、修復コントローラは、I/Oセンサの出力信号に応答して、ICの一部または全体を無効にすること、および/またはI/Oセンサの出力信号に応答して、ICの少なくとも一部のレーン再マッピングを引き起こすこと、および/またはI/Oセンサの出力信号に応答して、IC内の送信バッファ強度を調整すること、を行うように構成される。
実施形態では、修復コントローラは、ICの初期動作時および/またはICの通常動作中に動作するように構成され、および/またはICの瞬間温度および/またはICの電圧に基づいて調整がさらに行われる。
実施形態では、I/Oブロックは、I/Oセンサの出力信号から得られたタイミング信号を受信し、このタイミング信号に基づいてデジタル時間信号を提供するように構成された、時間-デジタル変換器をさらに備える。
本明細書に記載されるI/Oブロックを含む半導体集積回路(IC)が提供され得る。
実施形態では、I/Oセンサは、ICの外部で出力信号を通信するように構成される。
実施形態では、半導体ICは、I/Oセンサの出力信号に基づいて時間信号を受信し、受信した時間信号を閾値と比較し、その比較に基づいて、I/Oセンサからの例外的読み出しまたは外れ値読み出しを識別するように構成されている、フィルタされたカウンタブロックをさらに備える。
実施形態では、フィルタされたカウンタブロックは、I/Oセンサからの通常の読み出しの数、および/またはI/Oセンサからの例外的読み出しまたは外れ値読み出しの数をカウントするようにさらに構成されている。
本明細書に記載される半導体ICを含む半導体ICシステムが提供され得る。半導体ICは、I/Oプロファイリング部であって、I/Oセンサの出力信号に基づいて、I/Oプロファイルおよび/または分類を生成するように構成されているI/Oプロファイリング部、ならびに/または組み込み仮想視野(EVS)部であって、I/Oセンサの出力信号に基づいて、半導体ICのピンに対する信号振幅および/または信号スルーレートを判定および/または特性化するようにさらに構成されているEVS部をさらに備え得る。
実施形態では、少なくとも1つの信号経路のうちの1つ以上が、少なくとも1つの接続バンプを介してリモートI/Oブロックにさらに結合されており、I/Oプロファイルおよび/または分類が、I/Oセンサの出力信号を経時的に監視することに基づく。
実施形態では、I/Oプロファイル部は、I/Oプロファイルおよび/または分類を半導体ICのファミリーデータと比較すること、I/Oプロファイルおよび/または分類に基づいて体系的なシフトを検出すること、ならびにテスタデータに基づく外れ値を検出すること、のうちの1つ以上を実施するようにさらに構成されている。
一実施形態によれば、半導体集積回路(IC)の入力/出力(I/O)ブロックを監視するための方法が提供され、I/Oブロックは、通信チャネルを介したリモートI/Oブロックへの接続に関する少なくとも1つの信号経路を定義するI/Oバッファを備え、各信号経路はそれぞれの信号エッジスロープ(またはエッジ形状)を引き起こし、この方法は、I/Oセンサにおいて、第1の信号経路の信号エッジと第2の異なる信号経路の信号エッジとの間のタイミング差、および少なくとも1つの信号経路のうちの1つ以上のアイパターンパラメータ、のうちの一方または両方を示す出力信号を生成することを含む。このような方法の実施形態では、I/Oブロックの実施形態を参照して考察される特徴のうちのいずれかを含むように、方法ステップが任意選択的に提供され得る。
実施形態では、この方法は、I/Oセンサの出力信号に基づいて少なくとも1つのI/Oバッファのパラメータを調整すること、および/またはI/Oセンサの出力信号に基づいてI/Oバッファの構成を調整すること、をさらに含む。
実施形態では、この方法は、I/Oセンサの出力信号に応答してICの一部または全体を無効にすること、およびI/Oセンサの出力信号に応答してICの少なくとも一部のレーン再マッピングを引き起こすこと、のうちの一方または両方をさらに含む。
実施形態では、この調整のステップは、さらに、ICの瞬間温度および/またはICの電圧に基づく。
実施形態では、この方法は、I/Oセンサの出力信号に基づいて、I/Oプロファイルおよび/または分類を生成することをさらに含む。
実施形態では、少なくとも1つの信号経路のうちの1つ以上が、少なくとも1つの接続バンプを介してリモートI/Oブロックにさらに結合されており、I/Oプロファイルおよび/または分類が、I/Oセンサの出力信号を経時的に監視することに基づく。
実施形態では、この方法は、I/Oプロファイルおよび/または分類を半導体ICのファミリーデータと比較すること、I/Oプロファイルおよび/または分類に基づいて系統的推移を検出すること、ならびにテスタデータに基づいて外れ値を検出すること、のうちの1つ以上をさらに含む。
実施形態では、この方法は、I/Oセンサの出力信号に基づく時間信号を閾値と比較することと、この比較に基づいて、I/Oセンサからの例外的読み出しまたは外れ値読み出しを識別することと、をさらに含む。
実施形態では、この方法は、I/Oセンサからの通常の読み出しの数、および/またはI/Oセンサからの例外的読み出しまたは外れ値読み出しの数をカウントすることをさらに含む。
いくつかの実施形態では、コンピュータ可読媒体であって、命令がプロセッサによって実施されるときに、本明細書に開示される方法の実施形態のいずれかを実行するための、記憶された命令を有する、コンピュータ可読媒体を考慮し得る。
上記の例示的な態様および実施形態に加えて、さらなる態様および実施形態は、図を参照し、以下の詳細な説明を検討することによって明らかになるであろう。当業者であれば、明示的に記載されずとも、本明細書に開示される特定の特徴の組み合わせおよび部分的組み合わせも提供され得ることを理解するであろう。
例示的な実施形態を参照図に示す。図に示されている構成要素と特徴の寸法は、一般的に、表示上の便宜と明確さのために選択されたものであり、必ずしも縮尺どおりに示されているわけではない。図面を以下に示す。
ICパッドの完全性を検出するためのコンピュータ化されたシステムを概略的に示す図である。 アドバンストヘテロジニアスパッケージングソリューション(2.5D ICパッケージ)の例のはんだバンプを概略的に示す。 I/Oセンサを含む、本開示による入力/出力(I/O)ブロックの第1の実施形態を示す。 図3によるI/Oセンサの例示的な実装形態を示す。 双方向通信チャネルにおける近端バンプ劣化を測定するための、本開示によるI/Oブロックの第2の実施形態の電気回路図を示す。 双方向通信チャネル上の遠端バンプ劣化を測定するための、本開示によるI/Oブロックの第3の実施形態の電気回路図を示す。 差動通信チャネルでの近端バンプ劣化を測定するための、本開示によるI/Oブロックの第4の実施形態の電気回路図を示す。 差動通信チャネル上の遠端バンプ劣化を測定するための、本開示によるI/Oブロックの第5の実施形態の電気回路図を示す。 終端抵抗を実装して、双方向通信チャネル上の近端バンプ劣化を測定するための、本開示によるI/Oブロックの第6の実施形態の電気回路図を示す。 終端抵抗を実装して、双方向通信チャネル上の遠端バンプ劣化を測定するための、本開示によるI/Oブロックの第7の実施形態の電気回路図を示す。 図3に示す実施形態の変形例による、I/Oセンサのさらなる例示的な実装形態を示す。 測定された近端パルス持続時間のグラフを示す。 測定された遠端パルス持続時間のグラフを示す。 バッファ強度に対するアイ幅のグラフを示す。 バッファ強度に対するシミュレートされた近端パルス幅のグラフを示す。 近端完全性および遠端完全性のオフライン分析の、実際のSiデータを反映した例を示す。 送信機ドライバの強度に対する近端完全性オフライン分析の感度の実際のSiデータを反映した例を示す。 弱いピン(外れ値)分析の実際のSiデータを反映した例を示す。 受信機の性能分布の実際のSiデータを反映した例を示す。 組み込み仮想視野(EVS)機能を使用した遠端信号解析の実際のSiデータを反映した例を示す。 オフライン分析とアイ開口の遠端相関の実際のSiデータを反映した例を示す。 ファームウェアベースのフィルタの概略ブロック図を示す。
入力/出力(I/O)の完全性および/または劣化監視を検出するため、および/またはバンプアレイの電力/性能を最適化するためのデバイス、システム、および方法が本明細書に開示される。I/Oバッファに出入りする第1の経路上の信号と、I/Oバッファに出入りする第2の経路上の信号との間のタイミング差を測定することにより、完全性および/または劣化を識別することができる。例えば、このことは、ICまたはI/Oブロックのキャリブレーションデータ、参照データ、または履歴データに基づいて、測定されたタイミングまたはスロープの差を予想値と比較することによって実現され得る。これにより、バンプ抵抗またはマイクロバンプ抵抗の完全性または劣化、近端および/または遠端でのI/Oバッファの劣化、相互接続/インターポーザの等級付けならびに完全性または劣化、双方向ピンまたは双方向信号の完全性または劣化、単方向ピンまたは単方向信号の完全性または劣化、差動ピンまたは差動信号の完全性または劣化、断続的な障害検出、異なる劣化率のシナリオ、のうちの1つ以上の監視が可能となる。
加えて、または代替的に、このことは、I/Oプロファイリングおよび/または分類をさらに可能にし得る。分類またはプロファイリングは、ダイをSiプロファイルにビニングするプロセスとして理解され得る。各ビン(プロファイル)は、IC設計パラメータのクラスタを含み、結果として、高カバレッジパラメータなどのデバイスレベルパラメータが生じる。Pre-Siの間、IC設計パラメータおよびデバイス処理パラメータがプロファイリング処理の入力として使用され得る。Post-Siの間、センサ値および/または特定の高カバレッジ測定値がプロファイリングプロセスの入力として使用され得る。そのコンテキストでは、プロファイルは、フィールドパフォーマンス(仕様、欠陥など)に適用され得るシグネチャ(データ)値および分布の特定のクラスタと見なすことができる。例えば、製造空間(データ)値の特定のクラスタおよびフィールドパフォーマンス(仕様、欠陥など)に適用され得る分布である。
I/Oプロファイリングおよび/または分類は、ICファミリーデータと組み合わせることが可能であり、これにより、体系的なシフト検出を可能にし、および/またはテスタデータに基づく外れ値の検出を可能にすることができる。この目的のために、オンチップI/Oセンサを提供することができる。この文脈におけるファミリーとは、値が近い共通パラメータを有するとして分類されたICのグループを指す場合がある。例えば、ファミリーは、同じSiプロファイル/分類を有する物理的なダイのグループであり得る。さらに、ファミリーには、シミュレートされたIC設計シミュレーション値とデバイス処理シミュレーション値が物理的なファミリーメンバと同じSiプロファイル/分類を有する、モンテカルロ(MC)サンプルのグループが含まれ得る。ICファミリー分類の詳細は、2019年4月16日に出願された「Integrated Circuit Profiling and Anomaly Detection」と題された係属中のPCT特許出願公開第WO2019/202595号に記載されており、その内容は参照によりその全体が本明細書に組み込まれる。
実施形態では、これによりI/O電力および/または性能の最適化を可能にし得る。例えば、各送信(Tx)バッファの電力は、所望の性能または必要な性能に基づいて調整できるため、アレイ全体の電力を最適化することができる。
実施形態では、I/O修復機能が提供され得る。例えば、これにはすべてのICの一部を無効化することが含まれ得る。別の実施形態では、レーンの再マッピングを含む場合がある。さらなる例は、送信バッファの強度を調整することを含む(例えば、レーンの再マッピングまたは修復が不可能な場合、送信バッファの強度を増加させる)。このような修復機能は、テスタ上またはフィールド内で提供され得る。修復機能は、I/Oプロファイリングおよび/または外れ値検出処理に基づく場合がある。さらなる実施形態は、I/Oミッション生成を提供し得る。
実施形態では、これにより、バッファあたりの電流消費率(dI/dT)の振幅を制御するためのI/Oバッファ(Tx)スルーレートの最適化、およびEMI/RFI(電磁または無線周波数干渉)によるアレイ全体の最適化が、望まれ必要な場合は可能となり得る。
オンチップI/Oセンサと補助的なハードウェアおよび/またはソフトウェアの組み合わせは、エージェントと呼ばれ得る。このようなエージェントの効果により、電力および/または周波数の最適化とビニング、フィールド内での修復動作(上で考察されるレーン修復もしくは再マッピングなど)、I/Oの特性評価、検証、および/またはデバッグならびに品質および信頼性の向上、のうちの1つ以上が可能となる。
本開示による例は、メモリIC、例えば、高帯域幅メモリ(HBM)またはダイナミックランダムアクセスメモリ(DRAM)に基づく他のメモリに適用され得る。他のタイプのメモリまたは他のタイプのICへの適用も可能である。
ここで、ICパッドの完全性を検出するためのコンピュータ化されたシステム100を概略的に示す図1を参照する。コンピュータ化されたシステム100は、131、132、133などのI/Oセンサを備えたIC150を備え、1つがそれぞれ電気的に接続され(141、42、143など)、ICパッドに接続された少なくとも1つのI/Oバッファ(151、52、153など)によって定義される信号間のタイミング遅延を測定する。近端の場合(I/OバッファはTxモードで動作する)、タイミング遅延はI/Oバッファの負荷および/またはI/Oバッファの強度を示す。遠端の場合(I/OバッファはRxモードで動作する)、タイミング遅延は、チャネルの劣化および/またはFEでのTxバッファの強度を示す。NEの場合、I/Oセンサの出力はパルスを含み得、その長さは、I/Oバッファ負荷の変化および/またはI/Oバッファ強度の変化を示し得る。FEの場合、I/Oセンサの出力はパルスを含み得、その長さは、チャネル性能の変化および/またはFEでのTxバッファの強度の変化を示し得る。I/Oセンサは、出力がデジタル時間測定値を含み得るように、時間-デジタル変換器(TDC、任意選択的にI/Oセンサの一部を形成し得る)への入力を提供し得る。
I/Oセンサ出力は、IC150のデータインターフェース111とコンピュータ101Aのデータインターフェース110との間で、データネットワーク140を介して通信され得る。コンピュータ101Aは、1つ以上のハードウェアプロセッサ101B、ユーザインターフェース120、および非一時的なコンピュータ可読記憶媒体102を備える。記憶媒体102上にはプログラムコードモジュール(102A、102B、102Cなど)が符号化されており、これらがハードウェアプロセッサ101B上で実行されると、本明細書に開示されるように、ICを測定および/または制御するための方法の動作を実施する。任意選択的に、I/O出力信号は、本方法の動作を実施するIC上の処理構成要素(図示せず)によって受信される。例えば、データ受信機102Aは、I/O出力信号値を受信する。例えば、ICアナライザ102Bは、I/O出力信号値を分析して、例えば、動作中のICのベースライン動作を判定し、バンプ故障、パッド故障、顕著な故障、将来の故障および同様の異常を検出し、I/OバッファまたはICをプロファイルおよび分類するか、あるいはICのパフォーマンスを調整する。ICアナライザ102Bは、例えば、機械学習および/またはデータ分析に基づいて、プラットフォームベースの分析および警告をさらに提供し得る。次にIC修復機能102Cは、例えば、すべてのICの一部を無効にすること、IC内のレーンを再マッピングすること、およびIC内の送信バッファ強度を調整すること、のうちの1つ以上によって、予測されたまたは実際の故障を軽減することができる。オプティマイザ102Dは、パラメータ最適化を実施して、性能の改善および/または電力の調整をすることができる。
ここで、アドバンストヘテロジニアスパッケージングソリューションの例のはんだバンプを示す図2を参照する。ICパッケージ内、ならびにICパッケージ、インターポーザ、および回路基板の間のいくつかの異なるレベルのはんだ接合が示される。例えば、I/Oバッファは、ICをインターポーザダイに接続するマイクロバンプにはんだ付けされたパッドを駆動し得る。よって、インターポーザダイは2.5D/3Dパッケージング技術の一例である。
例えば、I/Oバッファは、パッドに接続された、制御崩壊チップ接続(C4)(controlled collapse chip connection)バンプなどのフリップチップパッドのI/Oを駆動することができる。C4バンプは一般的なパッケージング技術の一例であり、一般的な場合、本発明は、任意のパッケージング技術、または任意のチップツーチップパッケージング技術に適用可能である。この例(図2)では、2つのダイは、マイクロバンプおよびインターポーザチップを介して互いに接続されている。これは、両端の送信機(Tx)と受信機(Rx)間の双方向I/Oリンクである。フリップチップC4バンプは、パッケージをインターポーザダイに接続するために使用される。BGAはパッケージをボードに接続する。上記のように、I/Oバッファはフリップチップバンプを駆動することができるが、これは図2の例には示されていない。
任意選択的に、電気接続の完全性は、電子パッケージの1つ以上のはんだ接続を介して、ならびに/または回路(例えば、マイクロバンプ、シリコン貫通ビアバンプ、C4バンプ、パッケージバンプ(例えばBGAボール)および同様のもの)を介して検出される。
ここで、I/Oセンサ200を含む、本開示による入力/出力(I/O)ブロックの第1の実施形態を示す図3を参照する。I/Oセンサ200は、送信機(Tx)バッファ210、疑似差動受信(Rx)バッファ220および受信機(Rx)バッファ230を含むI/Oバッファ構成内に結合されている。送信入力201は、Txバッファ210への入力として、またI/Oセンサ220への(第1のポートを介した)第1の入力として提供される。次に、Txバッファ210は、出力215を通信チャネルに提供する。通信チャネルはまた、差動Rxバッファ220およびRxバッファ230への入力225を提供する。Rxバッファ230は、(第2のポートを介して)I/Oセンサ200への第2の入力として出力235を提供する。差動Rxバッファ220への第2の入力は、基準電圧223である。基準電圧223は、IC供給電圧(Vcc)の割合で設定され、この場合、割合は75%である(ただし、この値は調整可能であり得る)。次に、差動Rxバッファ220は、入力225と基準電圧223との間の差に基づいて出力228を提供する。差動Rxバッファ220の出力228は、I/Oセンサ200への第3の入力として(第3のポートを介して)提供される。差動Rxバッファ220動作を可能にするために、差動Rxバッファイネーブル信号225が差動Rxバッファ220に提供され、Rxバッファ動作を有効にするために、Rxバッファイネーブル信号235がRxバッファ230に提供される。
I/Oセンサ制御信号205もまたI/Oセンサに提供される。I/Oセンサ制御信号205(2つの論理状態のうちの1つを採ることができる)に基づいて、I/Oセンサの出力は、第1の入力(送信入力201)および第3の入力(差動Rxバッファ220の出力228)、あるいは第2の入力(Rxバッファ230の出力235)および第3の入力(差動Rxバッファ220の出力228)、のいずれかに基づく。言い換えると、I/Oセンサは、第3の入力ならびに第1の入力または第2の入力のいずれかに基づいて出力を生成する。次に、I/Oセンサは出力Po240を生成し、これは、信号エッジ(典型的には立ち上がりエッジ)間のタイミング差に依存するが、使用されている2つの各入力のそれぞれの信号の立ち下がりエッジまたは立ち下がりエッジと立ち上がりエッジの両方で動作することも可能である。以下でさらに考察されるように、2つの信号間のタイミング差は、I/Oバッファ負荷に有利に対応する。特定の実施形態では、出力Po240は、タイミング(例えばスロープ)差を示す幅を有するパルスとして生成される。出力Po240は、パルス幅を示すデジタル出力を提供するために、(上で考察されるように)TDCに提供され得る。
以下でさらに考察されるように、この出力は、バンプ劣化の監視、より一般的には1つ以上のバンプアレイの監視を可能にし得る。I/Oセンサ200は、特にI/Oブロック内に埋め込まれ(または統合され)、この測定をダイ上で制御することを可能にする。さらに、データは、機能動作中に収集され得る。このデータは、分析プラットフォーム(例えば、図1のコンピュータ101A上で動作可能)にアップロードされ得る。この監視データを使用して、(オンラインまたはオフラインのいずれかで)分析が実施され得る。これは、ICまたはシステムの機能動作に干渉することなく実行され得る。また、特別なテストモードまたはIC動作を停止する必要もない。
ここで、図3によるI/Oセンサの例示的な実装形態を示す図4を参照する。ここで、送信入力201またはRxバッファ230の出力235のいずれかを選択する際のI/Oセンサ制御信号の効果を見ることができる。比較される信号の立ち上がりエッジ間のタイミング差に依存する幅を有するパルスを生成するための例示的なデジタル回路が示されている。しかしながら、当業者であれば、これが他の方法で実施され得ることを理解するであろう。
一般的には、半導体集積回路(IC)の入力/出力(I/O)ブロックが検討され得る。I/Oブロックは、少なくとも1つのI/Oバッファであって、通信チャネルを介したリモートI/Oブロックへの接続に関し、少なくとも1つの信号経路(特に第1および第2の異なる信号経路)を定義するように構成され、各信号経路がそれぞれの信号エッジスロープを引き起こす、少なくとも1つのI/Oバッファと、I/Oセンサであって、少なくとも1つの信号経路(特に第1および第2の信号経路)に結合され、第1の信号経路の信号エッジ(スロープ)と第2の信号経路の信号エッジ(スロープ)との間のタイミング差を示す出力信号を生成するように構成された、I/Oセンサと、を含み得る。
I/Oブロックを備えた半導体ICも考えられる。次に、I/Oセンサは、例えば、通信インターフェースまたはネットワークポートを使用して、ICの外部で出力信号を通信するように構成され得る。I/Oセンサの出力信号に基づいて、I/Oプロファイルおよび/または分類を生成するように構成されたI/Oプロファイリング部も提供され得る。後処理の一部またはすべてがIC上で実施され得るが、他の実施形態では、後処理のすべてが別個のプラットフォーム上で実施され得る。実施形態では、少なくとも1つの信号経路(例えば、第1の信号経路)は、少なくとも1つの接続バンプを介してリモートI/Oブロックに結合されており、I/Oプロファイルおよび/または分類は、I/Oセンサの出力信号を経時的に監視することに基づき得る。好ましくは、複数のバンプ(バンプアレイ)が、このように監視され得る。I/Oプロファイルおよび/または分類は、半導体ICのファミリーデータと比較されること、I/Oプロファイルおよび/または分類に基づいて体系的なシフトを検出するために使用されること、およびテスタデータに基づく外れ値の検出に使用されること、のうちの1つ以上であり得る。
実施形態では、I/Oセンサは、第1の信号経路の第1のオプションに結合された第1の入力ポートと、第1の信号経路のための第2のオプションに結合された第2の入力ポートと、第2の信号経路に結合された第3の入力ポートと、セレクタであって、I/Oセンサの出力信号が、第2の信号経路の信号エッジスロープと、第1の信号経路の第1のオプションまたは第1の信号経路の第2のオプションのいずれかの信号エッジスロープとの間のタイミング差を選択的に示すように、受信された選択信号に応答して第1または第2の入力ポートを選択するように構成された、セレクタと、を備える。例えば、I/Oブロックは、通信チャネルに結合された信号経路で受信された第1の信号(差動バッファ入力信号)と第2の固定レベル信号との間の差を出力するように構成された差動バッファをさらに含み得る。次に、第3の入力ポートは、好ましくは、疑似差動バッファの出力に結合される。第2の固定レベル信号は、事前設定されるかまたは動的に調整可能であり得、および/または有利には、ICのDC電源供給電圧の所定の割合で固定された電圧である。例えば、この所定の割合は、50%より高くおよび/または100%より低く、より好ましくは60%より高くおよび90%より低く、最も好ましくはおよそ75%であり得る。少なくとも1つのI/Oバッファは、送信バッファであって、第1の入力ポートが通信チャネルに結合されている送信バッファの出力に結合されている、送信バッファ、および通信チャネルに結合された入力を有する受信バッファであって、第2の入力ポートが受信バッファの出力に結合されている、受信バッファ、のうちの一方または両方を含み得る。
ここで、双方向通信チャネルでの近端(NE)バンプ劣化を測定するための、本開示によるI/Oブロックの第2の実施形態の電子回路を示す、図5を参照する。言い換えると、これはNE信号の完全性を感知するためのものである。通信チャネルは、パッド容量(Cpad)、μバンプ抵抗(Ruバンプ)、およびインターポーザによって表される。
図に示すとおり、I/Oセンサ制御信号205は、論理「1」に設定されている(例えば、図4の実施形態による)。そうすることにより、I/Oセンサ200は、出力Po240で、送信入力201(Tx_in)と疑似差動Rxバッファ220の出力228(Rx-diff_in)との間の遅延差に正比例する幅を有するパルスを生成する。Tx_inからRx-diff_inへの遅延差は、送信入力201の信号エッジと疑似差分Rxバッファ220の出力228の信号エッジとの間の遅延(Txバッファ210の遅延と疑似差動Rxバッファ220に対するTxバッファ210の遅延との合計)を表す。この遅延は、有効バッファ負荷に正比例する。有効バッファ負荷の変化は、Txバッファ出力信号215のスロープを変化させ、出力Po240でのパルス幅に影響を与える。例えば、NEμバンプ抵抗が増加すると、有効バッファ負荷が減少し、Txバッファ出力信号215のスロープが減少し、したがって出力Po240でのパルスの幅も減少する。出力Po240での信号のパルス幅は、TDCによって測定される。TDCは、パルス幅を表すデジタル読み出しを生成する。パルス幅に変化があった場合、TDCの読み出し値が変化し、測定されたNEμ性能の変化が示される。
このアプローチは、ICの寿命全体にわたって受信および/または送信遅延を感知するのに有用であり得る。それによって、μバンプ抵抗の経年劣化を検出し得て、および/またはμバンプの間欠的切断を検出し得る。
追加的または代替的に、このアプローチは、例えば、パッド(Txバッファ出力)でのスルーレートを特性化または測定するために、Rxバッファ230の基準電圧(VREF)をスキャンするのに有用であり得る。これは、バッファの消費電流率の振幅dI/dTによって引き起こされるEMI/RFI現象を検出して制限するために使用され得る。これについては、以下でさらに考察される。
実施形態では、第2の信号は、通信チャネルに結合された入力を有するCMOSベースの受信バッファによって生成され得る。そうすることにより、I/Oセンサ200は、出力Po240で、送信入力201(Tx_in)とCMOSベースのRxバッファの出力との間の遅延差に正比例する幅を有するパルスを生成する。この場合のTx_inからRx outへの遅延差は、送信入力201の信号エッジとCMOSベースのRxバッファの出力の信号エッジとの間のループ遅延(Txバッファ210の遅延とCMOSベースのRxバッファの遅延との合計)を表す。この遅延は、有効バッファ負荷にも正比例する。
ここで、双方向通信チャネルでの遠端(FE)バンプ劣化を測定するための、本開示によるI/Oブロックの第3の実施形態の電子回路を示す、図6を参照する。ここで、I/Oセンサ制御信号205は、論理「0」に設定される(例えば、図4の実施形態による)。このようにして、I/Oセンサ200は、出力Po240で、Rxバッファ230の出力235(Rx_in)と疑似差動Rxバッファ220の出力228(Rx-diff_in)との間の遅延差に正比例する幅を有するパルスを生成する。Rx_inからRx-diff_inへの遅延は、Rxバッファ230の出力235の信号エッジと差動Rxバッファ220の出力228の信号エッジとの間のタイミング差(遅延)を表す。この遅延差は、Rxバッファ230の入力スロープ(パッド信号)に正比例する。Rxバッファ230の入力スロープに変化があった場合、出力Po240のパルス幅に影響する。例えば、FEμバンプ抵抗が増加すると、Rx_inのスロープが増加し、出力Po240でのパルスの幅も増加する。出力Po240での信号のパルス幅は、TDCによって測定される。TDCは、パルス幅を表すデジタル読み出しを生成する。パルス幅に変化があった場合、TDCの読み出し値が変化し、測定されたFEμ性能の変化が示される。
上記は、I/Oバッファが通信チャネル上の双方向インターフェース用に構成されている実施形態について考察している。ただし、単方向通信の測定も可能である。単方向信号は、NEのみまたはFEのみの信号である。同じI/Oセンサ220が、I/Oセンサ制御信号205の固定構成で使用される。図4の実施形態によれば、近端(NE)信号の完全性のみを感知することは、I/Oセンサ制御信号205を論理「1」に設定することによって実施される。遠端(FE)信号の完全性のみを感知することは、I/Oセンサ制御信号205を論理「0」に設定することによって実施される。
上で考察される一般的な用語に戻ると、さらに任意選択的な特性および/または一般化された実装形態の詳細が検討され得る。例えば、少なくとも1つのI/Oバッファは、送信バッファを含み得る。次に、少なくとも1つの信号経路(例えば、第1の信号経路)は、通信チャネルに結合された送信バッファの出力に結合され得る。任意選択的に、少なくとも1つの信号経路(例えば、第1の信号経路)は、少なくとも1つの接続バンプを介してリモートI/Oブロックにさらに結合される。次に、I/Oセンサの出力信号は、少なくとも1つの接続バンプの品質をさらに示し得る。実施形態では、少なくとも1つの信号経路(例えば、第2の信号経路)は、送信バッファの入力に結合されている。次に、第1の信号経路は、相互接続および送信バッファと相互接続との間の接続バンプを介してリモートI/Oブロックに結合される。この場合、I/Oセンサの出力信号は、接続バンプの品質をさらに示す場合がある。
好ましくは、I/Oブロックは、通信チャネルに結合された信号経路で受信された差動バッファ入力信号と、固定レベル信号と、の間の差を出力するように構成された疑似差動バッファをさらに備え、少なくとも1つの信号経路(例えば、第2の信号経路)は、差動バッファの出力に結合されている。疑似差動バッファは、上記のように考察され得る。このようにして、I/Oセンサ出力は、第1の信号経路と差動バッファの出力との間の時間差を表し得る。
このような実施形態(第2の信号経路などの少なくとも1つの信号経路が差動バッファの出力に結合されている場合では、2つのオプションが考慮され得る。第1のオプション(上で考察されるように)では、少なくとも1つの信号経路(第1の信号経路など)のは別の信号経路が、送信バッファの入力に結合され、通信チャネルに結合される(したがって、典型的には、少なくとも1つの接続バンプを介してリモートI/Oブロックに結合される)。第2のオプションでは、少なくとも1つのI/Oバッファは、通信チャネルに結合された入力を有する受信バッファを含む。次に、少なくとも1つの信号経路(第1の信号経路など)の別の信号経路が、受信バッファの出力に結合される。受信バッファへの入力は、任意選択的に、相互接続および相互接続とリモートI/Oブロックとの間の接続バンプを介してリモートI/Oブロックに結合される。次に、I/Oセンサの出力信号は、接続バンプの品質をさらに示し得る。
実施形態では、I/Oバッファは、通信チャネルを横切る差動信号を使用することができる。ここで、差分通信チャネルでの近端バンプ劣化を測定するための、本開示によるI/Oブロックの第4の実施形態の電子回路を示す、図7を参照する。送信バッファ301は、2つの差動出力、すなわち、第1の出力310(outp)および第2の出力320(outn)を生成する。この場合、近端(NE)差動信号の完全性の感知は、I/Oセンサ制御信号205を論理「1」に設定することによって実施される。I/Oセンサ220は、出力Po240で、第1の受信バッファ(Rx_CMOS)315(Tx_inまたはI/Oセンサ220のcpポートで提供される)を介した第1の出力310と、第2の受信バッファ(Rx_CMOS)325(Rx-diff_inまたはI/Oセンサ220のcnで提供される)を介した第2の出力320と、の間の遅延差に正比例する幅を有する、パルスを生成する。Tx_inからRx-diff_inへの遅延差は、第1の差動バッファ出力310(outp)と第2の差動バッファ出力320(outn)の有効負荷間の不一致に正比例する。これら2つの有効負荷間の不一致に変化があった場合、出力Po240のパルス幅に影響する。例えば、第1の出力310(outp)に接続されたNEμバンプの抵抗が増加すると、第1の出力310(outp)の有効負荷は第2の出力320(outn)の有効負荷に比べて減少し、これにより出力Po240でパルス幅が変更される。Poでの信号のパルス幅はTDCによって測定される。TDCは、パルス幅を表すデジタル読み出しを生成する。パルス幅に変化があった場合、TDCの読み出し値が変化し、測定されたNE差動μバンプ性能の変化が示される。
ここで、差分通信チャネルでの遠端バンプ劣化を測定するための、本開示によるI/Oブロックの第5の実施形態の電子回路を示す、図8を参照する。遠端(FE)差動信号の完全性の感知は、I/Oセンサ制御信号205を論理「1」に設定することによって実施される。リモートエンドから通信チャネルを介して2つの差動入力、すなわち、第1の入力311(padp)および第2の入力321(padn)が提供される。I/Oセンサ200は、出力Po240で、第1の入力311(Tx_inまたはcp)と第2の入力321(Rx-diff_inまたはcn)との間の遅延差に正比例する幅を有するパルスを生成する。Tx_inからRx-diff_inへの遅延差は、第1の差動バッファ入力311(padp)と第2の差動バッファ入力321(padn)の信号スロープ間の不一致に正比例する。第1の差動バッファ入力311での信号スロープと第2の差動バッファ入力321での信号スロープとの間の不一致に変化があった場合、出力Po240でのパルス幅に影響を与える。例えば、第1の差動バッファ入力311(padp)に接続されたFEμバンプの抵抗が増加すると、第1の差動バッファ入力311(padp)での信号スロープは、第2の差動バッファ入力 321(padn)での信号スロープに対して増加する。これにより、出力Po240でのパルス幅が変更される。出力Po240での信号のパルス幅は、TDCによって測定される。TDCは、パルス幅を表すデジタル読み出しを生成する。パルス幅に変化があった場合、TDCの読み出し値が変化し、測定されたNE差動μバンプ性能の変化が示される。
上で考察される一般化された用語を再び参照する。実施形態では、通信チャネルは、2つの信号線を介して差動信号を伝送するように構成されている。次に、第1の信号経路は第1の信号線に結合され得、第2の信号経路は第2の信号線に結合され得る。このような場合、少なくとも1つのI/Oバッファは、2つの信号線を介して差動出力を提供するように構成された送信バッファを含み得る。次に、第1の信号経路は、第1の信号線に結合された送信バッファの第1の出力に結合され得、第2の信号経路は、第2の信号線に結合された送信バッファの第2の出力に結合され得る。実施形態では、第1の信号線は、第1の近端接続バンプを介して送信バッファに結合された第1の相互接続を含み、第2の信号線は、第2の近端接続バンプを介して送信バッファに結合された第2の相互接続を含む。次に、I/Oセンサの出力信号は、第1および第2の近端接続バンプの品質をさらに示し得る。実施形態では、第1の信号線は、第1の遠端接続バンプを介してリモートI/Oブロックに結合された第1の相互接続を含み、第2の信号線は、第2の遠端接続バンプを介してリモートI/Oブロックに結合された第2の相互接続を含む。次に、I/Oセンサの出力信号は、第1および第2の遠端接続バンプの品質をさらに示し得る。
特性評価および/または検証は、いくつかの特性に基づくことができる。NE性能については、電力/性能の最適化(例えば、NEドライバの強度に対する特性評価による)および/またはレーン修復用の隣接ピンの検出を使用できる。FE性能の場合、例えばピンごとのアイ幅の開口部、ピンごとのアイ高さの開口部、およびピンごとのアイ幅ジッタ(最小-最大)のうちの1つ以上のアイパターンパラメータが考慮され得る。FE隣接ピン検出は、以下、すなわち、レーン修復のための弱いピンの検出、設計または製造に起因する系統的な問題、および大きなサンプルデータに基づく外れ値の検出、のうちの1つ以上を考慮して実施することも可能である。
上述した実装形態は、例えば、HBM2などの終端のないメモリICの測定および/または分析に最適である。ただし、HBM3などのより新しいメモリ設計には、例えば疑似開口ドレインドライバを用いた終端が含まれている。その結果、低い論理レベルが0Vより高くなり得る。したがって、このようなICの設計では、CMOSベースのRxバッファは使用されない。I/Oブロック内のI/Oセンサは、この設計を生かして代替的なFE測定および/または分析を行うことができる。
ここで、双方向通信チャネルでの近端バンプ劣化を測定するための、本開示によるI/Oブロックの、終端抵抗器を実装する第6の実施形態の電子回路を示す、図9を参照する。この実施形態は、送信入力201、NEのTxバッファ501、NEの差動Rxバッファ515、およびFEのRxバッファ530を備える。追加の終端抵抗器535は、FEのRxバッファ530側に示されている。I/Oエージェント(センサ)500は、図5を参照して上記のI/Oエージェント200と同様に動作する。I/Oセンサ500は、出力Po540を生成し、これは、使用されている2つの各入力(NEのTxバッファ501への入力およびNEの差動Rxバッファ515の出力からの入力)におけるそれぞれの信号の信号エッジ間のタイミング差に依存する。この出力はTDCに提供される(図示せず)。
ここで、双方向通信チャネルでの遠端バンプ劣化を測定するための、本開示によるI/Oブロックの、終端抵抗器を実装する第7の実施形態の電子回路を示す、図10を参照する。この場合、I/Oセンサ500の近端が受信機として機能する。追加の終端抵抗器525は、NEのTxバッファ501およびNEの差動Rxバッファ515側に示されている。I/Oセンサ500は、VREFでのアイパターン、特に2つのアイ、すなわち、立ち上がりから立ち下がり、および立ち下がりから立ち上がりを測定する。特に、各アイパターンのアイ幅および/またはアイ高を直接測定することができる。I/Oセンサ500は、測定されたアイパターンパラメータを(例えば、パルス幅によって)示す出力Po540を生成する。この場合も、出力540はTDC(図示せず)に提供される。
図9および図10に示されるようなI/Oセンサ500の、さらなる例示的な実装形態を示す図11が参照される。I/Oセンサ500には、したがって2つの入力、すなわち、Txバッファ501への入力、および差動Rxバッファ515からの出力が提供される。差動Rxバッファ515はまた、イネーブル信号(En_Rx_Diff)を有する。I/Oセンサ500は、2つの入力経路上の信号間のタイミング差(NE性能のため)、および/または差動Rxバッファの出力によって提供される入力のアイパターンパラメータ(FE性能のため)を測定することができる。アイパターンパラメータは、アイ幅のジッタおよびアイ高のうちの1つ以上とすることができる。出力信号540は、測定されたパラメータを示している。性能は、ドライバの強度および/またはVREF(特にFE性能の場合)に対して特性化され得る。
一般的な意味において、半導体集積回路(IC)の入力/出力(I/O)ブロックが考慮され得て、I/Oブロックは、通信チャネルを介したリモートI/Oブロックへの接続に関する少なくとも1つの信号経路(各信号経路がそれぞれの信号エッジスロープを引き起こす)を定義するように構成された少なくとも1つのI/Oバッファと、少なくとも1つの信号経路(例えば、第1および/または第2の信号経路)に結合され、少なくとも1つの信号経路のアイパターンパラメータを示す出力信号を生成するように構成されたI/Oセンサと、を含む。アイパターンパラメータは、アイ幅、アイ高、アイ幅のジッタ、およびアイ高の変動のうちの1つ以上を含み得る。これは、本明細書に開示される任意の他の態様、実施形態、または特徴と組み合わせることができ、また、任意の他の実施形態(例えば、差動通信チャネルを使用する実施形態)に拡張することができる。
ここで、NEのμバンプ抵抗およびFEのアイ幅(EW)に対して測定された近端パルス持続時間のグラフを示す図12を参照する。この場合、x軸は遠端EWを表し、y軸はパルス幅の変化を表し、グラフ上の点は0~100オームの近端μバンプ抵抗を表す。異なる曲線は、Txバッファ210の異なる強度での結果を示している。次に、測定された遠端パルス持続時間のグラフを示す図13が参照される。ここで、x軸はFEのμバンプ抵抗を表し、y軸はパルス幅を表す。図12および図13から分かるように、本開示のアプローチによって、ICのI/Oを結合する機能的バンプの監視を可能にし、これにより、経年劣化を検出、分析し、実施形態では軽減することが可能となる。
I/Oセンサの出力は、次のような様々な目的、すなわち、ピンごとに測定されたNEパルス幅が経時的に分析されるNEピンの監視、ピンごとに測定されたFEパルス幅を経時的に分析する時間を含むFEピンの監視、監視および/またはデータ処理、バッファドライブ強度の変更、ICの一部または全部の無効化、および隣接ピンのレーン修復機能の起動、に使用できる。これらの一部はI/O修復機能と見なされ得、初期のオンテストおよび/またはフィールド内の一部として実施され得る。
例えば、ソフトウェアベースの「レーン修復」または「レーン再マップ」メカニズムが、障害のあるレーン(データパスなど)をスペアレーンに置き換えてもよい。レーンの再マッピングは、レジスタの書き込み(ソフト)またはeFuseのカット(ハード)によって行われてもよい。レーン修復および電力最適化は、ともに機能し得る。例えば、(レーンの修復および/または再マッピングのために)すべての冗長ピンが使用されている場合、Txバッファ強度を上げることによって動作を継続できるようにし、オフライン修復が可能になるまでの時間を長くすることができる。
特に、I/Oセンサの出力は、バンプアレイの監視および/または機能動作中の複数のピンの劣化の測定(および監視)に使用され得る。これは、システムの機能動作を妨げることなく有益に実行される。測定は、ダイ上で有利に制御され得る。データは、機能動作中に収集され、分析プラットフォームにアップロードされ得る(上で考察されるように)。これにより、例えば分析プラットフォームでの機械学習アルゴリズム(または他のデータ分析技術)による、測定データのオンラインおよび/またはオフライン分析を可能にし得る。分析プラットフォームは、瞬間的な温度および/または電圧などの他のデータを分析に使用し得る。特に、特別なテストモードまたはICの動作停止は必要ない。
実施形態では、I/Oブロックは、I/Oセンサの出力信号から得られたタイミング信号を受信するように構成された時間-デジタル変換器を備え(これは、任意選択的に、I/Oセンサ自体の出力信号を含み得る)、タイミング信号に基づいてデジタル時間信号を提供する。
別の実施形態では、I/Oセンサの出力を使用して、ピンでの信号振幅および/または信号スルーレートを判定および/または特性化し得る。この機能により、ICのピンごとに適用され得る組み込み仮想視野(EVS)部が有効になり得る。EVS機能については、以下に説明する図19~21を参照して考察される。
ここで、バッファ強度に対するアイ幅のグラフを示す図14と、バッファ強度に対するシミュレートされた近端パルス幅のグラフを示す図15を参照する。既存のI/Oバッファ設計では、過剰な駆動強度が使用され得る。開示される技術により、駆動強度の最適化が可能となり得る。例えば、アイ幅は、信号品質に比例し、パルス幅は、アイ幅に相関することが理解され得る。したがって、異なるバッファ強度でパルス幅を測定し、可能な場合は、これをピン測定ビットエラーレート(BER)と相関させることが可能であり得る。データは、ファミリー情報および/または履歴に基づいて、プロセスコーナーにさらに相関し得る。次に、チャネル特性を抽出し、これらを使用して、良好な性能と(修復が必要な)不良な性能を区別することができる。次に、最適なバッファ強度を設定できる。これは、ICの寿命全体にわたって監視すること(および必要に応じて警告を提供すること)が可能である。
ここで、実際のSiデータを反映する例の分析を示す図16~21を参照する。これらの図の説明で使用される「完全性の洞察」という用語は、それぞれ近端側であるか遠端側であるかにかかわらず、測定されたパルス持続時間のオフライン分析を意味する。提示された例の分析は、例えば機械学習および/またはデータ分析に基づいて、プラットフォームベースの分析および警告を提供するICアナライザ(図1のICアナライザ102Bと同等)によって実施されたものである。
4つのI/Oブロックの近端の完全性洞察401および遠端の完全性洞察402を示す図16を参照する。各ブロックは46本のアクティブピンで構成されている。このプロットは、近端完全性および遠端完全性の洞察の分布を示している。近端の完全性洞察401を参照すると、プロットは、グループごと、およびグループ内のピンごとの近端送信(Tx)駆動マップを示している。弱いグループ(W)と強いグループ(S)を観察することができる。駆動マップを(グループごと、またはピンごとに)均等化することにより、合計I/O電力を最適化することができる。この例では、強いグループ(S)のドライバの強さを弱いグループ(W)と等しくすることにより、電力を節約することができる。このプロットは、外れ値ピン403の観察値も示している。これは、分布の端にある近端の洞察値を有するピンである。遠端の完全性洞察402を参照すると、プロットは受信した性能(Rx性能)マップを示している。遠端の洞察では、特定のブロックでより大きな変動が観察できる。これは、データ依存の符号間干渉(ISI)の結果である。
ここで図17を参照すると、ブロックXおよびブロックYのドライバ強度に対する近端完全性洞察の平均感度が示されている。近端洞察の感度は約0.5LSBである。絶対値も観測される。
ここで、実際のチップの弱いピン(外れ値)の分析を示す図18を参照する。弱いピンの動作は、統計的および電気的に通常のピンの動作と比較され、両方とも外れ値を識別する。
ここで図19A~19Bを参照すると、実際のチップからのRx性能洞察データの分布が示されている。Rx性能の洞察は、ピンでの受信信号のスルーレート(単位:ps/V)の測定である。1-LSB/50mVに等しい測定値の感度は、10psとして1-LSBを与える。
ここで、組み込み仮想視野(EVS)機能を使用した遠端信号分析を示す図20を参照する。このプロットは、実際のチップの遠端の完全性の洞察を示している。ピンでの低振幅の受信信号によって引き起こされる逸脱した値が、観察される場合がある。受信信号の振幅は、逸脱した値が除去される地点までVREFを下げることで測定可能となる。この地点で、受信信号の振幅はVREF値と等しくなる。VREFの解像度は、設計によって判定される。したがって、このような逸脱した値または例外的な値は、分析およびVREFの設定に影響を与え得る。この影響は、以下で考察されるように修正され得る。
ここで図21A~21Bを参照すると、遠端洞察とアイの開口との相関関係が示されている。線形相関が見られる。
上述のように、逸脱した値または例外的な値は、分析に影響を与え得る。例えば、FE感度は、ローンビットの検出可能性によって制限され得る。この効果は、アイ幅および/またはアイ高などのアイパターンの測定値を見る場合に特に顕著になり得る。ローンビットによって引き起こされる例外的な読み出しを除去すると、VREFの増加、およびFE感度の改善を可能にし得る。特定のデータパターンでの多くの例外的な読み出しは、平均性能値に影響を与え、平均などの計算された統計を推移させ得る。これは、経年劣化を検出する機能に影響を与え得る。この効果は、3.2GT/sなどの高い転送速度で見られ得る。有利には、そのような例外的な読み出しは、特にTDC後の合計で、結果からフィルタリングされ得る。これにより平均シフトの影響が軽減され、および/または寿命動作での平均変化の検出が改善され得る。
ここで、ファームウェアベースのフィルタの概略ブロック図を示す図22を参照する。このようなフィルタは、上で考察されるように、読み出しの合計、特にTDC後の合計の一部として使用され得る。フィルタへの入力は、デジタル出力410を提供するTDC400によって提供される。フィルタは、比較器(CMP)420、合計ブロック430、例外読み出しカウンタ450、および通常読み出しカウンタ460を備える。
比較器420は、閾値レベル425を含む第1の入力を有する。TDC400のデジタル出力410は、比較器420への第2の入力として提供される。TDC出力が閾値レベル425を超える場合、高論理レベル出力が例外読み出しカウンタ450に提供される。この高論理レベルはまた、加算ブロック430へのイネーブル信号440として提供される。加算ブロック430はまた、TDC400のデジタル出力410を入力として受け取り、フィードバックとともに、その出力を通常読み出しカウンタ460に提供する。このようにして、通常の読み出しと例外的な(外れ値)読み出しの両方のカウントを取得し得る。
テスト段階の一部として、システムを低周波数で動作させて、平均PWを測定することができる。低周波数動作では、例外的な読み出しは予想されない。データ分析の一部として、閾値がプラットフォームで判定(計算)され、その後ICにダウンロードされ得る。閾値は、ICに融合することもシステムのアクティブ化ごとにダウンロードすることもできる。より好ましくないアプローチではあるが、閾値は、シミュレーションに基づいて判定され、ICにハードコードすることができる。
複数のタイプの外れ値が発生する可能性があり得る。例えば、CMOS VREFに関して、かつ差動比較器VREFに関して、別個の外れ値が存在する場合がある。これらは、複数の閾値を使用することによって説明され得る。
一般的な意味において、フィルタされたカウンタブロックは、I/Oセンサの出力信号に基づいて時間信号を(例えばTDCを介して)受信し、受信した時間信号を閾値と比較し、その比較に基づいて、I/Oセンサからの例外的な読み出しまたは外れ値読み出しを識別するように構成されている、フィルタされたカウンタブロック(例えばIC上のファームウェア)と見なされ得る。フィルタされたカウンタブロックは、I/Oセンサからの通常の読み出しの数、および/またはI/Oセンサからの例外的読み出しまたは外れ値読み出しの数をカウントするようにさらに構成され得る。閾値は、ICの外部のプラットフォームから受信され得、および/または、例えば、ICの初期化時に動的に更新され得る。IC上のI/Oブロックを監視する方法は、I/Oセンサの出力信号に基づく時間信号を閾値と比較することと、この比較に基づいて、I/Oセンサからの例外的読み出しまたは外れ値読み出しを識別することと、を含み得る。有利には、この方法は、I/Oセンサからの通常の読み出しの数、および/またはI/Oセンサからの例外的読み出しまたは外れ値読み出しの数をカウントすることも含み得る。
さらなる利点が得られ得るが、それはすなわち、Phy入力での論理経路およびシリコン貫通ビア(TSV)遅延劣化を検出すること、熱応力を検出すること、ピンごとのI/Oミッションを測定して、ピン性能の低下と相関させること、I/Oファミリーを生成すること、外れ値を検出すること、体系的なシフトを識別すること、および異なる劣化メカニズムを検出または区別すること、によって得られ得る。ミッションプロファイリングは、プラットフォームで利用可能なすべての情報、および収集可能ないかなる追加情報(例えば、顧客、設計、バージョン管理など)を使用する、オンライン処理であり得る。適切な分析により、完全なグラフを生成して、異なる用途のガードバンドを容易にし、したがって、材料データの使用を最適化することができる。例えば、体系的なシフトは、テスト条件の予期しないパラメトリックシフトとして識別可能であり得る。
本出願を通して、本発明の様々な実施形態は範囲形式で提示され得る。範囲形式での説明は、単に便宜上および簡潔さのためのものであり、本発明の範囲に対する柔軟性のない制限として解釈されるべきではない、ということを理解されたい。したがって、範囲の説明は、その範囲内の個々の数値だけでなく、すべての可能な部分的範囲を具体的に開示していると見なされるべきである。例えば、1~6などの範囲の記述は、1~3、1~4、1~5、2~4、2~6、3~6などの部分的範囲、ならびにその範囲内の個々の番号、例えば、1、2、3、4、5、および6などを具体的に開示していると見なされるべきである。これは、範囲の幅に関係なく適用される。
本明細書で数値範囲が示されるときはいつでも、それは、示された範囲内の任意の引用された数字(分数または整数)を含むことを意味する。第1の表示番号「と」第2の表示番号「との間の範囲にある/との間の範囲」、および第1の表示番号「~(から)」第2の表示番号「までの」「範囲にある/範囲」という表現は、本明細書では互換的に使用され、第1および第2の表示番号ならびにそれらの間のすべての分数と整数を含むことを意味する。
本出願の説明および特許請求の範囲において、「備える(comprise)」、「含む(include)」、および「有する(have)」の各語、ならびにそれらの形態は、必ずしもその語が関連付けられ得るリスト内の要素に限定されない。さらに、本出願と参照により組み込まれる任意の文献との間に矛盾がある場合、本出願が優先することがここに意図される。
本開示における参照を明確にするために、単語を普通名詞、固有名詞、名前付き名詞などとして使用することは、本発明の実施形態が単一の実施形態に限定されることを示唆することを意図するものではなく、また開示された構成要素の多くの構成は本発明の一部の実施形態を説明するために使用することができるが、他の構成は、異なる構成でこれらの実施形態から導き出され得ることに留意されたい。
明確さを期すため、本明細書に説明される実装形態の慣例的な機能のすべてが示され、説明されているわけではない。当然のことながら、任意のそのような実際の実装形態の開発では、アプリケーション関連およびビジネス関連の制約への準拠など、開発者の特定の目標を達成するために、実装形態固有の多数の決定を行う必要があること、およびこれら特定の目標は、実装形態ごと、および開発者ごとに異なることが理解されるべきである。さらに、そのような開発努力は複雑で時間がかかり得るが、それにもかかわらず、本開示の利益を有する当業者にとってはエンジニアリングの日常業務であることが理解されるであろう。
本開示の教示に基づいて、当業者は本発明を容易に実施することができると予想される。本明細書で提供される様々な実施形態の説明は、当業者が本発明を実施することを可能にするために、本発明の十分な洞察および詳細を提供すると考えられる。さらに、上記の本発明の様々な特徴および実施形態は、単独で、ならびに様々な組み合わせで使用されることが特に企図されている。
従来および/または現代の回路設計およびレイアウトツールを使用して、本発明は実施され得る。本明細書に説明する特定の実施形態、特に様々な層の様々な厚さおよび組成は、例示的な実施形態の例示であり、本発明をそのような具体的な実装形態の選択に限定するものと見なされるべきではない。したがって、本明細書で単一のインスタンスとして記載されている構成要素に対して、複数のインスタンスを提供することができる。
回路と物理的構造が概説的に推定されているが、現代の半導体の設計と製造において、物理的構造と回路は、後続の設計、テスト、または製造段階、ならびにその結果として製造される半導体集積回路の使用に好適なコンピュータで読み取り可能な記述形態で具現化され得ることがよく認識されている。したがって、従来の回路または構造を対象とする請求項は、その特定の文言と一致して、コンピュータで読み取り可能なエンコーディング(プログラムと呼ばれる場合がある)およびその表現を、対応する回路および/または構造の製造、テスト、または設計の改良を可能にするために媒体で具体化されるか、好適な読み取り機能と組み合わされるかどうかにかかわらず、読み出し得る。例示的な構成において別個の構成要素として提示される構造および機能は、組み合わされた構造または構成要素として実装され得る。本発明は、回路、回路のシステム、関連する方法、ならびにそのような回路、システム、および方法のコンピュータ可読(媒体)エンコーディングを含むことが企図され、そのすべてが本明細書に記載され、添付の特許請求の範囲に定義される。本明細書で使用される場合、コンピュータ可読媒体には、少なくともディスク、テープ、または他の磁気、光学、半導体(例えばフラッシュメモリカード、ROM)、または電子媒体、ならびにネットワーク、有線、無線または他の通信媒体が含まれる。
前述の詳細な説明は、本発明の多くの可能な実装形態のうちのほんの一部を説明している。このため、この詳細な説明は、制限ではなく解説を目的としている。本明細書に開示される実施形態の変形および修正は、本発明の範囲および精神から逸脱することなく、本明細書に記載される説明に基づいて行われ得る。本発明の範囲を定義することが意図されているのは、すべての同等物を含む以下の特許請求の範囲のみである。特に、好ましい実施形態はメモリICの文脈で説明されているが、本発明の教示は、I/O回路を使用する他のタイプの半導体ICとともに使用するのに有利であると考えられる。さらに、本明細書に記載の技術は、他のタイプの回路アプリケーションに適用され得る。したがって、他の変形、修正、追加、および改善は、以下の特許請求の範囲で定義される本発明の範囲内に含まれ得る。
1つ以上のインターポーザについて上で考察されているが、他のタイプの相互接続、例えば相互接続ブリッジが可能であることが理解されよう。そのようなブリッジの1つに、インテル(Intel Corporation)によって販売されている組み込みマルチダイ相互接続ブリッジ(EMIB)がある。
本発明の実施形態は、集積回路および/または集積回路に基づく製品を製造、生産、および/または組み立てるために使用され得る。
本発明の態様は、本発明の実施形態による方法、装置(システム)、およびコンピュータプログラム製品のフローチャート図および/またはブロック図を参照して本明細書に記載される。フローチャート図および/またはブロック図の各ブロック、およびフローチャート図および/またはブロック図のブロックの組み合わせは、コンピュータ可読プログラム命令によって実装できることが理解されよう。
図中のフローチャートおよびブロック図は、本発明の様々な実施形態によるシステム、方法、およびコンピュータプログラム製品の可能な実装形態のアーキテクチャ、機能、および動作を示している。これに関して、フローチャートまたはブロック図の各ブロックは、モジュール、セグメント、または命令の一部を表すことができ、これは、指定された論理機能を実装するための実行可能命令を含む。一部の代替的な実装形態では、ブロックに示されている機能が、図に示されている順序とは異なる順序で発生し得る。例えば、連続して示される2つのブロックは、実際には実質的に同時に実行され得るか、またはそれらのブロックが、関与する機能に応じて逆の順序で実行され得る。ブロック図および/またはフローチャート図の各ブロック、ならびにブロック図および/またはフローチャート図のブロックの組み合わせは、指定された機能または動作を実行するか、または特定の目的のハードウェアとコンピュータ命令の組み合わせを実行する特定目的のハードウェアベースのシステムによって実装できることにも留意されたい。
本発明の様々な実施形態の説明は、例示の目的で提示されているが、網羅的であること、または開示される実施形態に限定されることを意図するものでもない。説明された実施形態の範囲および精神から逸脱することなく、多くの修正および変形が当業者には明らかであろう。本明細書で使用される用語は、実施形態の原理、実際の適用、または市場で見られる技術に対する技術的改善を最良に説明するために、または当業者が本明細書に開示される実施形態を理解することができるようにするために選択された。

Claims (40)

  1. 半導体集積回路(IC)のための入力/出力(I/O)ブロックであって、
    少なくとも1つのI/Oバッファであって、通信チャネルを介したリモートI/Oブロックへの接続に関する少なくとも1つの信号経路を定義するように構成されており、各信号経路が、それぞれの信号エッジスロープを引き起こす、I/Oバッファと、
    I/Oセンサであって、前記少なくとも1つの信号経路に結合されており、
    (a)前記少なくとも1つの信号経路の第1の信号経路のための前記信号エッジスロープと、前記少なくとも1つの信号経路の第2の、異なる信号経路のための前記信号エッジスロープとの間のタイミング差、および
    (b)前記少なくとも1つの信号経路のうちの1つ以上に関するアイパターンパラメータ、のうちの少なくとも1つを示す出力信号を生成するよう構成されているI/Oセンサと、を備える、I/Oブロック。
  2. 前記少なくとも1つのI/Oバッファが、送信バッファを備え、前記少なくとも1つの信号経路が、前記通信チャネルに結合されている前記送信バッファの出力に結合された第1の信号経路を備える、請求項1に記載のI/Oブロック。
  3. 前記第1の信号経路が、少なくとも1つの接続バンプを介して前記リモートI/Oブロックにさらに結合され、前記I/Oセンサの前記出力信号が、前記少なくとも1つの接続バンプの品質をさらに示す、請求項2に記載のI/Oブロック。
  4. 前記少なくとも1つの信号経路が、第2の接続バンプを介して前記リモートI/Oブロックに結合されている第3の信号経路と、第4の信号経路と、を備え、前記I/Oセンサは、前記第3および/または第4の信号経路に結合され、前記I/Oセンサの前記出力信号が、前記第1の接続バンプおよび前記第2の接続バンプの品質を示すような、前記第3の信号経路の前記信号エッジと前記第4の信号経路の前記信号エッジとの間のタイミング差、ならびに前記第3の信号経路および/または前記第4の信号経路のためのアイパターンパラメータのうちの一方または両方をさらに示す前記出力信号を生成するように構成されている、請求項3に記載のI/Oブロック。
  5. 前記少なくとも1つの信号経路が、前記送信バッファの入力に結合された信号経路、および/または相互接続および前記送信バッファと前記相互接続間の接続バンプを介して前記リモートI/Oブロックに結合されている信号経路を備え、前記I/Oセンサの前記出力信号が、前記接続バンプの品質をさらに示す、請求項2~4のいずれか1項に記載のI/Oブロック。
  6. 差分バッファであって、前記通信チャネルに結合された経路で受信された差動バッファ入力信号と、固定レベル信号と、の間の差を出力するように構成された差分バッファをさらに備え、前記少なくとも1つの信号経路の信号経路は前記差動バッファの前記出力に結合されている、請求項1~5のいずれか1項に記載のI/Oブロック。
  7. 前記固定レベル信号が、前記ICに対する直流電源電圧の所定の割合で固定された電圧であり、任意選択的に、前記所定の割合が動的に調整され、および/または前記所定の割合が75%である、請求項6に記載のI/Oブロック。
  8. 前記少なくとも1つのI/Oバッファが、前記通信チャネルに結合された入力を有する受信バッファを備え、前記少なくとも1つの信号経路のうちの1つの信号経路が前記受信バッファの出力に結合されている、請求項1~7のいずれか1項に記載のI/Oブロック。
  9. 前記受信バッファへの前記入力が、相互接続と、前記相互接続とリモートI/Oブロックとの間の接続バンプと、を介して前記リモートI/Oブロックに結合され、前記I/Oセンサの前記出力信号が前記接続バンプの品質をさらに示す、請求項8に記載のI/Oブロック。
  10. 前記通信チャネルが、2つの信号線を介して差動信号を伝送するように構成され、前記少なくとも1つの信号経路の第1の信号経路が、第1の信号線に結合されており、前記少なくとも1つの信号経路の第2の信号経路が、第2の信号線に結合されている、請求項1~9のいずれか1項に記載のI/Oブロック。
  11. 前記少なくとも1つのI/Oバッファが、前記2つの信号線を介して差動出力を提供するように構成された送信バッファを備え、前記第1の信号経路が、前記第1の信号線に結合されている前記送信バッファの第1の出力に結合されており、前記第2の信号経路が、前記第2の信号線に結合されている前記送信バッファの第2の出力に結合されている、請求項10に記載のI/Oブロック。
  12. 前記第1の信号線が、第1の近端接続バンプを介して前記送信バッファに結合された第1の相互接続を備え、前記第2の信号線が、第2の近端接続バンプを介して前記送信バッファに結合された第2の相互接続を備え、前記I/Oセンサの前記出力信号が、前記第1および第2の近端接続バンプの品質をさらに示す、請求項11に記載のI/Oブロック。
  13. 前記第1の信号線が、第1の遠端接続バンプを介して前記リモートI/Oブロックに結合された第1の相互接続を備え、前記第2の信号線が、第2の遠端接続バンプを介して前記リモートI/Oブロックに結合された第2の相互接続を備え、前記I/Oセンサの前記出力信号が、前記第1および第2の遠端接続バンプの品質をさらに示す、請求項10~12のいずれか1項に記載のI/Oブロック。
  14. 前記I/Oセンサが、
    第1の信号経路のための第1のオプションに結合された第1の入力ポートと、
    前記第1の信号経路のための第2のオプションに結合された第2の入力ポートと、
    第2の信号経路に結合された第3の入力ポートと、
    セレクタであって、前記I/Oセンサの前記出力信号が、前記第2の信号経路の前記信号エッジと、前記第1の信号経路のための前記第1のオプションまたは前記第1の信号経路のための第2のオプションのいずれか1つのための前記信号エッジと、の間のタイミング差を選択的に示すように、受信された選択信号に応答して、前記第1または前記第2の入力ポートを選択するように構成された、セレクタと、を含む、請求項1~13のいずれか1項に記載のI/Oブロック。
  15. 前記通信チャネルに結合された信号経路で受信された第1の信号と、第2の固定レベル信号と、の間の差を出力するように構成されている差動バッファをさらに備え、前記第3の入力ポートは、前記差動バッファの前記出力に結合されている、請求項14に記載のI/Oブロック。
  16. 前記少なくとも1つのI/Oブロックが、
    送信バッファであって、前記第1の入力ポートが、前記通信チャネルに結合された前記送信バッファの出力に結合されている、送信バッファと、
    前記通信チャネルに結合された入力を有する受信バッファであって、前記第2の入力ポートが、前記受信バッファの出力に結合されている、受信バッファと、のいずれか一方または両方を備える、請求項14または15に記載のI/Oブロック。
  17. 前記アイパターンパラメータが、アイ幅、アイ高、アイ幅のジッタ、およびアイ高の変動のうちの1つ以上を含む、請求項1~16のいずれか1項に記載のI/Oブロック。
  18. 前記出力信号が、前記第1の信号経路の前記信号エッジと前記第2の信号経路の前記信号エッジとの間のタイミング差、または前記アイパターンパラメータ、のいずれかを示す幅を有するパルスを含む、請求項1~17のいずれか1項に記載のI/Oブロック。
  19. 前記I/Oセンサの前記出力信号に基づいて、前記少なくとも1つのI/Oバッファのパラメータを調整するように構成されたパフォーマンスオプティマイザ、および/または、
    前記I/Oセンサの前記出力信号に基づいて、前記I/Oバッファの構成を調整するように構成された修復コントローラ、をさらに備える、請求項1~18のいずれか1項に記載のI/Oブロック。
  20. 前記修復コントローラが、前記I/Oセンサの前記出力信号に応答して、前記ICの一部または全体を無効にすること、前記ICの少なくとも一部のレーン再マッピングを引き起こすこと、ならびに前記IC内の送信バッファ強度を調整すること、のうちの1つ以上を行うように構成されている、請求項19に記載のI/Oブロック。
  21. 前記修復コントローラが、前記ICの初期動作時および/または前記ICの通常動作中に動作するように構成され、および/または前記調整が、前記ICの瞬間温度および/または前記ICの電圧に基づいてさらに行われる、請求項19または20に記載のI/Oブロック。
  22. 前記I/Oセンサの前記出力信号から得られたタイミング信号を受信し、前記タイミング信号に基づいてデジタル時間信号を提供するように構成されている、時間-デジタル変換器をさらに備える、請求項1~21のいずれか1項に記載のI/Oブロック。
  23. 請求項1~22のいずれか1項に記載の前記I/Oブロックを含む、半導体集積回路(IC)。
  24. 前記I/Oセンサは、前記ICの外部で前記出力信号を通信するように構成されている、請求項23に記載の半導体IC。
  25. フィルタされたカウンタブロックであって、
    前記I/Oセンサの前記出力信号に基づいて時間信号を受信し、受信した前記時間信号を閾値と比較し、前記比較に基づいて、前記I/Oセンサからの例外的読み出しまたは外れ値読み出しを識別するように構成されている、フィルタされたカウンタブロックをさらに備える、請求項23または24に記載の半導体IC。
  26. 前記フィルタされたカウンタブロックは、前記I/Oセンサからの正常な読み出しの数および/または前記I/Oセンサからの例外的読み出しまたは外れ値読み出しの数をカウントするようにさらに構成されている、請求項25に記載の半導体IC。
  27. 半導体ICシステムであって、請求項23~26のいずれか1項に記載の半導体ICを備え、
    前記I/Oセンサの出力信号に基づいて、I/Oプロファイルおよび/または分類を生成するように構成されている、I/Oプロファイリング部、ならびに、
    前記I/Oセンサの前記出力信号に基づいて、前記半導体ICのピンに対する信号振幅および/または信号スルーレートを判定および/または特性化するようにさらに構成されている、組み込み仮想視野(EVS)部、のうちの少なくとも1つをさらに備える、半導体ICシステム。
  28. 前記少なくとも1つの信号経路のうちの1つ以上が、少なくとも1つの接続バンプを介して前記リモートI/Oブロックにさらに結合されており、前記I/Oプロファイルおよび/または前記分類が、前記I/Oセンサの前記出力信号を経時的に監視することに基づく、請求項27に記載の半導体ICシステム。
  29. 前記I/Oプロファイリング部が、
    前記I/Oプロファイルおよび/または前記分類を、前記半導体ICのファミリーデータと比較することと、
    前記I/Oプロファイルおよび/または前記分類に基づいて体系的なシフトを検出することと、
    テスタデータに基づいて外れ値を検出することと、のうちの1つ以上を実施するようにさらに構成されている、請求項28に記載の半導体ICシステム。
  30. 半導体集積回路(IC)の入力/出力(I/O)ブロックを監視するための方法であって、前記I/Oブロックは、通信チャネルを介したリモートI/Oブロックへの接続に関する少なくとも1つの信号経路を定義する少なくとも1つのI/Oバッファを備え、各信号経路はそれぞれの信号エッジスロープを引き起こし、前記方法は、
    I/Oセンサにおいて、(a)第1の信号経路の前記信号エッジと第2の異なる信号経路の前記信号エッジとの間のタイミング差と、(b)前記少なくとも1つの信号経路のうちの1つ以上のアイパターンパラメータと、のうちの一方または両方を示す出力信号を生成することを含む、方法。
  31. 前記I/Oブロックが、請求項2~25のいずれか1項に従う、請求項30に記載の方法。
  32. 前記I/Oセンサの前記出力信号に基づいて、前記少なくとも1つのI/Oバッファのパラメータを調整することと、
    前記I/Oセンサの前記出力信号に基づいて、前記I/Oバッファの構成を調整することと、をさらに含む、請求項30または31に記載の方法。
  33. 前記I/Oセンサの前記出力信号に応答して、前記ICの一部または全体を無効にすること、前記I/Oセンサの前記出力信号に応答して、前記ICの少なくとも一部のレーン再マッピングを引き起こすこと、および前記I/Oセンサの前記出力信号に応答して、送信バッファ強度を調整すること、のうちの1つ以上をさらに含む、請求項32に記載の方法。
  34. 前記調整のステップが、前記ICの瞬間温度および/または前記ICの電圧にさらに基づく、請求項32~33のいずれか1項に記載の方法。
  35. 前記I/Oセンサの前記出力信号に基づいて、I/Oプロファイルおよび/または分類を生成することをさらに含む、請求項30~34のいずれか1項に記載の方法。
  36. 前記少なくとも1つの信号経路のうちの1つ以上が、少なくとも1つの接続バンプを介して前記リモートI/Oブロックにさらに結合されており、前記I/Oプロファイルおよび/または前記分類が、前記I/Oセンサの前記出力信号を経時的に監視することに基づく、請求項35に記載の方法。
  37. 前記I/Oプロファイルおよび/または前記分類を、前記半導体ICのファミリーデータと比較すること、
    前記I/Oプロファイルおよび/または前記分類に基づいて系統的推移を検出すること、および
    テスタデータに基づいて外れ値を検出すること、のうちの1つ以上をさらに含む、請求項35または36に記載の方法。
  38. 前記I/Oセンサの前記出力信号に基づく時間信号を、閾値と比較することと、
    前記比較に基づいて、前記I/Oセンサからの例外的または外れ値読み出し値を識別することと、をさらに含む、請求項30~37のいずれか1項に記載の方法。
  39. 前記I/Oセンサからの正常な読み出しの数、および/または前記I/Oセンサからの例外的読み出しまたは外れ値読み出しの数をカウントすることをさらに含む、請求項38に記載の方法。
  40. 非一時的なコンピュータ可読媒体であって、命令がプロセッサによって実施されるときに、請求項30~39のいずれか1項に記載の方法を実行するための、記憶された前記命令を有する、非一時的なコンピュータ可読媒体。

JP2021538119A 2018-12-30 2019-12-30 集積回路i/oの完全性および劣化監視 Active JP7419380B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2024001695A JP2024038302A (ja) 2018-12-30 2024-01-10 集積回路i/oの完全性および劣化監視

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862786460P 2018-12-30 2018-12-30
US62/786,460 2018-12-30
PCT/IL2019/051436 WO2020141516A1 (en) 2018-12-30 2019-12-30 Integrated circuit i/o integrity and degradation monitoring

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2024001695A Division JP2024038302A (ja) 2018-12-30 2024-01-10 集積回路i/oの完全性および劣化監視

Publications (3)

Publication Number Publication Date
JP2022515286A true JP2022515286A (ja) 2022-02-17
JPWO2020141516A5 JPWO2020141516A5 (ja) 2023-01-11
JP7419380B2 JP7419380B2 (ja) 2024-01-22

Family

ID=71122880

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2021538119A Active JP7419380B2 (ja) 2018-12-30 2019-12-30 集積回路i/oの完全性および劣化監視
JP2024001695A Pending JP2024038302A (ja) 2018-12-30 2024-01-10 集積回路i/oの完全性および劣化監視

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2024001695A Pending JP2024038302A (ja) 2018-12-30 2024-01-10 集積回路i/oの完全性および劣化監視

Country Status (8)

Country Link
US (4) US10740262B2 (ja)
EP (1) EP3903113A4 (ja)
JP (2) JP7419380B2 (ja)
KR (1) KR20220002246A (ja)
CN (1) CN113474668A (ja)
IL (1) IL284062A (ja)
TW (1) TW202032146A (ja)
WO (1) WO2020141516A1 (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230020571A (ko) 2017-11-15 2023-02-10 프로틴텍스 엘티디. 집적 회로 마진 측정 및 고장 예측 장치
US11391771B2 (en) 2017-11-23 2022-07-19 Proteantecs Ltd. Integrated circuit pad failure detection
US11408932B2 (en) 2018-01-08 2022-08-09 Proteantecs Ltd. Integrated circuit workload, temperature and/or subthreshold leakage sensor
US11740281B2 (en) 2018-01-08 2023-08-29 Proteantecs Ltd. Integrated circuit degradation estimation and time-of-failure prediction using workload and margin sensing
TWI828676B (zh) 2018-04-16 2024-01-11 以色列商普騰泰克斯有限公司 用於積體電路剖析及異常檢測之方法和相關的電腦程式產品
EP3811246A4 (en) 2018-06-19 2022-03-23 Proteantecs Ltd. EFFICIENT SIMULATION AND TESTING OF AN INTEGRATED CIRCUIT
WO2020141516A1 (en) 2018-12-30 2020-07-09 Proteantecs Ltd. Integrated circuit i/o integrity and degradation monitoring
EP4059187A1 (en) * 2019-11-13 2022-09-21 Micro Motion, Inc. Apparatus and method for a mappable i/o channel on an electrical transmitter
EP4070315A4 (en) 2019-12-04 2023-11-29 Proteantecs Ltd. MONITORING DEGRADATION OF A STORAGE DEVICE
KR20230003545A (ko) * 2020-04-20 2023-01-06 프로틴텍스 엘티디. 다이-대-다이 접속성 모니터링
US20230160953A1 (en) * 2021-11-19 2023-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structures in integrated circuit chips
CN113962183B (zh) * 2021-12-22 2022-03-29 杭州万高科技股份有限公司 一种电能计量芯片接口电路设计方法及其接口电路
US20230393192A1 (en) * 2022-06-06 2023-12-07 Nxp B.V. Degradation monitor for bond wire to bond pad interfaces
US11815551B1 (en) 2022-06-07 2023-11-14 Proteantecs Ltd. Die-to-die connectivity monitoring using a clocked receiver

Family Cites Families (163)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5994086A (ja) 1982-11-19 1984-05-30 Advantest Corp 論理回路試験装置
US5548539A (en) 1993-11-05 1996-08-20 Analogy, Inc. Analysis mechanism for system performance simulator
AU685950B2 (en) 1994-06-25 1998-01-29 Panalytical B.V. Analysing a material sample
US5818251A (en) 1996-06-11 1998-10-06 National Semiconductor Corporation Apparatus and method for testing the connections between an integrated circuit and a printed circuit board
US5966527A (en) 1996-10-28 1999-10-12 Advanced Micro Devices, Inc. Apparatus, article of manufacture, method and system for simulating a mass-produced semiconductor device behavior
US5956497A (en) 1997-02-26 1999-09-21 Advanced Micro Devices, Inc. Methodology for designing an integrated circuit using a reduced cell library for preliminary synthesis
US5774403A (en) 1997-06-12 1998-06-30 Hewlett-Packard PVT self aligning internal delay line and method of operation
US6182253B1 (en) 1997-07-16 2001-01-30 Tanisys Technology, Inc. Method and system for automatic synchronous memory identification
US5895629A (en) 1997-11-25 1999-04-20 Science & Technology Corp Ring oscillator based chemical sensor
JP4204685B2 (ja) 1999-01-19 2009-01-07 株式会社ルネサステクノロジ 同期型半導体記憶装置
JP2001075671A (ja) 1999-09-08 2001-03-23 Nec Corp 位相補償回路
US7067335B2 (en) * 2000-08-25 2006-06-27 Kla-Tencor Technologies Corporation Apparatus and methods for semiconductor IC failure detection
JP3597786B2 (ja) 2001-02-16 2004-12-08 Necマイクロシステム株式会社 半導体集積回路の異常検出回路および異常検出装置
US6873926B1 (en) 2001-02-27 2005-03-29 Cisco Technology, Inc. Methods and apparatus for testing a clock signal
US8417477B2 (en) 2001-05-24 2013-04-09 Test Acuity Solutions, Inc. Methods and apparatus for local outlier detection
US7003742B2 (en) 2002-01-10 2006-02-21 Pdf Solutions, Inc. Methodology for the optimization of testing and diagnosis of analog and mixed signal ICs and embedded cores
US7076678B2 (en) 2002-02-11 2006-07-11 Micron Technology, Inc. Method and apparatus for data transfer
US6882172B1 (en) 2002-04-16 2005-04-19 Transmeta Corporation System and method for measuring transistor leakage current with a ring oscillator
US6880136B2 (en) 2002-07-09 2005-04-12 International Business Machines Corporation Method to detect systematic defects in VLSI manufacturing
US6807503B2 (en) 2002-11-04 2004-10-19 Brion Technologies, Inc. Method and apparatus for monitoring integrated circuit fabrication
US6683484B1 (en) * 2002-12-19 2004-01-27 Lsi Logic Corporation Combined differential and single-ended input buffer
US7369893B2 (en) 2004-12-01 2008-05-06 Medtronic, Inc. Method and apparatus for identifying lead-related conditions using prediction and detection criteria
US7512503B2 (en) 2003-05-12 2009-03-31 Simmonds Precision Products, Inc. Wire fault detection
US7254507B2 (en) 2003-08-27 2007-08-07 Matsushita Electric Industrial Co., Ltd. Analog circuit automatic calibration system
US7742887B2 (en) 2003-11-24 2010-06-22 Qualcomm Incorporated Identifying process and temperature of silicon chips
US6948388B1 (en) 2003-12-18 2005-09-27 The United States Of America As Represented By The Secretary Of The Navy Wireless remote sensor
US20050134350A1 (en) 2003-12-19 2005-06-23 Huang Lawrence L. Analog delay circuit
US7205854B2 (en) 2003-12-23 2007-04-17 Intel Corporation On-chip transistor degradation monitoring
GB0403481D0 (en) 2004-02-17 2004-03-24 Transense Technologies Plc Interrogation method for passive sensor monitoring system
US7174279B2 (en) 2004-03-31 2007-02-06 Teradyne, Inc. Test system with differential signal measurement
US20060049886A1 (en) 2004-09-08 2006-03-09 Agostinelli Victor M Jr On-die record-of-age circuit
US7443189B2 (en) * 2005-02-02 2008-10-28 Texas Instruments Incorporated Method to detect and predict metal silicide defects in a microelectronic device during the manufacture of an integrated circuit
US7501832B2 (en) 2005-02-28 2009-03-10 Ridgetop Group, Inc. Method and circuit for the detection of solder-joint failures in a digital electronic package
KR100780941B1 (ko) 2005-08-24 2007-12-03 삼성전자주식회사 잡음주입이 가능한 고속 테스트데이터 발생기 및 이를사용하는 자동 테스트 시스템
KR100703976B1 (ko) 2005-08-29 2007-04-06 삼성전자주식회사 동기식 메모리 장치
US7923982B2 (en) 2005-09-02 2011-04-12 Panasonic Corporation Semiconductor integrated circuit
US7455450B2 (en) 2005-10-07 2008-11-25 Advanced Micro Devices, Inc. Method and apparatus for temperature sensing in integrated circuits
US20070110199A1 (en) 2005-11-15 2007-05-17 Afshin Momtaz Receive equalizer with adaptive loops
US20070182456A1 (en) 2005-11-21 2007-08-09 Texas Instruments Incorporated Reducing Pin Count When the Digital Output is to be Provided in Differential or Single-ended Form
WO2007141870A1 (ja) 2006-06-09 2007-12-13 Fujitsu Limited 温度センサ用リングオシレータ、温度センサ回路及びこれを備える半導体装置
JP5083214B2 (ja) 2006-08-24 2012-11-28 日本電気株式会社 故障予測回路と方法及び半導体集積回路
US7649559B2 (en) * 2006-08-30 2010-01-19 Aptina Imaging Corporation Amplifier offset cancellation devices, systems, and methods
JP2008072045A (ja) 2006-09-15 2008-03-27 Oki Electric Ind Co Ltd 半導体集積回路
US20080231310A1 (en) * 2006-10-20 2008-09-25 Stmicroelectronics Pvt. Ltd. Flexible on chip testing circuit for i/o's characterization
JP2008147245A (ja) 2006-12-06 2008-06-26 Toshiba Corp 劣化診断回路及び半導体集積回路
US20080144243A1 (en) 2006-12-19 2008-06-19 Ridgetop Group, Inc. Method and circuit for low-power detection of solder-joint network failures in digital electronic packages
US7415387B2 (en) 2006-12-19 2008-08-19 Qimonda North America Corp. Die and wafer failure classification system and method
US7474974B2 (en) 2007-01-31 2009-01-06 Mcgill University Embedded time domain analyzer for high speed circuits
US7936153B2 (en) 2007-02-06 2011-05-03 International Business Machines Corporation On-chip adaptive voltage compensation
US7560945B2 (en) 2007-02-06 2009-07-14 International Business Machines Corporation Integrated circuit failure prediction
US7877657B1 (en) 2007-03-29 2011-01-25 Integrated Device Technology, Inc. Look-ahead built-in self tests
US20080262769A1 (en) 2007-04-23 2008-10-23 Daniel Kadosh Using multivariate health metrics to determine market segment and testing requirements
EP2145196A1 (en) 2007-05-02 2010-01-20 Nxp B.V. Ic testing methods and apparatus
EP2006784A1 (en) 2007-06-22 2008-12-24 Interuniversitair Microelektronica Centrum vzw Methods for characterization of electronic circuits under process variability effects
US8001512B1 (en) 2007-06-26 2011-08-16 Cadence Design Systems, Inc. Method and system for implementing context simulation
JP5242959B2 (ja) 2007-07-11 2013-07-24 シャープ株式会社 異常要因特定方法およびシステム、上記異常要因特定方法をコンピュータに実行させるためのプログラム、並びに上記プログラムを記録したコンピュータ読み取り可能な記録媒体
US8010310B2 (en) 2007-07-27 2011-08-30 Advanced Micro Devices, Inc. Method and apparatus for identifying outliers following burn-in testing
JP2009065533A (ja) 2007-09-07 2009-03-26 Renesas Technology Corp ジッタ検出回路及び半導体装置
US8279976B2 (en) 2007-10-30 2012-10-02 Rambus Inc. Signaling with superimposed differential-mode and common-mode signals
JP4977045B2 (ja) 2008-01-16 2012-07-18 株式会社東芝 半導体集積回路及び半導体装置
US8912990B2 (en) 2008-04-21 2014-12-16 Apple Inc. Display having a transistor-degradation circuit
US8010935B2 (en) 2008-05-07 2011-08-30 Lsi Corporation Electronic design automation tool and method for optimizing the placement of process monitors in an integrated circuit
US8204730B2 (en) 2008-06-06 2012-06-19 Synopsys, Inc. Generating variation-aware library data with efficient device mismatch characterization
JP5074300B2 (ja) 2008-06-09 2012-11-14 ルネサスエレクトロニクス株式会社 半導体装置
WO2009150694A1 (ja) 2008-06-09 2009-12-17 株式会社アドバンテスト 半導体集積回路および試験装置
US8086978B2 (en) 2008-06-20 2011-12-27 Cadence Design Systems, Inc. Method and system for performing statistical leakage characterization, analysis, and modeling
US7701246B1 (en) 2008-07-17 2010-04-20 Actel Corporation Programmable delay line compensated for process, voltage, and temperature
US20100153896A1 (en) 2008-12-12 2010-06-17 Lsi Corporation Real-time critical path margin violation detector, a method of monitoring a path and an ic incorporating the detector or method
US8138840B2 (en) 2009-01-23 2012-03-20 International Business Machines Corporation Optimal dithering of a digitally controlled oscillator with clock dithering for gain and bandwidth control
CN102292912A (zh) 2009-01-27 2011-12-21 艾格瑞系统有限公司 用于性能监视的关键路径电路
US8102720B2 (en) * 2009-02-02 2012-01-24 Qualcomm Incorporated System and method of pulse generation
US8365115B2 (en) 2009-03-06 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for performance modeling of integrated circuits
US8547131B2 (en) 2009-04-03 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for observing threshold voltage variations
US8417754B2 (en) 2009-05-11 2013-04-09 Empire Technology Development, Llc Identification of integrated circuits
US8825158B2 (en) 2009-08-25 2014-09-02 Lamda Nu, Llc Method and apparatus for detection of lead conductor anomalies using dynamic electrical parameters
US8271931B2 (en) 2009-10-20 2012-09-18 Synopsys, Inc. Integrated circuit optimization modeling technology
US8154353B2 (en) 2009-11-03 2012-04-10 Arm Limited Operating parameter monitor for an integrated circuit
KR20110093606A (ko) 2009-11-18 2011-08-18 가부시키가이샤 어드밴티스트 수신 장치, 시험 장치, 수신 방법, 및 시험 방법
JP5601842B2 (ja) 2010-01-18 2014-10-08 ピーエスフォー ルクスコ エスエイアールエル 半導体装置、半導体装置の試験方法、及びデータ処理システム
US8228106B2 (en) 2010-01-29 2012-07-24 Intel Mobile Communications GmbH On-chip self calibrating delay monitoring circuitry
JP5418408B2 (ja) 2010-05-31 2014-02-19 富士通株式会社 シミュレーションパラメータ校正方法、装置及びプログラム
JP2012007978A (ja) * 2010-06-24 2012-01-12 On Semiconductor Trading Ltd 半導体集積回路
US8680874B2 (en) 2010-07-30 2014-03-25 Imec On-chip testing using time-to-digital conversion
US8384430B2 (en) 2010-08-16 2013-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. RC delay detectors with high sensitivity for through substrate vias
US8418103B2 (en) 2010-09-23 2013-04-09 Synopsys, Inc. Nonlinear approach to scaling circuit behaviors for electronic design automation
US8664968B2 (en) 2010-09-24 2014-03-04 Texas Instruments Incorporated On-die parametric test modules for in-line monitoring of context dependent effects
US8633722B1 (en) 2010-09-29 2014-01-21 Xilinx, Inc. Method and circuit for testing accuracy of delay circuitry
US8422303B2 (en) 2010-12-22 2013-04-16 HGST Netherlands B.V. Early degradation detection in flash memory using test cells
US20120187991A1 (en) 2011-01-25 2012-07-26 Advanced Micro Devices, Inc. Clock stretcher for voltage droop mitigation
US9618569B2 (en) 2011-02-23 2017-04-11 Marvell Israel (M.I.S.L) Ltd. Method and apparatus for testing IC
US8471567B2 (en) 2011-02-25 2013-06-25 Raytheon Company Circuit for detection of failed solder-joints on array packages
US8847777B2 (en) 2011-03-25 2014-09-30 Apple Inc. Voltage supply droop detector
WO2013027739A1 (ja) 2011-08-24 2013-02-28 日本電気株式会社 劣化診断回路および劣化診断方法
US9448125B2 (en) 2011-11-01 2016-09-20 Nvidia Corporation Determining on-chip voltage and temperature
CN103310028B (zh) 2012-03-07 2017-08-15 飞思卡尔半导体公司 考虑器件老化的设计集成电路的方法
KR101996292B1 (ko) 2012-03-30 2019-07-05 에스케이하이닉스 주식회사 클럭 생성 회로
KR102048398B1 (ko) 2012-05-04 2019-11-26 온세미컨덕터코리아 주식회사 스위치 제어기, 스위치 제어 방법 및 스위치 제어기를 포함하는 전력 공급 장치
US8896978B2 (en) 2012-06-15 2014-11-25 Texas Instruments Incorporated Integrated circuit with automatic deactivation upon exceeding a specific ion linear energy transfer (LET) value
US9714966B2 (en) 2012-10-05 2017-07-25 Texas Instruments Incorporated Circuit aging sensor
US9329229B2 (en) 2012-11-15 2016-05-03 Freescale Semiconductors, Inc. Integrated circuit with degradation monitoring
US9110134B2 (en) 2012-12-27 2015-08-18 Intel Corporation Input/output delay testing for devices utilizing on-chip delay generation
US9494649B2 (en) 2012-12-31 2016-11-15 Advanced Micro Devices, Inc. Adaptive digital delay line for characterization of clock uncertainties
US10020931B2 (en) 2013-03-07 2018-07-10 Intel Corporation Apparatus for dynamically adapting a clock generator with respect to changes in power supply
US9267988B2 (en) 2013-03-14 2016-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. On-chip eye diagram capture
JP2014232351A (ja) 2013-05-28 2014-12-11 ルネサスエレクトロニクス株式会社 半導体データ処理デバイス及び劣化判定制御方法
US9702769B2 (en) * 2013-06-11 2017-07-11 Intel Corporation Self-calibrated thermal sensors of an integrated circuit die
US9500705B2 (en) 2013-08-28 2016-11-22 Wisconsin Alumni Research Foundation Integrated circuit providing fault prediction
US9222971B2 (en) 2013-10-30 2015-12-29 Freescale Semiconductor, Inc. Functional path failure monitor
US9411668B2 (en) 2014-01-14 2016-08-09 Nvidia Corporation Approach to predictive verification of write integrity in a memory driver
KR20150096197A (ko) 2014-02-14 2015-08-24 삼성전자주식회사 반도체 집적회로의 누설전류 측정 회로
US10290092B2 (en) 2014-05-15 2019-05-14 Applied Materials Israel, Ltd System, a method and a computer program product for fitting based defect detection
JP2016005085A (ja) 2014-06-16 2016-01-12 ルネサスエレクトロニクス株式会社 半導体集積回路装置およびそれを用いる電子装置の製造方法
US9535119B2 (en) 2014-06-30 2017-01-03 Intel Corporation Duty cycle based timing margining for I/O AC timing
KR102232922B1 (ko) 2014-08-11 2021-03-29 삼성전자주식회사 쓰기 보조 회로를 포함하는 스태틱 랜덤 액세스 메모리 장치
JP2016057820A (ja) 2014-09-09 2016-04-21 株式会社東芝 半導体装置及び半導体装置のテスト方法
TWI565211B (zh) 2014-09-12 2017-01-01 Alpha And Omega Semiconductor (Cayman) Ltd Constant on-time switching converter means
JP6481307B2 (ja) 2014-09-24 2019-03-13 株式会社ソシオネクスト アナログデジタル変換器、半導体集積回路、及びアナログデジタル変換方法
TWI524079B (zh) 2014-10-15 2016-03-01 旺宏電子股份有限公司 晶片對資料庫的接觸窗檢測方法
US10067000B2 (en) 2014-12-01 2018-09-04 Mediatek Inc. Inverter and ring oscillator with high temperature sensitivity
US10026712B2 (en) 2014-12-02 2018-07-17 Texas Instruments Incorporated ESD protection circuit with stacked ESD cells having parallel active shunt
KR102280526B1 (ko) 2014-12-08 2021-07-21 삼성전자주식회사 저전력 작은-면적 고속 마스터-슬레이브 플립-플롭 회로와, 이를 포함하는 장치들
US9760672B1 (en) * 2014-12-22 2017-09-12 Qualcomm Incorporated Circuitry and method for critical path timing speculation to enable process variation compensation via voltage scaling
CA2972973A1 (en) 2015-01-09 2016-07-14 Ecorithm, Inc. Machine learning-based fault detection system
US9424952B1 (en) 2015-02-07 2016-08-23 The Trustees Of Columbia University In The City Of New York Circuits, methods, and media for detecting and countering aging degradation in memory cells
US9564884B1 (en) 2015-04-13 2017-02-07 Qualcomm Incorporated Circuitry and method for measuring negative bias temperature instability (NBTI) and hot carrier injection (HCI) aging effects using edge sensitive sampling
US9536038B1 (en) 2015-04-13 2017-01-03 Qualcomm Incorporated Method and algorithm for functional critical paths selection and critical path sensors and controller insertion
US9564883B1 (en) 2015-04-13 2017-02-07 Qualcomm Incorporated Circuitry and method for timing speculation via toggling functional critical paths
US9490787B1 (en) 2015-06-11 2016-11-08 Infineon Technologies Ag System and method for integrated circuit clock distribution
US9991879B2 (en) 2015-11-23 2018-06-05 Mediatek Inc. Apparatus for detecting variation in transistor threshold voltage
US9997551B2 (en) 2015-12-20 2018-06-12 Apple Inc. Spad array with pixel-level bias control
US10527503B2 (en) 2016-01-08 2020-01-07 Apple Inc. Reference circuit for metrology system
US10161967B2 (en) 2016-01-09 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. On-chip oscilloscope
US9843439B2 (en) 2016-01-27 2017-12-12 Ciena Corporation System and method for managing holdover
US9823306B2 (en) * 2016-02-11 2017-11-21 Texas Instruments Incorporated Measuring internal signals of an integrated circuit
US10296076B2 (en) 2016-05-16 2019-05-21 Qualcomm Incorporated Supply voltage droop management circuits for reducing or avoiding supply voltage droops
US10635159B2 (en) 2016-05-27 2020-04-28 Qualcomm Incorporated Adaptive voltage modulation circuits for adjusting supply voltage to reduce supply voltage droops and minimize power consumption
US20170364818A1 (en) 2016-06-17 2017-12-21 Business Objects Software Ltd. Automatic condition monitoring and anomaly detection for predictive maintenance
JP6738682B2 (ja) 2016-08-01 2020-08-12 日本ルメンタム株式会社 光送受信器、光送信集積回路及び光受信集積回路
US10382014B2 (en) 2016-12-23 2019-08-13 Ati Technologies Ulc Adaptive oscillator for clock generation
US9791834B1 (en) 2016-12-28 2017-10-17 Intel Corporation Fast digital to time converter linearity calibration to improve clock jitter performance
JP6686151B2 (ja) 2017-01-27 2020-04-22 三菱日立パワーシステムズ株式会社 モデルパラメータ値推定装置及び推定方法、プログラム、プログラムを記録した記録媒体、モデルパラメータ値推定システム
US10380879B2 (en) * 2017-06-14 2019-08-13 Allegro Microsystems, Llc Sensor integrated circuits and methods for safety critical applications
JP6916441B2 (ja) 2017-10-19 2021-08-11 株式会社ソシオネクスト 半導体集積回路及び呼吸運動検査装置
KR20230020571A (ko) 2017-11-15 2023-02-10 프로틴텍스 엘티디. 집적 회로 마진 측정 및 고장 예측 장치
US11391771B2 (en) * 2017-11-23 2022-07-19 Proteantecs Ltd. Integrated circuit pad failure detection
US11408932B2 (en) 2018-01-08 2022-08-09 Proteantecs Ltd. Integrated circuit workload, temperature and/or subthreshold leakage sensor
US10530347B2 (en) 2018-03-23 2020-01-07 Sandisk Technologies Llc Receiver-side setup and hold time calibration for source synchronous systems
US10840322B2 (en) 2018-03-29 2020-11-17 Texas Instruments Incorporated Thin film resistor and top plate of capacitor sharing a layer
TWI828676B (zh) 2018-04-16 2024-01-11 以色列商普騰泰克斯有限公司 用於積體電路剖析及異常檢測之方法和相關的電腦程式產品
CN108534866A (zh) * 2018-06-06 2018-09-14 珠海市洁源电器有限公司 一种可隔空监测液位的高灵敏度高精度电子液位感应器
EP3811246A4 (en) 2018-06-19 2022-03-23 Proteantecs Ltd. EFFICIENT SIMULATION AND TESTING OF AN INTEGRATED CIRCUIT
US10587250B2 (en) 2018-07-18 2020-03-10 Qualcomm Incorporated Current-starving in tunable-length delay (TLD) circuits employable in adaptive clock distribution (ACD) systems for compensating supply voltage droops in integrated circuits (ICs)
US10490547B1 (en) 2018-08-03 2019-11-26 Texas Instruments Incorporated IC with larger and smaller width contacts
US10509104B1 (en) 2018-08-13 2019-12-17 Analog Devices Global Unlimited Company Apparatus and methods for synchronization of radar chips
US20200203333A1 (en) 2018-12-21 2020-06-25 Texas Instruments Incorporated Vertical bipolar transistor for esd protection and method for fabricating
WO2020141516A1 (en) 2018-12-30 2020-07-09 Proteantecs Ltd. Integrated circuit i/o integrity and degradation monitoring
CN114127727A (zh) 2019-05-13 2022-03-01 普罗泰克斯公司 通过测量和仿真来确定集成电路的未知偏差和器件参数
WO2021019539A1 (en) 2019-07-29 2021-02-04 Proteantecs Ltd. On-die thermal sensing network for integrated circuits
US11409323B2 (en) 2019-12-20 2022-08-09 Arm Limited Delay monitoring scheme for critical path timing margin
WO2021214562A1 (en) 2020-04-20 2021-10-28 Proteantecs Ltd. Die-to-die connectivity monitoring
US11081193B1 (en) 2020-06-16 2021-08-03 Sandisk Technologies Llc Inverter based delay chain for calibrating data signal to a clock
WO2022009199A1 (en) 2020-07-06 2022-01-13 Proteantecs Ltd. Integrated circuit margin measurement for structural testing
US20230098071A1 (en) 2021-09-17 2023-03-30 Synopsys, Inc. Functional safety mechanisms for input/output (io) cells

Also Published As

Publication number Publication date
EP3903113A4 (en) 2022-06-08
JP2024038302A (ja) 2024-03-19
US10740262B2 (en) 2020-08-11
US11275700B2 (en) 2022-03-15
US20200210354A1 (en) 2020-07-02
US20200371972A1 (en) 2020-11-26
KR20220002246A (ko) 2022-01-06
IL284062A (en) 2021-08-31
US11762789B2 (en) 2023-09-19
CN113474668A (zh) 2021-10-01
EP3903113A1 (en) 2021-11-03
TW202032146A (zh) 2020-09-01
WO2020141516A1 (en) 2020-07-09
US20220156206A1 (en) 2022-05-19
JP7419380B2 (ja) 2024-01-22
US20240004812A1 (en) 2024-01-04

Similar Documents

Publication Publication Date Title
JP7419380B2 (ja) 集積回路i/oの完全性および劣化監視
US8744012B1 (en) On-chip eye viewer architecture for highspeed transceivers
US20070016835A1 (en) Method and apparatus for parameter adjustment, testing, and configuration
EP3714280B1 (en) Integrated circuit pad failure detection
TWI660183B (zh) Component inspection method, probe card, interposer and inspection device
EP3485285B1 (en) System and method for built-in self-test of electronic circuits
US11293977B2 (en) Die-to-die connectivity monitoring
US7003421B1 (en) VDD over and undervoltage measurement techniques using monitor cells
US20230393196A1 (en) Die-to-die connectivity monitoring using a clocked receiver
Mak et al. Testing Gbps interfaces without a gigahertz tester
US20150039957A1 (en) Dynamic built-in self-test system
JP4179883B2 (ja) 終端抵抗装置、データ伝送装置及び終端抵抗回路の検査方法
US10310007B2 (en) Semiconductor apparatus and system
US9797945B2 (en) Semiconductor device having circuitry for detecting abnormalities in a power supply wiring network
US8368419B2 (en) Assessment of on-chip circuit based on eye-pattern asymmetry
US9904748B1 (en) Layout effect characterization for integrated circuits
Landman et al. A novel approach to in-field, in-mission reliability monitoring based on Deep Data
US7694255B2 (en) Variable delay circuit, recording medium, logic verification method and electronic device
JP5488480B2 (ja) スイッチング素子の劣化診断回路及びその動作方法
Ley et al. Detection and Diagnosis of Printed Circuit Board Defects and Variances Using on-Chip Embedded Instrumentation.
Torres et al. Signal integrity parameters for health monitoring of digital electronics
JP2005164467A (ja) Iddq測定回路
Detofsky Architecting Chiplets for Product Manufacturing Test Resiliency
JP2008203050A (ja) シュミット回路の試験方法
JP2014090050A (ja) 入出力インピーダンス調整回路を備えた半導体装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221227

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221227

TRDD Decision of grant or rejection written
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20231206

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231212

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240110

R150 Certificate of patent or registration of utility model

Ref document number: 7419380

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150