JP2022511451A - ダミーボンディングコンタクトおよびダミー相互接続部を使用したハイブリッド接合 - Google Patents

ダミーボンディングコンタクトおよびダミー相互接続部を使用したハイブリッド接合 Download PDF

Info

Publication number
JP2022511451A
JP2022511451A JP2021530781A JP2021530781A JP2022511451A JP 2022511451 A JP2022511451 A JP 2022511451A JP 2021530781 A JP2021530781 A JP 2021530781A JP 2021530781 A JP2021530781 A JP 2021530781A JP 2022511451 A JP2022511451 A JP 2022511451A
Authority
JP
Japan
Prior art keywords
bonding
layer
interconnect
contacts
dummy
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021530781A
Other languages
English (en)
Other versions
JP7329601B2 (ja
Inventor
ワン・タオ
ピン フ・シ
ウェン ワン・ジア
チ フアン・シ
ズ・ジフェン
チェン・ジュン
クン フア・ジ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Yangtze Memory Technologies Co Ltd
Original Assignee
Yangtze Memory Technologies Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Yangtze Memory Technologies Co Ltd filed Critical Yangtze Memory Technologies Co Ltd
Publication of JP2022511451A publication Critical patent/JP2022511451A/ja
Application granted granted Critical
Publication of JP7329601B2 publication Critical patent/JP7329601B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/50Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the boundary region between the core region and the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • H01L2224/02331Multilayer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/03452Chemical vapour deposition [CVD], e.g. laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/0361Physical or chemical etching
    • H01L2224/03616Chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05657Cobalt [Co] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/0651Function
    • H01L2224/06515Bonding areas having different functions
    • H01L2224/06517Bonding areas having different functions including bonding areas providing primarily mechanical bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/0805Shape
    • H01L2224/08057Shape in side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/0805Shape
    • H01L2224/08057Shape in side view
    • H01L2224/08058Shape in side view being non uniform along the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/08147Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bonding area connecting to a bonding area disposed in a recess of the surface of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/0951Function
    • H01L2224/09515Bonding areas having different functions
    • H01L2224/09517Bonding areas having different functions including bonding areas providing primarily mechanical support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8001Cleaning the bonding area, e.g. oxide removal step, desmearing
    • H01L2224/80013Plasma cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/80048Thermal treatments, e.g. annealing, controlled pre-heating or pre-cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • H01L2224/822Applying energy for connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

半導体デバイスは、第1の半導体構造、第2の半導体構造、および、第1のボンディング層と第2のボンディング層との間のボンディング界面を含む。第1の半導体構造は、第1の相互接続部を含む第1の相互接続層、および、第1のボンディングコンタクトを含む第1のボンディング層を含む。少なくとも1つの第1の相互接続部は、第1のダミー相互接続部である。各第1の相互接続部は、それぞれの第1のボンディングコンタクトと接触している。第2の半導体構造は、第2の相互接続部を含む第2の相互接続層、および、第2のボンディングコンタクトを含む第2のボンディング層を含む。少なくとも1つの第2の相互接続部は、第2のダミー相互接続部である。各第2の相互接続部は、それぞれの第2のボンディングコンタクトと接触している。各第1のボンディングコンタクトは、ボンディング界面においてそれぞれの第2のボンディングコンタクトと接触している。

Description

本開示の実施形態は、接合半導体構造およびその製造方法に関する。
メモリセルなどの平面半導体デバイスは、プロセス技術、回路設計、プログラミングアルゴリズム、および製造プロセスを改善することによって、より小さいサイズにスケーリングされる。しかしながら、半導体デバイスのフィーチャーサイズが下限に近づくにつれて、平面プロセスおよび製造技法は困難になり、費用がかかるようになる。三次元(3D)デバイスアーキテクチャは、例えばフラッシュメモリデバイスなど、いくつかの平面半導体デバイスにおける密度制限に対処することができる。
3D半導体デバイスは、半導体ウェハまたはダイを積層し、例えば、シリコン貫通ビア(TSV)または銅-銅(Cu-Cu)接続を使用してそれらを垂直に相互接続することによって形成することができ、その結果、得られる構造は、単一のデバイスとして機能して、従来の平面プロセスよりも電力を低減し、フットプリントを小さくして性能改善を達成する。半導体基板を積層するための様々な技法の中でも、ハイブリッド接合は、高密度な相互接続を形成することができるため、有望な技術の1つとして認識されている。
半導体デバイス、接合構造、およびそれらの製造方法の実施形態が本明細書に開示される。
一例では、半導体デバイスは、第1の半導体構造および第2の半導体構造を含む。第1の半導体構造は、複数の第1の相互接続部を含む第1の相互接続層を含む。第1の相互接続部のうちの少なくとも1つは、少なくとも1つの第1のダミー相互接続部である。第1の半導体構造は、複数の第1のボンディングコンタクトを含む第1のボンディング層をさらに含む。第1の相互接続部の各々は、第1のボンディングコンタクトのそれぞれの1つに接触している。第2の半導体構造は、複数の第2の相互接続部を含む第2の相互接続層を含む。第2の相互接続部のうちの少なくとも1つは、少なくとも1つの第2のダミー相互接続部である。第2の半導体構造は、複数の第2のボンディングコンタクトを含む第2のボンディング層をさらに含む。第2の相互接続部の各々は、第2のボンディングコンタクトのそれぞれの1つと接触している。半導体デバイスは、第1のボンディング層と第2のボンディング層との間のボンディング界面をさらに備える。第1のボンディングコンタクトの各々は、ボンディング界面において第2のボンディングコンタクトのそれぞれの1つと接触している。
別の例では、接合構造は、ボンディング界面と、機能的ボンディングコンタクトの対と、ダミーボンディングコンタクトの対とを含む。機能的ボンディングコンタクトの対は、ボンディング界面において互いに接触している。ボンディングコンタクトの対は、ボンディング界面の両側の対向する機能的相互接続部の対にそれぞれ接触している。ダミーボンディングコンタクトの対は、ボンディング界面において互いに接触している。ダミーボンディングコンタクトの対は、ボンディング界面の両側の対向するダミー相互接続部の対にそれぞれ接触している。
さらに別の例では、半導体デバイスを形成するための方法が開示される。第1の基板の上方に、複数の第1の相互接続部を含む第1の相互接続層が形成される。第1の相互接続部のうちの少なくとも1つは、少なくとも1つの第1のダミー相互接続部である。複数の第1のボンディングコンタクトを含む第1のボンディング層が、第1の相互接続部の各々が第1のボンディングコンタクトのそれぞれの1つと接触するように、第1の相互接続層の上方に形成される。第2の基板の上方に、複数の第2の相互接続部を含む第2の相互接続層が形成される。第2の相互接続部のうちの少なくとも1つは、少なくとも1つの第2のダミー相互接続部である。複数の第2のボンディングコンタクトを含む第2のボンディング層が、第2の相互接続部の各々が第2のボンディングコンタクトのそれぞれの1つと接触するように、第2の相互接続層の上方に形成される。第1の基板および第2の基板は、第1のボンディングコンタクトの各々がボンディング界面において第2のボンディングコンタクトのそれぞれの1つと接触するように、フェイスツーフェイス方式で接合される。
本明細書に組み込まれ、本明細書の一部を形成する添付の図面は、本開示の実施形態を示し、本明細書とともに、さらに、本開示の原理を説明し、当業者が本開示を作成および使用することを可能にするのに役立つ。
本開示のいくつかの実施形態による、典型的な接合半導体デバイスの断面図である。 本開示の様々な実施形態による、ダミーボンディングコンタクトを含む様々な典型的な接合半導体デバイスの断面図である。 本開示の様々な実施形態による、ダミーボンディングコンタクトを含む様々な典型的な接合半導体デバイスの断面図である。 本開示のいくつかの実施形態による、ダミーボンディングコンタクトおよびダミー相互接続部を含む典型的な接合半導体デバイスの断面図である。 本開示のいくつかの実施形態による、ダミーボンディングコンタクトを含む第1の半導体構造を形成するための典型的な製造プロセスを示す図である。 本開示のいくつかの実施形態による、ダミーボンディングコンタクトを含む第1の半導体構造を形成するための典型的な製造プロセスを示す図である。 本開示のいくつかの実施形態による、ダミーボンディングコンタクトを含む第2の半導体構造を形成するための典型的な製造プロセスを示す図である。 本開示のいくつかの実施形態による、ダミーボンディングコンタクトを含む第2の半導体構造を形成するための典型的な製造プロセスを示す図である。 本開示のいくつかの実施形態による、ダミーボンディングコンタクトを含む第2の半導体構造を形成するための典型的な製造プロセスを示す図である。 本開示のいくつかの実施形態による、第1の半導体構造と第2の半導体構造とを接合するための典型的な製造プロセスを示す図である。 本開示のいくつかの実施形態による、ダミーボンディングコンタクトおよびダミー相互接続部を含む第1の半導体構造を形成するための典型的な製造プロセスを示す図である。 本開示のいくつかの実施形態による、ダミーボンディングコンタクトおよびダミー相互接続部を含む第1の半導体構造を形成するための典型的な製造プロセスを示す図である。 本開示のいくつかの実施形態による、ダミーボンディングコンタクトおよびダミー相互接続部を含む第2の半導体構造を形成するための典型的な製造プロセスを示す図である。 本開示のいくつかの実施形態による、ダミーボンディングコンタクトおよびダミー相互接続部を含む第2の半導体構造を形成するための典型的な製造プロセスを示す図である。 本開示のいくつかの実施形態による、第1の半導体構造と第2の半導体構造とを接合するための別の典型的な製造プロセスを示す図である。 本開示のいくつかの実施形態による、ダミーボンディングコンタクトを含む典型的な接合半導体デバイスを形成するための方法のフローチャートである。 本開示のいくつかの実施形態による、ダミーボンディングコンタクトおよびダミー相互接続部を含む典型的な接合半導体デバイスを形成するための方法のフローチャートである。
本開示の実施形態について、図面を参照しながら説明する。
特定の構成および配置について説明するが、これは例示のみを目的として行われていることを理解されたい。当業者は、本開示の精神および範囲から逸脱することなく、他の構成および配置を使用することができることを認識するであろう。本開示を様々な他の用途でも使用することができることが、当業者には明らかであろう。
本明細書における「1つの実施形態」、「一実施形態」、「例示的な実施形態」、「いくつかの実施形態」などへの言及は、記載されている実施形態が特定の特徴、構造、または特性を含み得ることを示すが、すべての実施形態が特定の特徴、構造、または特性を必ずしも含むとは限らないことに留意されたい。さらに、そのような語句は必ずしも同じ実施形態を指すとは限らない。さらに、特定の特徴、構造、または特性が一実施形態に関連して記載されている場合、明示的に記載されているか否かに関係なく、他の実施形態に関連してそのような特徴、構造、または特性がもたらされることは、当業者の知識の範囲内であろう。
一般に、用語は少なくとも部分的に文脈の中での使用から理解され得る。例えば、本明細書において使用される場合、「1つまたは複数」という用語は、文脈に少なくとも部分的に依存して、任意の特徴、構造、または特性を単数の意味で記載するために使用されている場合があり、または複数の意味で特徴、構造または特性の組み合わせを記載するために使用されている場合がある。同様に、「a」、「an」、「the」などの用語は、同じく、文脈に少なくとも部分的に依存して、単数形の使用法を伝達するか、または複数形の使用法を伝達すると理解され得る。さらに、「~に基づく」という用語は、必ずしも要因の排他的な集合を伝達することを意図していないと理解することができ、代わりに、文脈に少なくとも部分的に依存して、同じく必ずしも明示的に説明されていない追加の要因が存在することを許容することができる。
本開示における「上(on)」、「上方(above)」、および「~の上(over)」の意味するところは、「上の」が何かの「直上」にあることを意味するだけでなく、中間の特徴または層を挟んで何かの「上」にあることも意味するように、また、「上方」または「~の上」が、何かの「上方」または何か「の上」にあることを意味するだけでなく、中間の特徴または層を挟まずに何かの「上方」または何か「の上」にある(すなわち、何かの直上にある)ことも意味するように、最も広義に解釈されるべきであることは容易に理解されるべきである。
さらに、「下(beneath)」、「下方(below)」、「下側(lower)」、「上方(above)」、「上側(upper)」などのような空間的に相対的な用語は、本明細書においては、図に示されているような、ある要素または特徴の別の要素(複数可)または特徴(複数可)との関係を説明するために、説明を容易にするために使用され得る。空間的に相対的な用語は、図に示されている向きに加えて、使用中または動作中のデバイスの様々な向きを包含することを意図している。装置は他の方向に向けられ(90度または他の向きに回転され)てもよく、本明細書において使用される空間的に相対的な記述語もそれに応じて解釈され得る。
本明細書において使用される場合、「基板」という用語は、後続の材料層が追加される材料を指す。基板自体をパターニングすることができる。基板の上部に追加される材料は、パターニングすることもでき、またはパターニングしないままにすることもできる。さらに、基板は、シリコン、ゲルマニウム、ヒ化ガリウム、リン化インジウムなどのような幅広い半導体材料を含むことができる。代替的に、基板は、ガラス、プラスチック、またはサファイアウェハなどの非導電性材料から作製することができる。
本明細書において使用される場合、「層」という用語は、厚さのある領域を含む材料部分を指す。層は、下にあるもしくは上にある構造の全体にわたって延在することができ、または下にあるもしくは上にある構造の範囲よりも小さい範囲を有することができる。さらに、層は、均一または不均一な連続構造のうちの、当該連続構造の厚さよりも薄い厚さを有する領域であり得る。例えば、層は、連続構造の上面と底面との間の任意の水平面対の間に、または上面および底面に位置することができる。層は、水平に、垂直に、かつ/またはテーパ面に沿って延在することができる。基板は、層であり得、基板の中に1つもしくは複数の層を含み得、ならびに/または基板の上、上方、および/もしくは下方に1つもしくは複数の層を有し得る。層は複数の層を含むことができる。例えば、相互接続層は、1つまたは複数の導体層および接触層(相互接続層の中に相互接続線および/またはビアコンタクトが形成される)、ならびに1つまたは複数の誘電体層を含むことができる。
本明細書において使用する場合、「名目/名目上」という用語は、製品またはプロセスの設計段階の間に設定される、構成要素またはプロセス動作の特性またはパラメータの所望のまたは目標の値を、所望の値の上および/または下の値の範囲とともに参照する。値の範囲は、製造プロセスまたは許容誤差のわずかな変動に起因する可能性がある。本明細書において使用される場合、「約」という用語は、主題の半導体デバイスに関連付けられた特定の技術ノードに基づいて変化する可能性がある所与の量の値を示す。特定の技術ノードに基づいて、「約」という用語は、例えば値の10~30%(例えば、値の±10%、±20%、または±30%)以内で変化する特定の量の値を示すことができる。
本明細書において使用される場合、「3Dメモリデバイス」という用語は、メモリストリングが基板に対して垂直方向に延伸するように、横向きの基板上にあるメモリセルトランジスタの垂直配向ストリング(NANDメモリストリングなど、本明細書において「メモリストリング」として参照される)を有する半導体デバイスを指す。本明細書において使用される場合、「垂直/垂直方向に」という用語は、基板の側面に対して名目上垂直であることを意味する。
高密度、低フィーチャーサイズ(例えば、0.5μm未満)のハイブリッド接合プロセスでは、接合収率を高めるために、ボンディング界面の両側の対向するボンディングコンタクトは、各々が2回のパターニングプロセス(例えば、2回のフォトリソグラフィおよび現像プロセス)を含む2つのデュアルダマシンプロセスによって作成されることが多い。4つのパターニングプロセスを使用する高いコストを低減するために、3つまたはさらには2つのパターニングプロセスのみを含む製造プロセスが、ボンディングコンタクトを作製するために提案されている。しかしながら、既知のプロセスは、ボンディング界面における金属および誘電体分布の所望の均一性を達成するためにボンディング界面にダミーボンディングコンタクトを形成することができず、それによって接合収率および強度が低下する。例えば、金属局所密度の変動は、その後の化学機械研磨(CMP)プロセス中に浸食制御およびディッシング制御の不均一性を引き起こす可能性がある。また、ダミーボンディングコンタクトの欠如は、金属-金属ボンディング領域よりも低い接合強度を有する多数の金属-誘電体ボンディングおよび誘電体-誘電体ボンディング領域を生じる可能性がある。
本開示による様々な実施形態は、接合収率および強度を改善するためにダミーボンディングコンタクトを使用するハイブリッド接合を提供する。ボンディング界面の少なくとも1つの側のダミーボンディングコンタクトおよび機能的ボンディングコンタクトは、単一のパターニングプロセスにおいて作製することができ、それによってプロセスコストを削減する。いくつかの実施形態では、ボンディングコンタクトの局所密度は、下層の相互接続部の設計に基づいてダミーボンディングコンタクトを追加して接合収率および強度を高めることによって制御することができる。いくつかの実施形態では、ボンディング界面の各側のダミーボンディングコンタクトおよび機能的ボンディングコンタクトは、単一のパターニングプロセスにおいて作製され、それによってハイブリッド接合プロセスのコストをさらに削減する。ダミー相互接続部を相互接続層に追加して、接合デバイスの電気接続に影響を与えることなく、単一のパターニングプロセスにおいて上層に追加のダミーボンディングコンタクトを追加することを可能にすることができる。
図1は、本開示のいくつかの実施形態による、典型的な接合半導体デバイス100の断面を示す。説明を容易にするために、接合半導体デバイス100は、非モノリシック3Dメモリデバイスとして説明される。しかしながら、接合半導体デバイス100は、3Dメモリデバイスに限定されず、以下で詳細に説明するように、ダミーボンディングコンタクトを使用してボンディング界面特性を改善することができる任意の適切な接合半導体デバイスを含むことができることが理解される。本明細書において開示されるような、そのボンディング界面にダミーボンディングコンタクトを含む接合半導体デバイスは、図1に示す例に限定されず、2D、2.5D、または3Dアーキテクチャの論理デバイス、揮発性メモリデバイス(例えば、ダイナミックランダムアクセスメモリ(DRAM)およびスタティックランダムアクセスメモリ(SRAM))、および不揮発性メモリデバイス(例えば、フラッシュメモリ)などの任意の他の適切な半導体デバイスを含むことができることが理解される。
接合半導体デバイス100は、非モノリシック3Dメモリデバイスの一例を表す。「非モノリシック」という用語は、(例えば、周辺デバイスおよびメモリアレイデバイスなどの)接合半導体デバイス100の構成要素が異なる基板上に別個に形成され、次いで接合されて接合半導体デバイスが形成され得ることを意味する。接合半導体デバイス100は、シリコン(例えば、単結晶シリコン)、シリコンゲルマニウム(SiGe)、ガリウムヒ素(GaAs)、ゲルマニウム(Ge)、シリコン・オン・インシュレータ(SOI)、または任意の他の適切な材料を含むことができる基板102を含むことができる。
基板102を有する接合半導体デバイス100における構成要素の空間的関係をさらに示すために、図1にはx軸およびy軸が含まれていることに留意されたい。基板102は、x方向(すなわち、横方向)に横方向に延在する2つの外側面(例えば、上面および底面)を含む。本明細書において使用される場合、半導体デバイス(例えば、接合半導体デバイス100)の1つの構成要素(例えば、層またはデバイス)が別の構成要素(例えば、層またはデバイス)の「上に」、「上方に」、または「下方に」あるかは、基板がy方向において半導体デバイスの最下面に位置付けられるとき、y方向(すなわち、垂直方向)において半導体デバイスの基板(例えば、基板102)に対して判定される。空間的関係を説明するための同じ概念が本開示全体にわたって適用される。
接合半導体デバイス100は、2つの半導体構造、すなわち、ボンディング界面158においてフェイスツーフェイス方式で接合されたメモリアレイデバイスチップ160と、周辺デバイスチップ162とを含むことができる。いくつかの実施形態では、ボンディング界面158が、直接接合技術(例えば、はんだまたは接着剤などの中間層を使用せずに表面間の接合を形成する)であり、金属-金属接合および誘電体-誘電体接合を同時に得ることができるハイブリッド接合(「金属/誘電体ハイブリッド接合」としても知られる)の結果として、メモリアレイデバイスチップ160と周辺デバイスチップ162との間に配置される。いくつかの実施形態では、ボンディング界面158は、メモリアレイデバイスチップ160および周辺デバイスチップ162が会合し、接合される場所である。実際には、ボンディング界面158は、メモリアレイデバイスチップ160の底面および周辺デバイスチップ162の底面を含む特定の厚さを有する層とすることができる。図1では、メモリアレイデバイスチップ160は周辺デバイスチップ162の上方に配置されているが、いくつかの実施形態では、それらの相対位置を逆にすることができることが理解される。例えば、別の接合半導体デバイスにおいては、周辺デバイスチップ162の下方にメモリアレイデバイスチップ160が配置されてもよい。
周辺デバイスチップ162は、基板102上に周辺デバイス層103を含むことができる。周辺デバイス層103は、基板102上に形成される周辺デバイス(例えば、複数のトランジスタ104)を含むことができる。トランジスタ104は、基板102「上」に形成することができ、各トランジスタ104の全体または一部が、基板102内に(例えば、基板102の上面の下方)および/または直接的に基板102上に形成される。分離領域(例えば、図示されていないシャロートレンチアイソレーション(STI))およびドープ領域(例えば、図示されていないトランジスタ104のソース領域およびドレイン領域)を基板102内に形成することができる。
いくつかの実施形態では、周辺デバイス層103の周辺デバイスは、接合半導体デバイス100の動作を容易にするために使用される任意の適切なデジタル、アナログ、および/または混合信号周辺回路を含むことができる。例えば、周辺デバイス層103の周辺デバイスは、ページバッファ、デコーダ(例えば、行デコーダおよび列デコーダ)、センス増幅器、ドライバ、チャージポンプ、電流もしくは電圧基準、または回路の任意の能動もしくは受動構成要素(例えば、トランジスタ、ダイオード、抵抗器、またはコンデンサ)のうちの1つまたは複数を含むことができる。いくつかの実施形態では、周辺デバイス層103の周辺デバイスは、相補型金属酸化膜半導体(CMOS)技術(「CMOSチップ」としても知られる)を使用して基板102上に形成される。
周辺デバイスチップ162は、周辺デバイス層103へ、および、周辺デバイス層103から電気信号を転送するために、周辺デバイス層103の上方に相互接続層106(本明細書においては「周辺相互接続層」として参照される)を含むことができる。周辺相互接続層106は、横方向相互接続線および垂直相互接続アクセス(ビア)コンタクトを含む複数の相互接続部108(本明細書においては「コンタクト」としても参照される)を含むことができる。本明細書において使用される場合、「相互接続部」という用語は、ミドルエンドオブライン(MEOL)相互接続部およびバックエンドオブライン(BEOL)相互接続部などの任意の適切なタイプの相互接続部を広く含むことができる。以下で詳細に説明するように、周辺相互接続層106内の相互接続部108は、周辺デバイスに電気的に接続される機能的相互接続部を含むことができ、任意選択的に、周辺デバイス層103内のいかなる周辺デバイスにも電気的に接続されないダミー相互接続部をさらに含むことができる。周辺相互接続層106は、相互接続線およびビアコンタクトが形成され得る1つまたは複数の層間誘電体(ILD)層(「金属間誘電体(IMD)層」としても知られる)をさらに含むことができる。すなわち、周辺相互接続層106は、複数のILD層内の相互接続部108を含むことができる。周辺相互接続層106内の相互接続部108は、タングステン(W)、コバルト(Co)、銅(Cu)、アルミニウム(Al)、ケイ化物、またはそれらの任意の組み合わせを含むがこれらに限定されない導電性材料を含むことができる。周辺相互接続層106内のILD層は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低誘電率(低k)誘電体、またはそれらの任意の組み合わせを含むがこれらに限定されない誘電体材料を含むことができる。
図1に示すように、周辺デバイスチップ162は、ボンディング界面158おいて、周辺相互接続層106および周辺デバイス層103の上方にあるボンディング層111をさらに含むことができる。ボンディング層111は、複数のボンディングコンタクト112と、ボンディングコンタクト112を電気的に絶縁する誘電体113とを含むことができる。ボンディングコンタクト112は、機能的ボンディングコンタクト112-1を含むことができ、それらの各々は、周辺デバイスチップ162とメモリアレイデバイスチップ160との間の電気接続の一部である。ボンディングコンタクト112はまた、ダミーボンディングコンタクト112-2も含むことができ、それらの各々は、周辺デバイスチップ162とメモリアレイデバイスチップ160との間のいかなる電気接続の一部でもない。以下で詳細に説明するように、ダミーボンディングコンタクト112-2は、ボンディング界面158におけるボンディングコンタクト112の局所密度を増加させて接合収率および強度を高めるために使用することができる。ボンディングコンタクト112は、W、Co、Cu、Al、ケイ化物、またはそれらの任意の組み合わせを含むがこれらに限定されない導電性材料を含むことができる。ボンディング層111の残りの領域は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低k誘電体、またはそれらの任意の組み合わせを含むがこれらに限定されない誘電体113によって形成することができる。ボンディング層111内のボンディングコンタクト112および誘電体113は、以下で詳細に説明するようにハイブリッド接合に使用することができる。
いくつかの実施形態では、メモリアレイデバイスチップ160は、メモリセルが、各々が周辺デバイス層103の上方に垂直に延在するNANDメモリストリング114のアレイの形態で提供されるNANDフラッシュメモリデバイスである。メモリアレイデバイス層120は、各々が導体層116および誘電体層118を含む複数の対(本明細書においては「導体層/誘電体層の対」として参照される)を通って垂直に延在するNANDメモリストリング114を含むことができる。積層された導体/誘電体層の対は、本明細書においては「メモリスタック」としても参照される。メモリ内の導体層116および誘電体層118は、垂直方向に交互に積層することができる。
図1に示すように、各NANDメモリストリング114は、半導体チャネル124および誘電体層(「メモリ膜」としても知られる)を含むことができる。いくつかの実施形態では、半導体チャネル124は、アモルファスシリコン、ポリシリコン、または単結晶シリコンなどのシリコンを含む。いくつかの実施形態では、メモリ膜は、トンネル層126、蓄積層128(「電荷トラップ/蓄積層」としても知られる)、およびブロッキング層(図示せず)を含む複合層である。各NANDメモリストリング114は、円筒形状(例えば、柱形状)を有することができる。いくつかの実施形態によれば、半導体チャネル124、トンネル層126、蓄積層128、およびブロッキング層は、この順序で柱の中心から外面に向かって半径方向に配置される。トンネル層126は、酸化ケイ素、酸窒化ケイ素、またはそれらの任意の組み合わせを含むことができる。蓄積層128は、窒化ケイ素、酸窒化ケイ素、シリコン、またはそれらの任意の組み合わせを含むことができる。ブロッキング層は、酸化ケイ素、酸窒化ケイ素、高誘電率(高k)誘電体、またはそれらの任意の組み合わせを含むことができる。
いくつかの実施形態では、NANDメモリストリング114は、複数の制御ゲート(各々がワード線の一部である)をさらに含む。メモリスタック内の各導体層116は、各NANDメモリストリング114のメモリセルの制御ゲートとして機能することができる。各NANDメモリストリング114は、その上端にあるソース選択ゲートと、その下端にあるドレイン選択ゲートとを含むことができる。本明細書において使用される場合、構成要素(例えば、NANDメモリストリング114)の「上端」は、y方向において基板102から離れている方の端部であり、構成要素(例えば、NANDメモリストリング114)の「下端」は、y方向において基板102により近い方の端部である。
いくつかの実施形態では、メモリアレイデバイスチップ160は、NANDメモリストリング114の上方に接触して配置された半導体層130をさらに含む。メモリアレイデバイス層120は、半導体層130の下方に配置することができる。いくつかの実施形態では、半導体層130は、分離領域によって電気的に分離された複数の半導体プラグ132を含む。いくつかの実施形態では、各半導体プラグ132は、対応するNANDメモリストリング114の上端に配置され、対応するNANDメモリストリング114のドレインとして機能し、したがって、対応するNANDメモリストリング114の一部と考えることができる。半導体プラグ132は、単結晶シリコンを含むことができる。半導体プラグ132は、非ドープであるか、p型またはn型ドーパントによって、(厚さ方向および/または幅方向に)部分的にドープされるか、または完全にドープされ得る。
いくつかの実施形態では、メモリアレイデバイスチップ160は、1つまたは複数のILD層に形成され、ワード線(例えば、導体層116)およびNANDメモリストリング114などのメモリアレイデバイス層120内の構成要素と接触するローカル相互接続部を含む。ローカル相互接続部は、ワード線ビアコンタクト136、ソース線ビアコンタクト138、およびビット線ビアコンタクト140を含むことができる。各ローカル相互接続部は、W、Co、Cu、Al、ケイ化物、またはそれらの任意の組み合わせを含むがこれらに限定されない導電性材料を含むことができる。ワード線ビアコンタクト136は、1つまたは複数のILD層を通って垂直に延在することができる。各ワード線ビアコンタクト136は、対応する導体層116と接触して、接合半導体デバイス100の対応するワード線を個別にアドレス指定することができる。各ソース線ビアコンタクト138は、対応するNANDメモリストリング114のソースと接触することができる。ビット線ビアコンタクト140は、1つまたは複数のILD層を通って垂直に延在することができる。各ビット線ビアコンタクト140は、NANDメモリストリング114の対応する半導体プラグ132(例えば、ドレイン)に電気的に接続して、対応するNANDメモリストリング114を個別にアドレス指定することができる。
周辺デバイスチップ162と同様に、メモリアレイデバイスチップ160はまた、NANDメモリストリング114へ、および、NANDメモリストリング114から電気信号を転送するための相互接続層を含むことができる。図1に示すように、メモリアレイデバイスチップ160は、メモリアレイデバイス層120の下方に相互接続層142(本明細書においては「アレイ相互接続層」として参照される)を含むことができる。アレイ相互接続層142は、1つまたは複数のILD層内の相互接続線およびビアコンタクトを含む複数の相互接続部144を含むことができる。以下で詳細に説明するように、アレイ相互接続層142内の相互接続部144は、NANDメモリストリング114に電気的に接続される機能的相互接続部を含むことができ、任意選択的に、メモリアレイデバイス層120内のいかなるNANDメモリストリング114にも電気的に接続されないダミー相互接続部をさらに含むことができる。
図1に示すように、メモリアレイデバイスチップ160は、ボンディング界面158において、アレイ相互接続層142およびメモリアレイデバイス層120の下方にあるボンディング層147をさらに含むことができる。ボンディング層147は、複数のボンディングコンタクト148と、ボンディングコンタクト148を電気的に絶縁する誘電体149とを含むことができる。ボンディングコンタクト148は、機能的ボンディングコンタクト148-1を含むことができ、それらの各々は、周辺デバイスチップ162とメモリアレイデバイスチップ160との間の電気接続の一部である。ボンディングコンタクト148はまた、ダミーボンディングコンタクト148-2も含むことができ、それらの各々は、周辺デバイスチップ162とメモリアレイデバイスチップ160との間のいかなる電気接続の一部でもない。以下で詳細に説明するように、ダミーボンディングコンタクト148-2は、ボンディング界面158におけるボンディングコンタクト148の局所密度を増加させて接合収率および強度を高めるために使用することができる。ボンディングコンタクト148は、W、Co、Cu、Al、ケイ化物、またはそれらの任意の組み合わせを含むがこれらに限定されない導電性材料を含むことができる。ボンディング層147の残りの領域は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低k誘電体、またはそれらの任意の組み合わせを含むがこれらに限定されない誘電体149によって形成することができる。ボンディング層147内のボンディングコンタクト148および誘電体149は、以下で詳細に説明するようにハイブリッド接合に使用することができる。
図1に示すように、別の相互接続層150(本明細書においては「BEOL相互接続層」として参照される)は、メモリアレイデバイス層120の上方に配置することができ、1つまたは複数のILD層内の相互接続線152およびビアコンタクト154などの相互接続部を含むことができる。BEOL相互接続層150は、ワイヤボンディングおよび/またはインターポーザとのボンディングのために、接合半導体デバイス100の上部にあるコンタクトパッド156および再分配層(図示せず)をさらに含むことができる。BEOL相互接続層150およびアレイ相互接続層142は、メモリアレイデバイス層120の対向する両側に形成することができる。いくつかの実施形態では、BEOL相互接続層150内の相互接続線152、ビアコンタクト154、およびコンタクトパッド156は、接合半導体デバイス100と外部回路との間で電気信号を転送することができる。
図1に示すように、ボンディング界面158は、ボンディング層111と147との間に形成することができる。いくつかの実施形態によれば、ボンディングコンタクト112はボンディング界面158においてボンディングコンタクト148と接触しており、誘電体113は誘電体149と接触している。いくつかの実施形態では、機能的ボンディングコンタクトの対112-1および148-1は、ボンディング界面158において互いに接触しており、機能的ボンディングコンタクトの対112-1および148-1は、それぞれボンディング界面158の対向する両側において相互接続部108、144の対と接触している。いくつかの実施形態では、ダミーボンディングコンタクトの対112-2および148-2もボンディング界面158において互いに接触している。ハイブリッド接合のためにボンディング界面158における金属密度および均一性を改善するためのダミーボンディングコンタクトとして、ダミーボンディングコンタクトの対112-2および148-2は、メモリアレイデバイスチップ160と周辺デバイスチップ162との間の電気的接続の形成を回避するために、ボンディング界面158の少なくとも一方の側において機能的相互接続部(例えば、周辺相互接続層106および/またはアレイ相互接続層142内の)から分離される。いくつかの実施形態では、周辺相互接続層106およびアレイ相互接続層142は、NANDメモリストリング114およびトランジスタ104に電気的に接続されていないダミー相互接続部の対を含み、ダミーボンディングコンタクトの対112-2および148-2は、メモリアレイデバイスチップ160と周辺デバイスチップ162との間に電気的接続を形成することなく、それぞれボンディング界面158の対向する両側においてダミー相互接続部の対と接触することができる。いくつかの実施形態では、誘電体113、149の対もボンディング界面158において互いに接触している。
図2Aおよび図2Bは、本開示の様々な実施形態による、ダミーボンディングコンタクトを含む様々な典型的な接合半導体デバイスの断面を示す。図2Aは、いくつかの実施形態による、第1の半導体構造202および第2の半導体構造204を含む接合構造200を示す。図2Aに示すように、いくつかの実施形態によれば、第1の半導体構造202は、接合構造200内で第2の半導体構造204の下に配置され、基板206を含む。第1の半導体構造202はまた、基板206の上方に第1のデバイス層208を含むことができる。いくつかの実施形態では、図1で上述したように、第1の半導体構造202は周辺デバイスチップまたはメモリアレイデバイスチップであり、第1のデバイス層208はそれぞれ周辺デバイスまたはNANDメモリストリングを含む。同様に、第2の半導体構造204は、第2のデバイス層222を含むことができる。いくつかの実施形態では、図1で上述したように、第2の半導体構造204は、メモリアレイデバイスチップまたは周辺デバイスチップであり、第2のデバイス層222は、それぞれNANDメモリストリングまたは周辺デバイスを含む。接合構造200は、第1の半導体構造202と第2の半導体構造204との間にボンディング界面203をさらに含むことができる。接合構造200は、2D、2.5D、または3Dアーキテクチャの論理デバイス、揮発性メモリデバイス(例えば、ダイナミックランダムアクセスメモリ(DRAM)およびスタティックランダムアクセスメモリ(SRAM))、および不揮発性メモリデバイス(例えば、フラッシュメモリ)などの任意の他の適切な半導体デバイスを含むことができることが理解される。
第1の半導体構造202はまた、第1のデバイス層208の上方に第1の相互接続層210を含むことができる。いくつかの実施形態によれば、第1の相互接続層210は、1つまたは複数のILD層内に相互接続部212および214を含む。第1の相互接続層210内には、それらの機能に応じて、2種類の相互接続部212および214を形成することができる。いくつかの実施形態では、各相互接続部212は、ボンディング界面203をまたいで第1の半導体構造202と第2の半導体構造204との間で電気信号を転送するために、第1の半導体構造202の第1のデバイス層208および第2の半導体構造204の第2のデバイス層222の両方に電気的に接続される機能的MEOLまたはBEOL相互接続部(例えば、相互接続線またはビアコンタクト)である。相互接続部214は、第1の半導体構造202内で電気信号を転送するために、第1の半導体構造202の第1のデバイス層208に電気的に接続され、ただし、第2の半導体構造204の第2のデバイス層222には接続されない機能的MEOLまたはBEOL相互接続部(例えば、相互接続線またはビアコンタクト)であり得る。いくつかの実施形態では、相互接続部214は、第1の半導体構造202の第1のデバイス層208に電気的に接続されていないダミー相互接続部である。第1の相互接続層210内の相互接続部212および214は、W、Co、Cu、Al、ケイ化物、またはそれらの任意の組み合わせを含むがこれらに限定されない導電性材料を含むことができる。第1の相互接続層210内のILD層は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低k誘電体、またはそれらの任意の組み合わせを含むがこれらに限定されない誘電体材料を含むことができる。
第1の半導体構造202は、第1の相互接続層210の上方に第1のボンディング層216をさらに含むことができる。いくつかの実施形態によれば、第1のボンディング層216は、1つのILD層内にボンディングコンタクト218および220を含む。製造コストを低減するために、第1のボンディング層216は、単一のILD層における単一回のパターニングプロセス(例えば、1回のフォトリソグラフィおよび現像プロセスのみを含む)によって形成され得る。結果として、いくつかの実施形態では、各ボンディングコンタクト218または220は、名目上同じ臨界寸法(例えば、ビアコンタクトの直径)を有する。いくつかの実施形態では、各ボンディングコンタクト218または220は、デュアルダマシンコンタクトとは対照的に、シングルダマシンコンタクトである。第1の半導体構造202と第2の半導体構造204との間の電気的接続の一部であるか否かに応じて、2種類のボンディングコンタクト218および220を第1のボンディング層216内に形成することができる。いくつかの実施形態では、各ボンディングコンタクト218は、ボンディング界面203をまたぐ、第1の半導体構造202と第2の半導体構造204との間の電気接続の一部である機能的ボンディングコンタクトである。いくつかの実施形態では、ボンディングコンタクト220は、ボンディング界面203をまたぐ、第1の半導体構造202と第2の半導体構造204との間の電気接続の一部でないダミーボンディングコンタクトである。第1のボンディング層216内のボンディングコンタクト218および220は、W、Co、Cu、Al、またはそれらの任意の組み合わせを含むがこれらに限定されない導電性材料を含むことができる。いくつかの実施形態では、ボンディングコンタクト218および220は、ハイブリッド接合のためにCuから作製される。
上述したように、ボンディングコンタクトの密度は、ハイブリッド接合に影響を及ぼし得る。電気的相互接続に必要な機能的ボンディングコンタクト218に加えて、第1のボンディング層216にダミーボンディングコンタクト220を追加することによって、ボンディングコンタクトの密度を増加させてハイブリッド接合収率および強度を改善することができる。いくつかの実施形態では、第1のボンディング層216におけるボンディングコンタクト密度を最大にするために、相互接続部212および214の各々は、ボンディングコンタクト218および220のそれぞれの1つと接触している。例えば、第1の相互接続層210の相互接続部212および214ならびに第1のボンディング層216のボンディングコンタクト218および220は、1対1のマッピング関係を有し、第1のボンディング層216のボンディングコンタクト218および220の数は、第1の相互接続層210の相互接続部212および214の数と同じである。各相互接続部212または214は、対応するボンディングコンタクト218または220を形成するためのエッチング停止層として機能することができる。いくつかの実施形態によれば、下部の相互接続部212および214のない領域では、下部のエッチング停止層がないためにボンディングコンタクト218または220は形成されない(第1のボンディング層216内のすべてのボンディングコンタクト218および220は単一のパターニングプロセスによって形成される)。
第1のボンディング層216は、ボンディングコンタクト218と220との間にあり、これらを電気的に絶縁する誘電体221をさらに含むことができる。第1のボンディング層216内の誘電体221は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低k誘電体、またはそれらの任意の組み合わせを含むがこれらに限定されない誘電体材料を含むことができる。いくつかの実施形態では、誘電体221は、ハイブリッド接合のために酸化ケイ素から作製される。
ボンディング界面203の反対側で、第2の半導体構造204はまた、第2のデバイス層222の下方に第2の相互接続層224を含むことができる。いくつかの実施形態によれば、第2の相互接続層224は、1つまたは複数のILD層内に相互接続部226および228を含む。第2の相互接続層224内には、それらの機能に応じて、2種類の相互接続部226および228を形成することができる。いくつかの実施形態では、各相互接続部226は、ボンディング界面203をまたいで第1の半導体構造202と第2の半導体構造204との間で電気信号を転送するために、第1の半導体構造202の第1のデバイス層208および第2の半導体構造204の第2のデバイス層222の両方に電気的に接続される機能的MEOLまたはBEOL相互接続部(例えば、相互接続線またはビアコンタクト)である。相互接続部228は、第2の半導体構造204内で電気信号を転送するために、第2の半導体構造204の第2のデバイス層222に電気的に接続され、ただし、第1の半導体構造202の第1のデバイス層208には接続されない機能的MEOLまたはBEOL相互接続部(例えば、相互接続線またはビアコンタクト)であり得る。いくつかの実施形態では、相互接続部228は、第2の半導体構造204の第2のデバイス層222に電気的に接続されていないダミー相互接続部である。第2の相互接続層224内の相互接続部226および228は、W、Co、Cu、Al、ケイ化物、またはそれらの任意の組み合わせを含むがこれらに限定されない導電性材料を含むことができる。第2の相互接続層224内のILD層は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低k誘電体、またはそれらの任意の組み合わせを含むがこれらに限定されない誘電体材料を含むことができる。
第2の半導体構造204は、第2の相互接続層224の下方に第2のボンディング層230をさらに含むことができる。いくつかの実施形態によれば、第2のボンディング層230は、2つのILD層内にボンディングコンタクト232,234、および236を含む。第2のボンディング層230は、第1のボンディング層216と異なり、2回のパターニングプロセス(例えば、2回のフォトリソグラフィおよび現像プロセス)によって形成され得る。結果として、第2のボンディング層230内のボンディングコンタクト232,234,および236を様々な設計で形成することができる。いくつかの実施形態では、ボンディングコンタクト232はデュアルダマシンコンタクトであり、一方、ボンディングコンタクト234および236はシングルダマシンコンタクトである。例えば、2回のパターニングプロセスによって、ボンディングコンタクト232は、一方のILD層内の第1の臨界寸法を有する第1の部分232-1と、別のILD層内の第1の臨界寸法とは異なる第2の臨界寸法を有する第2の部分232-2とを含むことができる。図2Aに示すように、ボンディングコンタクト232の第1の部分232-1は、第2の相互接続層224内の対応する相互接続部226と接触することができ、ボンディングコンタクト232の第2の部分232-2は、ボンディング界面203の反対側の対応するボンディングコンタクト218と接触することができる。したがって、ボンディングコンタクト232は、第2の相互接続層224内の対応する相互接続部226と接触することができる。対照的に、ボンディングコンタクト234および236は各々、第2の相互接続層224内の相互接続部と接触する第1の部分を含まず、それによって第2の相互接続層224内の相互接続部226および228から分離される。
第1の半導体構造202と第2の半導体構造204との間の電気的接続の一部であるか否かに応じて、2種類のボンディングコンタクト232、234、および236を第2のボンディング層230内に形成することができる。いくつかの実施形態では、各ボンディングコンタクト232は、ボンディング界面203をまたぐ、第1の半導体構造202と第2の半導体構造204との間の電気接続の一部である機能的ボンディングコンタクトである。いくつかの実施形態では、ボンディングコンタクト234または236は、ボンディング界面203をまたぐ、第1の半導体構造202と第2の半導体構造204との間の電気接続の一部でないダミーボンディングコンタクトである。第2のボンディング層230内のボンディングコンタクト232、234、および236は、W、Co、Cu、Al、またはそれらの任意の組み合わせを含むがこれらに限定されない導電性材料を含むことができる。いくつかの実施形態では、ボンディングコンタクト232、234および236は、ハイブリッド接合のためにCuから作製される。
上述したように、ボンディングコンタクトの密度は、ハイブリッド接合に影響を及ぼし得る。電気的相互接続に必要な機能的ボンディングコンタクト232に加えて、第2のボンディング層230にダミーボンディングコンタクト234を追加することによって、ボンディングコンタクトの密度を増加させてハイブリッド接合収率および強度を改善することができ、これにより、ボンディング界面203で対応するダミーボンディングコンタクト220との高強度Cu-Cu融着接合を形成することができる。場合によっては、第2のボンディング層230内のダミーボンディングコンタクト236は、エッチング停止層として機能するための第1の相互接続層210内の下部の相互接続部がないために、ボンディング界面203の反対側の第1のボンディング層216内に対応するダミーボンディングコンタクトを有しなくてもよい。結果として、ダミーボンディングコンタクト236と誘電体221との間にまたCu-SiO接合が形成され得る。すなわち、いくつかの実施形態によれば、第1のボンディング層216の各ボンディングコンタクト218または220は、ボンディング界面203をまたいで第2のボンディング層230のそれぞれのボンディングコンタクト232または234と接触しており、一方、第2のボンディング層230の1つまたは複数のボンディングコンタクト(例えば、ダミーボンディングコンタクト236)は、第1のボンディング層216のいかなるボンディングコンタクトとも接触していない。したがって、いくつかの実施形態では、第2のボンディング層230のボンディングコンタクト232,234、および236の数は、第1のボンディング層216のボンディングコンタクト218、220の数よりも多い。
第2のボンディング層230は、ボンディングコンタクト232、234および236の間にあり、これらを電気的に絶縁する誘電体237をさらに含むことができる。第2のボンディング層230内の誘電体237は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低k誘電体、またはそれらの任意の組み合わせを含むがこれらに限定されない誘電体材料を含むことができる。いくつかの実施形態では、誘電体237は、ハイブリッド接合のために酸化ケイ素から作製される。
図2Aに示すように、接合構造200では、機能的ボンディングコンタクト218、232の対は、ボンディング界面203において互いに接触することができ、また、相互接続部212、226の対を電気的に接続するために、ボンディング界面203の対向する両側において相互接続部212、226の対にそれぞれ接触することができる。したがって、機能的ボンディングコンタクト218、232の対によって、相互接続部212、226の対の間に電気的接続を形成することができる。いくつかの実施形態によれば、機能的ボンディングコンタクト218、232の対はまた、ボンディング界面203において高強度Cu-Cu融着接合を形成する。他方、いくつかの実施形態では、ダミーボンディングコンタクト220、234の対は、ボンディング界面203において互いに接触して、同じくボンディング界面203において高強度Cu-Cu融着接合を形成する。ダミーボンディングコンタクト220、234の対は、いくつかの実施形態によれば、ボンディング界面203の一方の側において(ダミーボンディングコンタクト220によって)相互接続部214と接触しているが、ボンディング界面203の反対側ではどの相互接続部226または228からも分離されており、それにより、ボンディング界面203をまたぐ相互接続部間の電気的接続の形成が回避される。いくつかの実施形態では、少なくとも1つのダミーボンディングコンタクト236は、ボンディング界面203においてどのボンディングコンタクト218または220からも分離され、したがって、ダミーボンディングコンタクト236および誘電体221の対が、ボンディング界面203においてCu-SiO接合を形成する。いくつかの実施形態では、例えば誘電体221および237など、第1のボンディング層216および第2のボンディング層230の残りの領域が、ボンディング界面203においてSiO-SiO共有結合を形成する。
図2Bは、第1の半導体構造202および第2の半導体構造204における構成要素の相対的な垂直位置が入れ替わっている点を除いて、図2Aに示した接合構造200と同様の接合構造201を示している。言い換えれば、接合構造200(基板206を除く)は、ボンディング界面203に沿って垂直に反転して接合構造201になることができる。それにもかかわらず、より良好な接合性能を達成するために、ボンディング界面203におけるボンディングコンタクト密度(およびいくつかの実施形態ではCu-Cu融着接合)を増加させるために、ダミーボンディングコンタクトを接合構造200および201に追加することができる。ダミーボンディングコンタクトの設計および配置構成は、ダミーボンディングコンタクトを形成する際の全体的なパターニングプロセスの低減に対応することができ、それによって製造コストを低減することができる。例えば、ボンディング界面203の一方の側では、ボンディングコンタクトは、単一回のパターニングプロセスによって形成されるすべてのシングルダマシンコンタクトとすることができる。
図3は、本開示のいくつかの実施形態による、ダミーボンディングコンタクトおよびダミー相互接続部を含む典型的な接合構造300の断面を示す。ボンディング界面の一方の側のみのボンディングコンタクトが単一回のパターニングプロセスによって形成されるシングルダマシンコンタクトである接合構造200および201とは異なり、図3の接合構造300では、第1の半導体構造302と第2の半導体構造304との間のボンディング界面303の両側のボンディングコンタクトは、単一回のパターニングプロセスによって形成されるシングルダマシンコンタクトとすることができる。ボンディングコンタクトを形成するためのパターニングプロセスをさらに削減することにより、製造コストをさらに削減することができる。
図3に示すように、いくつかの実施形態によれば、第1の半導体構造302は、接合構造300内で第2の半導体構造304の下方に配置され、基板306を含む。第1の半導体構造302はまた、基板306の上方に第1のデバイス層308を含むことができる。いくつかの実施形態では、図1で上述したように、第1の半導体構造302は周辺デバイスチップまたはメモリアレイデバイスチップであり、第1のデバイス層308はそれぞれ周辺デバイスまたはNANDメモリストリングを含む。同様に、第2の半導体構造304は、第2のデバイス層322を含むことができる。いくつかの実施形態では、図1で上述したように、第2の半導体構造304は、メモリアレイデバイスチップまたは周辺デバイスチップであり、第2のデバイス層322は、それぞれNANDメモリストリングまたは周辺デバイスを含む。
第1の半導体構造302はまた、第1のデバイス層308の上方に第1の相互接続層310を含むことができる。いくつかの実施形態によれば、第1の相互接続層310は、1つまたは複数のILD層内に相互接続部312および314を含む。第1の相互接続層310内には、それらの機能に応じて、2種類の相互接続部312および314を形成することができる。いくつかの実施形態では、各相互接続部312は、ボンディング界面303をまたいで第1の半導体構造302と第2の半導体構造304との間で電気信号を転送するために、第1の半導体構造302の第1のデバイス層308および第2の半導体構造304の第2のデバイス層322の両方に電気的に接続される機能的MEOLまたはBEOL相互接続部(例えば、相互接続線またはビアコンタクト)である。いくつかの実施形態では、相互接続部314は、第1の半導体構造302の第1のデバイス層308(および例えば周辺デバイスまたはその中のNANDメモリストリング)に電気的に接続されていないダミー相互接続部である。以下で詳細に説明するように、より多くのダミーボンディングコンタクトをその上方に形成することを可能にするために、ダミー相互接続部314を第1の相互接続層310に追加することができ、それによってボンディング界面303におけるボンディングコンタクト密度がさらに増加する。第1の相互接続層310内の相互接続部312および314は、W、Co、Cu、Al、ケイ化物、またはそれらの任意の組み合わせを含むがこれらに限定されない導電性材料を含むことができる。第1の相互接続層310内のILD層は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低k誘電体、またはそれらの任意の組み合わせを含むがこれらに限定されない誘電体材料を含むことができる。
第1の半導体構造302は、第1の相互接続層310の上方に第1のボンディング層316をさらに含むことができる。いくつかの実施形態によれば、第1のボンディング層316は、1つのILD層内にボンディングコンタクト318および320を含む。製造コストを低減するために、第1のボンディング層316は、単一のILD層における単一回のパターニングプロセス(例えば、1回のフォトリソグラフィおよび現像プロセスのみを含む)によって形成され得る。結果として、いくつかの実施形態では、各ボンディングコンタクト318または320は、名目上同じ臨界寸法(例えば、ビアコンタクトの直径)を有する。いくつかの実施形態では、各ボンディングコンタクト318または320は、デュアルダマシンコンタクトとは対照的に、シングルダマシンコンタクトである。第1の半導体構造302と第2の半導体構造304との間の電気的接続の一部であるか否かに応じて、2種類のボンディングコンタクト318および320を第1のボンディング層316内に形成することができる。いくつかの実施形態では、各ボンディングコンタクト318は、対応する機能的相互接続部312と接触しており、ボンディング界面303をまたぐ、第1の半導体構造302と第2の半導体構造304との間の電気接続の一部である機能的ボンディングコンタクトである。いくつかの実施形態では、ボンディングコンタクト320は、対応するダミー相互接続部314と接触しており、ボンディング界面303をまたぐ、第1の半導体構造302と第2の半導体構造304との間の電気接続の一部でないダミーボンディングコンタクトである。第1のボンディング層316内のボンディングコンタクト318および320は、W、Co、Cu、Al、またはそれらの任意の組み合わせを含むがこれらに限定されない導電性材料を含むことができる。いくつかの実施形態では、ボンディングコンタクト318および320は、ハイブリッド接合のためにCuから作製される。
上述したように、ボンディングコンタクトの密度は、ハイブリッド接合に影響を及ぼし得る。電気的相互接続に必要な機能的ボンディングコンタクト318に加えて、第1の相互接続層310にダミー相互接続部314を追加し、対応するダミーボンディングコンタクト320を第1のボンディング層316に追加することによって、ボンディングコンタクトの密度を増加させてハイブリッド接合収率および強度を改善することができる。いくつかの実施形態では、第1のボンディング層316におけるボンディングコンタクト密度を最大にするために、相互接続部312および314の各々は、ボンディングコンタクト318および320のそれぞれの1つと接触している。例えば、第1の相互接続層310の相互接続部312および314ならびに第1のボンディング層316のボンディングコンタクト318および320は、1対1のマッピング関係を有し、第1のボンディング層316のボンディングコンタクト318および320の数は、第1の相互接続層310の相互接続部312および314の数と同じである。各相互接続部312または314は、対応するボンディングコンタクト318または320を形成するためのエッチング停止層として機能することができる。いくつかの実施形態によれば、下部の相互接続部312および314のない領域では、下部のエッチング停止層がないためにボンディングコンタクト318または320は形成されない(第1のボンディング層316内のすべてのボンディングコンタクト318および320は単一のパターニングプロセスによって形成される)。
第1のボンディング層316は、ボンディングコンタクト318と320との間にあり、これらを電気的に絶縁する誘電体321をさらに含むことができる。第1のボンディング層316内の誘電体321は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低k誘電体、またはそれらの任意の組み合わせを含むがこれらに限定されない誘電体材料を含むことができる。いくつかの実施形態では、誘電体321は、ハイブリッド接合のために酸化ケイ素から作製される。
ボンディング界面303の反対側で、第2の半導体構造304はまた、第2のデバイス層322の下方に第2の相互接続層324を含むことができる。第2の相互接続層324は、いくつかの実施形態によれば、1つまたは複数のILD層に相互接続部326および328を含む。それらの機能に応じて、第2の相互接続層324に2種類の相互接続部326および328を形成することができる。いくつかの実施形態では、各相互接続部326は、ボンディング界面303をまたいで第1の半導体構造302と第2の半導体構造304との間で電気信号を転送するために、第1の半導体構造302の第1のデバイス層308および第2の半導体構造304の第2のデバイス層322の両方に電気的に接続される機能的MEOLまたはBEOL相互接続部(例えば、相互接続線またはビアコンタクト)である。いくつかの実施形態では、相互接続部328は、第2の半導体構造304の第2のデバイス層322(および例えば周辺デバイスまたはその中のNANDメモリストリング)に電気的に接続されていないダミー相互接続部である。以下で詳細に説明するように、より多くのダミーボンディングコンタクトをその下方に形成することを可能にするために、ダミー相互接続部328を第2の相互接続層324に追加することができ、それによってボンディング界面303におけるボンディングコンタクト密度がさらに増加する。第2の相互接続層324内の相互接続部326および328は、W、Co、Cu、Al、ケイ化物、またはそれらの任意の組み合わせを含むがこれらに限定されない導電性材料を含むことができる。第2の相互接続層324内のILD層は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低k誘電体、またはそれらの任意の組み合わせを含むがこれらに限定されない誘電体材料を含むことができる。
第2の半導体構造304は、第2の相互接続層324の下方に第2のボンディング層330をさらに含むことができる。2つのILD層を有する図2Aおよび図2Bの第2のボンディング層230とは異なり、いくつかの実施形態によれば、第2のボンディング層330は、1つのILD層にボンディングコンタクト332および334を含む。製造コストを低減するために、第2のボンディング層330は、ボンディング界面303の反対側の第1のボンディング層316と同様に、単一のILD層における単一回のパターニングプロセス(例えば、1回のフォトリソグラフィおよび現像プロセスのみを含む)によって形成され得る。結果として、いくつかの実施形態では、各ボンディングコンタクト332または334は、名目上同じ臨界寸法(例えば、ビアコンタクトの直径)を有する。いくつかの実施形態では、各ボンディングコンタクト332または334は、デュアルダマシンコンタクトとは対照的に、シングルダマシンコンタクトである。第1の半導体構造302と第2の半導体構造304との間の電気的接続の一部であるか否かに応じて、2種類のボンディングコンタクト332および334を第2のボンディング層330内に形成することができる。いくつかの実施形態では、各ボンディングコンタクト332は、対応する機能的相互接続部326と接触しており、ボンディング界面303をまたぐ、第1の半導体構造302と第2の半導体構造304との間の電気接続の一部である機能的ボンディングコンタクトである。いくつかの実施形態では、ボンディングコンタクト334は、対応するダミー相互接続部328と接触しており、ボンディング界面303をまたぐ、第1の半導体構造302と第2の半導体構造304との間の電気接続の一部でないダミーボンディングコンタクトである。第2のボンディング層330内のボンディングコンタクト332および334は、W、Co、Cu、Al、またはそれらの任意の組み合わせを含むがこれらに限定されない導電性材料を含むことができる。いくつかの実施形態では、ボンディングコンタクト332および334は、ハイブリッド接合のためにCuから作製される。
上述したように、ボンディングコンタクトの密度は、ハイブリッド接合に影響を及ぼし得る。電気的相互接続に必要な機能的ボンディングコンタクト332に加えて、第2の相互接続層324にダミー相互接続部328を追加し、対応するダミーボンディングコンタクト334を第2のボンディング層330に追加することによって、ボンディングコンタクトの密度を増加させてハイブリッド接合収率および強度を改善することができる。いくつかの実施形態では、第2のボンディング層330におけるボンディングコンタクト密度を最大にするために、相互接続部326および328の各々は、ボンディングコンタクト332および334のそれぞれの1つと接触している。例えば、第2の相互接続層324の相互接続部326および328ならびに第2のボンディング層330のボンディングコンタクト332および334は、1対1のマッピング関係を有し、第2のボンディング層330のボンディングコンタクト332および334の数は、第2の相互接続層324の相互接続部326および328の数と同じである。各相互接続部326または328は、対応するボンディングコンタクト332または334を形成するためのエッチング停止層として機能することができる。いくつかの実施形態によれば、下部の相互接続部326および328のない領域では、下部のエッチング停止層がないためにボンディングコンタクト332または334は形成されない(第2のボンディング層330内のすべてのボンディングコンタクト332および334は単一のパターニングプロセスによって形成される)。
第2のボンディング層330は、ボンディングコンタクト332および334の間にあり、これらを電気的に絶縁する誘電体335をさらに含むことができる。第2のボンディング層330内の誘電体335は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低k誘電体、またはそれらの任意の組み合わせを含むがこれらに限定されない誘電体材料を含むことができる。いくつかの実施形態では、誘電体335は、ハイブリッド接合のために酸化ケイ素から作製される。
(2回のパターニングプロセスによって形成される)第2のボンディング層230におけるボンディングコンタクトの数が(単一回のパターニングプロセスによって形成される)第1のボンディング層216におけるボンディングコンタクトの数よりも多くなり得る図2Aおよび図2Bと異なり、図3において、(単一回のパターニングプロセスによって形成される)第2のボンディング層330におけるボンディングコンタクト332および334の数は、(単一回のパターニングプロセスによって形成される)第1のボンディング層316におけるボンディングコンタクトの数と同じである。第1のボンディング層316の各ボンディングコンタクト318または320は、ボンディング界面303において第2のボンディング層330のそれぞれのボンディングコンタクト332または334と接触することができる。言い換えれば、いくつかの実施形態によれば、ボンディング界面303の両側の対向するボンディングコンタクトは、1対1のマッピング関係を有する。機能的ボンディングコンタクト318および332が対になっており、ダミーボンディングコンタクト320および334も対になっているため、ボンディングコンタクトは誘電体321または335と対になって、例えばCu-SiO接合を形成することはできない。これにより、ボンディング界面303における高強度Cu-Cu接合の数をさらに増加させて接合性能を向上させることができる。
図3に示すように、接合構造300では、機能的ボンディングコンタクト318、332の対は、ボンディング界面303において互いに接触することができ、また、機能的相互接続部312、326の対を電気的に接続するために、ボンディング界面303の対向する両側において機能的相互接続部312、326の対にそれぞれ接触することができる。したがって、機能的ボンディングコンタクト318、332の対によって、機能的相互接続部312、326の対の間に電気的接続を形成することができる。いくつかの実施形態によれば、機能的ボンディングコンタクト318、332の対はまた、ボンディング界面303において高強度Cu-Cu融着接合を形成する。他方、いくつかの実施形態では、ダミーボンディングコンタクト320、334の対は、ボンディング界面303において互いに接触して、同じくボンディング界面303において高強度Cu-Cu融着接合を形成する。いくつかの実施形態によれば、ダミーボンディングコンタクト320および334の対は、ボンディング界面303の対向する両側でダミー相互接続部314、328の対と接触している。いくつかの実施形態では、例えば誘電体321および335など、第1のボンディング層316および第2のボンディング層330の残りの領域が、ボンディング界面303においてSiO-SiO共有結合を形成する。
ボンディング界面303の両側にダミー相互接続部314および328を導入することにより、ダミーボンディングコンタクト320および332を配置構成する柔軟性を高めることができる。いくつかの実施形態では、ハイブリッド接合収率および強度をさらに高めるために、ボンディング界面303の各側のボンディングコンタクトは、平面視(図示せず)においてボンディング界面303に名目上均等に配置される。いくつかの実施形態では、ボンディングコンタクトの局所密度および/または全体的密度は、適宜、対になったダミー相互接続部およびダミーコンタクトを追加することによって、接合構造300の様々な設計に対応するように調整することができる。
接合構造200、201、または300は、2D、2.5D、または3Dアーキテクチャの論理デバイス、揮発性メモリデバイス(例えば、ダイナミックランダムアクセスメモリ(DRAM)およびスタティックランダムアクセスメモリ(SRAM))、および不揮発性メモリデバイス(例えば、フラッシュメモリ)などの任意の他の適切な半導体デバイスを形成するために、デバイス層(複数可)、相互接続層(複数可)、および基板(複数可)などの他の構造を含むか、またはそれらと組み合わせることができることが理解される。
図4Aおよび図4Bは、本開示のいくつかの実施形態による、ダミーボンディングコンタクトを含む第1の半導体構造を形成するための典型的な製造プロセスを示す。図5A~図5Cは、本開示のいくつかの実施形態による、ダミーボンディングコンタクトを含む第2の半導体構造を形成するための典型的な製造プロセスを示す。図6は、本開示のいくつかの実施形態による、第1の半導体構造と第2の半導体構造とを接合するための典型的な製造プロセスを示す。図10は、本開示のいくつかの実施形態による、ダミーボンディングコンタクトを含む典型的な接合半導体デバイスを形成するための方法のフローチャートである。図4~図6および図10に示す接合半導体デバイスの例は、図2Aおよび図2Bに示す接合構造200および201を含む。図4~図6および図10を合わせて説明する。方法1000に示される動作は網羅的ではなく、図示された動作のいずれかの前、後、または動作と動作との間に他の動作も実行することができることが理解される。さらに、動作のいくつかは、同時に実行されてもよく、または、図4~図6および図10に示されている順序とは異なる順序で実行されてもよい。
図10を参照すると、方法1000は動作1002において開始し、第1の基板の上方に、複数の第1の相互接続部を含む第1の相互接続層が形成される。第1の基板はシリコン基板とすることができる。いくつかの実施形態では、第1の相互接続層を形成する前に、第1の基板と第1の相互接続層との間に第1のデバイス層が形成される。第1のデバイス層は、NANDメモリストリングまたは周辺デバイスを含むことができる。
図4Aに示すように、シリコン基板402の上方に第1のデバイス層404が形成される。いくつかの実施形態では、第1のデバイス層404は、フォトリソグラフィ、ドライ/ウェットエッチング、薄膜堆積、熱成長、注入、CMP、および任意の他の適切なプロセスを含むがこれらに限定されない複数のプロセスによってシリコン基板402上に形成される複数のトランジスタ(図示せず)を含む周辺デバイス層である。
いくつかの実施形態では、第1のデバイス層404は、各々がシリコン基板402上に形成されるメモリスタック(図示せず)を通って垂直に延在する複数のNANDメモリストリング(図示せず)を含むメモリアレイデバイス層である。メモリスタックを形成するために、犠牲層(例えば、窒化ケイ素)と誘電体層(例えば、酸化ケイ素)との交互スタックを含む誘電体スタックを、CVD、物理気相成長(PVD)、原子層堆積(ALD)、またはそれらの任意の組み合わせを含むがこれらに限定されない1つまたは複数の薄膜堆積プロセスによってシリコン基板402上に形成することができる。次いで、ゲート置換プロセス、すなわち誘電体スタック内の犠牲層を導体層で置き換えることによって、メモリスタックをシリコン基板402上に形成することができる。いくつかの実施形態では、NANDメモリストリングを形成する製造プロセスは、誘電体スタックを通って垂直に延在する半導体チャネルを形成することと、半導体チャネルと誘電体スタックとの間に、トンネル層、蓄積層、およびブロッキング層を含むがこれらに限定されない複合誘電体層(メモリ膜)を形成することとを含む。半導体チャネルおよびメモリ膜は、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスによって形成することができる。
図4Aに示すように、第1のデバイス層404の上方に第1の相互接続層406が形成される。第1の相互接続層406は、第1のデバイス層404と電気的接続を行うために、1つまたは複数のILD層内の相互接続線およびビアコンタクトを含む相互接続部408および410を含むことができる。いくつかの実施形態では、第1の相互接続層406は、複数のプロセスによって形成される複数のILD層およびその中の相互接続部を含む。例えば、相互接続部408および410は、CVD、PVD、ALD、電気化学堆積、またはそれらの任意の組み合わせを含むがこれらに限定されない1つまたは複数の薄膜堆積プロセスによって堆積される導電性材料を含むことができる。ILD層は、CVD、PVD、ALD、またはそれらの任意の組み合わせを含むがこれらに限定されない1つまたは複数の薄膜堆積プロセスによって堆積される誘電体材料を含むことができる。
方法1000は、図6に示すように、動作1004に進み、複数の第1のボンディングコンタクトを含む第1のボンディング層が、第1の相互接続部の各々が第1のボンディングコンタクトのそれぞれの1つと接触するように、第1の相互接続層の上方に形成される。第1の誘電体を第1のボンディング層内に形成することもできる。いくつかの実施形態では、第1のボンディング層内の第1のボンディングコンタクトは、単一回のパターニングプロセスによって形成される。いくつかの実施形態では、第1のボンディングコンタクトの数は、第1の相互接続部の数と同じである。いくつかの実施形態によれば、第1のボンディングコンタクトの各々は、名目上同じ臨界寸法を有する。
図4Bに示すように、CVD、PVD、ALD、またはそれらの任意の組み合わせを含むがこれらに限定されない薄膜堆積プロセスによって、誘電体411の層が第1の相互接続層406の上面上に堆積される。いくつかの実施形態によれば、ボンディングコンタクト414および416が誘電体411内に形成されて、第1の相互接続層406および第1のデバイス層404の上方に第1のボンディング層412が形成される。ボンディングコンタクト414および416は、1回のみのフォトリソグラフィプロセスを含む単一回のパターニングプロセスによってパターニングすることができる。いくつかの実施形態では、エッチングマスク(フォトレジストおよび/またはハードマスク)が、単一回のパターニングプロセスによってパターニングされて、相互接続部408および410がその下に形成される領域においてのみ誘電体411を露出させる。次いで、開口部(例えば、ビアホールおよび/またはトレンチ)を、ドライエッチングおよび/またはウェットエッチングを使用して、エッチング停止層としての下部の相互接続部408および410によって停止されるまで、第1のボンディング層412を通してエッチングすることができる。ボンディングコンタクト414および416は、開口部を充填するために、CVD、PVD、ALD、電気化学堆積、またはそれらの任意の組み合わせを含むがこれらに限定されない1つまたは複数の薄膜堆積プロセスによってこの順序で続いて堆積されるバリア/接着層および導体(例えば、金属)を含むことができる。CMPにより余剰導体を除去し、第1のボンディング層412の上面を接合のために平坦化することができる。
方法1000は、図10に示すように、動作1006に進み、第2の基板の上方に、複数の第2の相互接続部を含む第2の相互接続層が形成される。第2の基板はシリコン基板とすることができる。いくつかの実施形態では、第2の相互接続層を形成する前に、第2の基板と第2の相互接続層との間に第2のデバイス層が形成される。第2のデバイス層は、周辺デバイスまたはNANDメモリストリングを含むことができる。
図5Aに示すように、シリコン基板502の上方に第2のデバイス層504が形成される。いくつかの実施形態では、第2のデバイス層504は、各々がシリコン基板502上に形成されるメモリスタック(図示せず)を通って垂直に延在する複数のNANDメモリストリング(図示せず)を含むメモリアレイデバイス層である。メモリアレイデバイス層の形成の詳細については上述したため、繰り返さない。いくつかの実施形態では、第2のデバイス層504は、フォトリソグラフィ、ドライ/ウェットエッチング、薄膜堆積、熱成長、注入、CMP、および任意の他の適切なプロセスを含むがこれらに限定されない複数のプロセスによってシリコン基板502上に形成される複数のトランジスタ(図示せず)を含む周辺デバイス層である。
図5Aに示すように、第2のデバイス層504の上方に第2の相互接続層506が形成される。第2の相互接続層506は、第2のデバイス層504と電気的接続を行うために、1つまたは複数のILD層内の相互接続線およびビアコンタクトを含む相互接続部508を含むことができる。いくつかの実施形態では、第2の相互接続層506は、複数のプロセスによって形成される複数のILD層およびその中の相互接続部を含む。例えば、相互接続部508は、CVD、PVD、ALD、電気化学堆積、またはそれらの任意の組み合わせを含むがこれらに限定されない1つまたは複数の薄膜堆積プロセスによって堆積される導電性材料を含むことができる。ILD層は、CVD、PVD、ALD、またはそれらの任意の組み合わせを含むがこれらに限定されない1つまたは複数の薄膜堆積プロセスによって堆積される誘電体材料を含むことができる。
方法1000は、図10に示すように、動作1008に進み、複数の第2のボンディングコンタクトを含む第2のボンディング層が、第2のボンディングコンタクトのうちの少なくとも1つが第2の相互接続部のそれぞれの1つと接触し、第2のボンディングコンタクトのうちの少なくとも別の1つが第2の相互接続部から分離されるように、第2の相互接続層の上方に形成される。第2の誘電体を第2のボンディング層内に形成することもできる。いくつかの実施形態では、第2のボンディング層内の第2のボンディングコンタクトは、2回のパターニングプロセスによって形成される。いくつかの実施形態では、第2のボンディング層を形成するために、第2のボンディングコンタクトの第1の部分が、2回のパターン化プロセスのうちの1回によって第2の相互接続部の上方に形成され、第2のボンディングコンタクトの第2の部分が、2回のパターン化プロセスのうちのもう1回によって第2のボンディングコンタクトの第1の部分の上方に形成される。いくつかの実施形態によれば、第2のボンディングコンタクトの第2の部分の数は、第2のボンディングコンタクトの第1の部分の数よりも多い。
図5Bに示すように、CVD、PVD、ALD、またはそれらの任意の組み合わせを含むがこれらに限定されない薄膜堆積プロセスによって、誘電体509の第1の層が第2の相互接続層506の上面上に堆積される。いくつかの実施形態によれば、ボンディングコンタクトの第1の部分512-1が誘電体509内に形成されて、第2の相互接続層506および第2のデバイス層504の上方に第1のボンディング副層510-1が形成される。ボンディングコンタクトの第1の部分512-1は、1回のフォトリソグラフィプロセスを含む第1のパターニングプロセスによってパターニングすることができる。いくつかの実施形態では、エッチングマスク(フォトレジストおよび/またはハードマスク)が、第1のパターニングプロセスによってパターニングされて、クロスチップ電気接続が形成される領域においてのみ誘電体509を露出させる。次いで、開口部(例えば、ビアホールおよび/またはトレンチ)を、ドライエッチングおよび/またはウェットエッチングを使用して、エッチング停止層としての下部の相互接続部508によって停止されるまで、第1のボンディング副層510-1を通してエッチングすることができる。ボンディングコンタクトの第1の部分512-1は、開口部を充填するために、CVD、PVD、ALD、電気化学堆積、またはそれらの任意の組み合わせを含むがこれらに限定されない1つまたは複数の薄膜堆積プロセスによってこの順序で続いて堆積されるバリア/接着層および導体(例えば、金属)を含むことができる。CMPにより余剰導体を除去し、第1のボンディング副層510-1の上面を平坦化することができる。
図5Cに示すように、CVD、PVD、ALD、またはそれらの任意の組み合わせを含むがこれらに限定されない薄膜堆積プロセスによって、誘電体511の第2の層が第1のボンディング副層510-1の上面上に堆積される。いくつかの実施形態によれば、ボンディングコンタクトの第2の部分512-2および514が誘電体511内に形成されて、第1のボンディング副層510-1の上方に第2のボンディング副層510-2が形成される。第1のボンディング副層510-1および第2のボンディング副層510-2は、ここでは共に第2のボンディング層510として参照され得る。ボンディングコンタクトの第2の部分512-2および514は、1回のフォトリソグラフィプロセスを含む第2のパターニングプロセスによってパターニングすることができる。いくつかの実施形態では、エッチングマスク(フォトレジストおよび/またはハードマスク)が、第2のパターニングプロセスによってパターニングされて、ボンディングコンタクトの第1の部分512-1がその下に形成される領域において誘電体511が露出し、それにより、ボンディングコンタクトの第1の部分512-1および第2の部分512-2が共に、下側の相互接続部508に電気的に接続される機能的ボンディングコンタクトを形成することができる。さらに、第2のパターニングプロセスによってエッチングマスク(フォトレジストおよび/またはハードマスク)をパターニングして、ダミーボンディングコンタクト514を形成することができる領域において誘電体511を露出させて、ボンディング性能を高めることができる。次いで、開口部(例えば、ビアホールおよび/またはトレンチ)を、ドライエッチングおよび/またはウェットエッチングを使用して、第2のボンディング副層510-2を通してエッチングすることができる。ボンディングコンタクトの第2の部分512-2および514は、開口部を充填するために、CVD、PVD、ALD、電気化学堆積、またはそれらの任意の組み合わせを含むがこれらに限定されない1つまたは複数の薄膜堆積プロセスによってこの順序で続いて堆積されるバリア/接着層および導体(例えば、金属)を含むことができる。CMPにより余剰導体を除去し、第2のボンディング層510の上面を接合のために平坦化することができる。
方法1000は、図10に示すように、動作1010に進み、第1の基板および第2の基板が、第1のボンディングコンタクトの各々がボンディング界面において第2のボンディングコンタクトのうちの1つと接触するように、フェイスツーフェイス方式で接合される。第1の誘電体は、接合後も第2の誘電体と接触することができる。接合はハイブリッド接合とすることができる。
図6に示すように、シリコン基板502およびその上に形成される第2のデバイス層504が上下反転される。下を向いた第2のボンディング層510は、上を向いた第1のボンディング層412とフェイスツーフェイス方式で接合される。いくつかの実施形態において、ボンディングコンタクト414および416は、いくつかの実施形態に従って、ハイブリッド接合の前にボンディングコンタクト512および514と位置合わせされ、結果、各ボンディングコンタクト414または416が、ハイブリッド接合後にボンディング界面においてボンディングコンタクト512および514の一方と接触する。いくつかの実施形態では、例えばプラズマ処理、湿式処理、および/または熱処理などの処理プロセスが、ハイブリッド接合の前に接合面に適用される。ハイブリッド接合の結果として、ボンディングコンタクト414および416をボンディングコンタクト512および514と相互混合することができ、誘電体411を誘電体511と共有結合させることができ、それによって第1のボンディング層412と第2のボンディング層510との間にボンディング界面を形成することができる。
図6に示すように、第2のボンディング層510が上下反転され、得られる接合構造において第1のボンディング層412の上方にあるが、いくつかの実施形態では、第1のボンディング層412は上下反転され、得られる接合構造において第2のボンディング層510の上方にあることが理解される。一例では、第1のデバイス層404は周辺デバイス層とすることができ、第2のデバイス層504はメモリアレイデバイス層とすることができる。別の例では、第1のデバイス層404はメモリアレイデバイス層とすることができ、第2のデバイス層504は周辺デバイス層とすることができる。さらに別の例では、第1のデバイス層404および第2のデバイス層504は、両方とも周辺デバイス層であってもよい。なお別の例では、第1のデバイス層404および第2のデバイス層504は、両方ともメモリアレイデバイス層であってもよい。
図7Aおよび図7Bは、本開示のいくつかの実施形態による、ダミーボンディングコンタクトおよびダミー相互接続部を含む第1の半導体構造を形成するための典型的な製造プロセスを示す。図8Aおよび図8Bは、本開示のいくつかの実施形態による、ダミーボンディングコンタクトおよびダミー相互接続部を含む第2の半導体構造を形成するための典型的な製造プロセスを示す。図9は、本開示のいくつかの実施形態による、第1の半導体構造と第2の半導体構造とを接合するための別の典型的な製造プロセスを示す。図11は、本開示のいくつかの実施形態による、ダミーボンディングコンタクトおよびダミー相互接続部を含む典型的な接合半導体デバイスを形成するための方法のフローチャートである。図7~図9および図11に示す接合半導体デバイスの例は、図3に示す接合構造300を含む。図7~図9および図11を合わせて説明する。方法1100に示される動作は網羅的ではなく、図示された動作のいずれかの前、後、または動作と動作との間に他の動作も実行することができることが理解される。さらに、動作のいくつかは、同時に実行されてもよく、または、図7~図9および図11に示されている順序とは異なる順序で実行されてもよい。
図11を参照すると、方法1100は動作1102において開始し、第1の基板の上方に、複数の第1の相互接続部を含む第1の相互接続層が形成される。第1の基板はシリコン基板とすることができる。第1の相互接続部のうちの少なくとも1つは、少なくとも1つの第1のダミー相互接続部とすることができる。いくつかの実施形態では、第1の相互接続層を形成する前に、第1の基板と第1の相互接続層との間に第1のデバイス層が形成される。第1のデバイス層は、NANDメモリストリングまたは周辺デバイスを含むことができる。いくつかの実施形態によれば、第1のダミー相互接続部は、NANDメモリストリングおよび周辺デバイスに電気的に接続されない。
図7Aに示すように、シリコン基板702の上方に第1のデバイス層704が形成される。いくつかの実施形態では、第1のデバイス層704は、フォトリソグラフィ、ドライ/ウェットエッチング、薄膜堆積、熱成長、注入、CMP、および任意の他の適切なプロセスを含むがこれらに限定されない複数のプロセスによってシリコン基板702上に形成される複数のトランジスタ(図示せず)を含む周辺デバイス層である。いくつかの実施形態では、第1のデバイス層704は、各々がシリコン基板702上に形成されるメモリスタック(図示せず)を通って垂直に延在する複数のNANDメモリストリング(図示せず)を含むメモリアレイデバイス層である。メモリアレイデバイス層の形成の詳細については上述したため、繰り返さない。
図7Aに示すように、第1のデバイス層704の上方に第1の相互接続層706が形成される。第1の相互接続層706は、1つまたは複数のILD層内の相互接続線およびビアコンタクトを含む機能的相互接続部708およびダミー相互接続部710を含むことができる。いくつかの実施形態によれば、機能的相互接続部708は、第1のデバイス層704と電気的接続を行い、一方、ダミー相互接続部710は、第1のデバイス層704に電気的に接続されない。いくつかの実施形態では、第1の相互接続層706は、複数のプロセスによって形成される複数のILD層およびその中の相互接続部を含む。例えば、相互接続部708および710は、CVD、PVD、ALD、電気化学堆積、またはそれらの任意の組み合わせを含むがこれらに限定されない1つまたは複数の薄膜堆積プロセスによって堆積される導電性材料を含むことができる。ILD層は、CVD、PVD、ALD、またはそれらの任意の組み合わせを含むがこれらに限定されない1つまたは複数の薄膜堆積プロセスによって堆積される誘電体材料を含むことができる。
方法1100は、図11に示すように、動作1104に進み、複数の第1のボンディングコンタクトを含む第1のボンディング層が、第1の相互接続部の各々が第1のボンディングコンタクトのそれぞれの1つと接触するように、第1の相互接続層の上方に形成される。第1の誘電体を第1のボンディング層内に形成することもできる。いくつかの実施形態では、第1のボンディング層内の第1のボンディングコンタクトは、単一回のパターニングプロセスによって形成される。いくつかの実施形態では、第1のボンディングコンタクトの数は、第1の相互接続部の数と同じである。いくつかの実施形態によれば、第1のボンディングコンタクトの各々は、名目上同じ臨界寸法を有する。
図7Bに示すように、CVD、PVD、ALD、またはそれらの任意の組み合わせを含むがこれらに限定されない薄膜堆積プロセスによって、誘電体711の層が第1の相互接続層706の上面上に堆積される。いくつかの実施形態によれば、機能的ボンディングコンタクト714およびダミーボンディングコンタクト716が誘電体711内に形成されて、第1の相互接続層706および第1のデバイス層704の上方に第1のボンディング層712が形成される。ボンディングコンタクト714および716は、1回のみのフォトリソグラフィプロセスを含む単一回のパターニングプロセスによってパターニングすることができる。いくつかの実施形態では、エッチングマスク(フォトレジストおよび/またはハードマスク)が、単一回のパターニングプロセスによってパターニングされて、相互接続部708および710がその下に形成される領域においてのみ誘電体711を露出させる。次いで、開口部(例えば、ビアホールおよび/またはトレンチ)を、ドライエッチングおよび/またはウェットエッチングを使用して、エッチング停止層としての下部の相互接続部708および710によって停止されるまで、第1のボンディング層712を通してエッチングすることができる。ボンディングコンタクト714および716は、開口部を充填するために、CVD、PVD、ALD、電気化学堆積、またはそれらの任意の組み合わせを含むがこれらに限定されない1つまたは複数の薄膜堆積プロセスによってこの順序で続いて堆積されるバリア/接着層および導体(例えば、金属)を含むことができる。CMPにより余剰導体を除去し、第1のボンディング層712の上面を接合のために平坦化することができる。
方法1100は、図11に示すように、動作1106に進み、第2の基板の上方に、複数の第2の相互接続部を含む第2の相互接続層が形成される。第2の基板はシリコン基板とすることができる。第2の相互接続部のうちの少なくとも1つは、少なくとも1つの第2のダミー相互接続部とすることができる。いくつかの実施形態では、第2の相互接続層を形成する前に、第2の基板と第2の相互接続層との間に第2のデバイス層が形成される。第2のデバイス層は、NANDメモリストリングまたは周辺デバイスを含むことができる。いくつかの実施形態によれば、第2のダミー相互接続部は、NANDメモリストリングおよび周辺デバイスに電気的に接続されない。
図8Aに示すように、シリコン基板802の上方に第2のデバイス層804が形成される。いくつかの実施形態では、第2のデバイス層804は、フォトリソグラフィ、ドライ/ウェットエッチング、薄膜堆積、熱成長、注入、CMP、および任意の他の適切なプロセスを含むがこれらに限定されない複数のプロセスによってシリコン基板802上に形成される複数のトランジスタ(図示せず)を含む周辺デバイス層である。いくつかの実施形態では、第2のデバイス層804は、各々がシリコン基板802上に形成されるメモリスタック(図示せず)を通って垂直に延在する複数のNANDメモリストリング(図示せず)を含むメモリアレイデバイス層である。メモリアレイデバイス層の形成の詳細については上述したため、繰り返さない。
図8Aに示すように、第2のデバイス層804の上方に第2の相互接続層806が形成される。第2の相互接続層806は、1つまたは複数のILD層内の相互接続線およびビアコンタクトを含む機能的相互接続部808およびダミー相互接続部810を含むことができる。いくつかの実施形態によれば、機能的相互接続部808は、第2のデバイス層804と電気的接続を行い、一方、ダミー相互接続部810は、第2のデバイス層804に電気的に接続されない。いくつかの実施形態では、第2の相互接続層806は、複数のプロセスによって形成される複数のILD層およびその中の相互接続部を含む。例えば、相互接続部808および810は、CVD、PVD、ALD、電気化学堆積、またはそれらの任意の組み合わせを含むがこれらに限定されない1つまたは複数の薄膜堆積プロセスによって堆積される導電性材料を含むことができる。ILD層は、CVD、PVD、ALD、またはそれらの任意の組み合わせを含むがこれらに限定されない1つまたは複数の薄膜堆積プロセスによって堆積される誘電体材料を含むことができる。
方法1100は、図11に示すように、動作1108に進み、複数の第2のボンディングコンタクトを含む第2のボンディング層が、第2の相互接続部の各々が第2のボンディングコンタクトのそれぞれの1つと接触するように、第2の相互接続層の上方に形成される。第2の誘電体を第2のボンディング層内に形成することもできる。いくつかの実施形態では、第2のボンディング層内の第2のボンディングコンタクトは、単一回のパターニングプロセスによって形成される。いくつかの実施形態では、第2のボンディングコンタクトの数は、第2の相互接続部の数と同じである。いくつかの実施形態によれば、第2のボンディングコンタクトの各々は、名目上同じ臨界寸法を有する。いくつかの実施形態では、第2のボンディングコンタクトの数は、第1のボンディングコンタクトの数と同じである。
図8Bに示すように、CVD、PVD、ALD、またはそれらの任意の組み合わせを含むがこれらに限定されない薄膜堆積プロセスによって、誘電体811の層が第2の相互接続層806の上面上に堆積される。いくつかの実施形態によれば、機能的ボンディングコンタクト814およびダミーボンディングコンタクト816が誘電体811内に形成されて、第2の相互接続層806および第2のデバイス層804の上方に第2のボンディング層812が形成される。ボンディングコンタクト814および816は、1回のみのフォトリソグラフィプロセスを含む単一回のパターニングプロセスによってパターニングすることができる。いくつかの実施形態では、エッチングマスク(フォトレジストおよび/またはハードマスク)が、単一回のパターニングプロセスによってパターニングされて、相互接続部808および810がその下に形成される領域においてのみ誘電体811を露出させる。次いで、開口部(例えば、ビアホールおよび/またはトレンチ)を、ドライエッチングおよび/またはウェットエッチングを使用して、エッチング停止層としての下部の相互接続部808および810によって停止されるまで、第2のボンディング層812を通してエッチングすることができる。ボンディングコンタクト814および816は、開口部を充填するために、CVD、PVD、ALD、電気化学堆積、またはそれらの任意の組み合わせを含むがこれらに限定されない1つまたは複数の薄膜堆積プロセスによってこの順序で続いて堆積されるバリア/接着層および導体(例えば、金属)を含むことができる。CMPにより余剰導体を除去し、第2のボンディング層812の上面を接合のために平坦化することができる。
方法1100は、図11に示すように、動作1110に進み、第1の基板および第2の基板が、第1のボンディングコンタクトの各々がボンディング界面において第2のボンディングコンタクトのそれぞれの1つと接触するように、フェイスツーフェイス方式で接合される。第1の誘電体は、接合後も第2の誘電体と接触することができる。接合はハイブリッド接合とすることができる。
図9に示すように、シリコン基板702およびその上に形成される第1のデバイス層704が上下反転される。下を向いた第1のボンディング層712は、上を向いた第2のボンディング層812とフェイスツーフェイス方式で接合される。いくつかの実施形態において、ボンディングコンタクト714および716は、いくつかの実施形態に従って、ハイブリッド接合の前にボンディングコンタクト814および816と位置合わせされ、結果、各ボンディングコンタクト714または716が、ハイブリッド接合後にボンディング界面において対応するボンディングコンタクト814および816と接触する。いくつかの実施形態では、例えばプラズマ処理、湿式処理、および/または熱処理などの処理プロセスが、ハイブリッド接合の前に接合面に適用される。ハイブリッド接合の結果として、ボンディングコンタクト714および716をボンディングコンタクト814および816と相互混合することができ、誘電体711を誘電体811と共有結合させることができ、それによって第1のボンディング層712と第2のボンディング層812との間にボンディング界面を形成することができる。
本開示の一態様によれば、半導体デバイスは、第1の半導体構造および第2の半導体構造を含む。第1の半導体構造は、複数の第1の相互接続部を含む第1の相互接続層を含む。第1の相互接続部のうちの少なくとも1つは、少なくとも1つの第1のダミー相互接続部である。第1の半導体構造は、複数の第1のボンディングコンタクトを含む第1のボンディング層をさらに含む。第1の相互接続部の各々は、第1のボンディングコンタクトのそれぞれの1つに接触している。第2の半導体構造は、複数の第2の相互接続部を含む第2の相互接続層を含む。第2の相互接続部のうちの少なくとも1つは、少なくとも1つの第2のダミー相互接続部である。第2の半導体構造は、複数の第2のボンディングコンタクトを含む第2のボンディング層をさらに含む。第2の相互接続部の各々は、第2のボンディングコンタクトのそれぞれの1つと接触している。半導体デバイスは、第1のボンディング層と第2のボンディング層との間のボンディング界面をさらに備える。第1のボンディングコンタクトの各々は、ボンディング界面において第2のボンディングコンタクトのそれぞれの1つと接触している。
いくつかの実施形態では、第1のボンディングコンタクトの数は第1の相互接続部の数と同じであり、第1のボンディングコンタクトの数は第1の相互接続部の数と同じである。
いくつかの実施形態では、第1のダミー相互接続部の数は、第2のダミー相互接続部の数と同じである。
いくつかの実施形態では、第1のボンディングコンタクトの各々は、名目上同じ第1の臨界寸法を有し、第2のボンディングコンタクトの各々は、名目上同じ第2の臨界寸法を有する。
いくつかの実施形態では、第1のボンディングコンタクトおよび第2のボンディングコンタクトは、ボンディング界面において互いに接触するダミーボンディングコンタクトの対を含み、ダミーボンディングコンタクトの対は、第1のダミー相互接続部および第2のダミー相互接続部のそれぞれの対を電気的に接続する。
いくつかの実施形態では、第1のボンディング層は、第1の誘電体をさらに含み、第2のボンディング層は、ボンディング界面において第1の誘電体と接触する第2の誘電体をさらに含む。
いくつかの実施形態では、第1のボンディングコンタクトはボンディング界面に名目上均等に配置され、第2のボンディングコンタクトはボンディング界面に名目上均等に配置される。
いくつかの実施形態では、第1の半導体構造および第2の半導体構造のうちの一方は、NANDメモリストリングを有するデバイス層をさらに含み、第1の半導体構造および第2の半導体構造のうちのもう一方は、周辺デバイスを有するデバイス層をさらに含む。
いくつかの実施形態では、第1のダミー相互接続部および第2のダミー相互接続部は、NANDメモリストリングおよび周辺デバイスに電気的に接続されない。
本開示の別の態様によれば、接合構造は、ボンディング界面と、機能的ボンディングコンタクトの対と、ダミーボンディングコンタクトの対とを含む。機能的ボンディングコンタクトの対は、ボンディング界面において互いに接触している。ボンディングコンタクトの対は、ボンディング界面の両側の対向する機能的相互接続部の対にそれぞれ接触している。ダミーボンディングコンタクトの対は、ボンディング界面において互いに接触している。ダミーボンディングコンタクトの対は、ボンディング界面の両側の対向するダミー相互接続部の対にそれぞれ接触している。
いくつかの実施形態では、接合構造は、ボンディング界面において互いに接触する誘電体の対をさらに含む。
本開示のさらに別の態様によれば、半導体デバイスを形成するための方法が開示される。第1の基板の上方に、複数の第1の相互接続部を含む第1の相互接続層が形成される。第1の相互接続部のうちの少なくとも1つは、少なくとも1つの第1のダミー相互接続部である。複数の第1のボンディングコンタクトを含む第1のボンディング層が、第1の相互接続部の各々が第1のボンディングコンタクトのそれぞれの1つと接触するように、第1の相互接続層の上方に形成される。第2の基板の上方に、複数の第2の相互接続部を含む第2の相互接続層が形成される。第2の相互接続部のうちの少なくとも1つは、少なくとも1つの第2のダミー相互接続部である。複数の第2のボンディングコンタクトを含む第2のボンディング層が、第2の相互接続部の各々が第2のボンディングコンタクトのそれぞれの1つと接触するように、第2の相互接続層の上方に形成される。第1の基板および第2の基板は、第1のボンディングコンタクトの各々がボンディング界面において第2のボンディングコンタクトのそれぞれの1つと接触するように、フェイスツーフェイス方式で接合される。
いくつかの実施形態では、第1のボンディング層を形成するために、第1のボンディングコンタクトが、単一回のパターニングプロセスによって形成される。いくつかの実施形態では、第2のボンディング層を形成するために、第2のボンディングコンタクトが、単一回のパターニングプロセスによって形成される。
いくつかの実施形態では、第1のボンディングコンタクトの数は第1の相互接続部の数と同じであり、第1のボンディングコンタクトの数は第1の相互接続部の数と同じである。
いくつかの実施形態では、第1のボンディングコンタクトの各々は、名目上同じ第1の臨界寸法を有し、第2のボンディングコンタクトの各々は、名目上同じ第2の臨界寸法を有する。
いくつかの実施形態では、第1のボンディング層を形成するために、第1の誘電体が第1のボンディング層内に形成される。いくつかの実施形態では、第2のボンディング層を形成するために、第2の誘電体が第2のボンディング層内に形成される。いくつかの実施形態によれば、第1の誘電体は、接合後にボンディング界面において第2の誘電体と接触する。
いくつかの実施形態では、NANDメモリストリングを有する第1のデバイス層が、第1の相互接続層と第1の基板との間に形成され、周辺デバイスを有する第2のデバイス層が、第2の相互接続層と第2の基板との間に形成される。いくつかの実施形態において、第1のダミー相互接続部および第2のダミー相互接続部は、NANDメモリストリングおよび周辺デバイスに電気的に接続されない。
いくつかの実施形態では、周辺デバイスを有する第1のデバイス層が、第1の相互接続層と第1の基板との間に形成され、NANDメモリストリングを有する第2のデバイス層が、第2の相互接続層と第2の基板との間に形成される。いくつかの実施形態において、第1のダミー相互接続部および第2のダミー相互接続部は、NANDメモリストリングおよび周辺デバイスに電気的に接続されない。
いくつかの実施形態では、接合はハイブリッド接合を含む。
特定の実施形態の前述の説明は、本開示の一般的性質を明らかにするため、当業者は、当該技術分野の技能の範囲内の知識を適用することにより、過度の実験なしに、本開示の一般的な概念から逸脱することなく、そのような特定の実施形態を容易に変更し、および/または、当該実施形態を様々な用途に適合させることができる。したがって、そのような適合および変更は、本明細書に提示された教示および案内に基づいて、開示された実施形態の等価物の意味および範囲内にあることを意図している。本明細書の語法または用語は説明のためのものであり、限定するものではなく、結果、本明細書の用語または語法は、教示および案内に照らして当業者によって解釈されるべきであることを理解されたい。
本開示の実施形態は、特定の機能の実施態様および特定の機能の実施態様の関係を示す機能的構成要素を用いて上記で説明されてきた。これらの機能的構成要素の境界は、説明の便宜上、本明細書において任意最良で画定されている。指定された機能と指定された機能の関係が適切に実行される限り、代替の境界が画定されてもよい。
概要および要約のセクションは、発明者(複数可)によって企図される本開示のすべてではないが1つまたは複数の典型的な実施形態を記載し得、したがって、本開示および添付の特許請求の範囲を限定することは決して意図されていない。
本開示の幅および範囲は、上記の典型的な実施形態のいずれによっても限定されるべきではなく、添付の特許請求の範囲および特許請求の範囲の等価物に従ってのみ定義されるべきである。

Claims (20)

  1. 複数の第1の相互接続部を備える第1の相互接続層であって、前記第1の相互接続部のうちの少なくとも1つは少なくとも1つの第1のダミー相互接続部である、第1の相互接続層、および
    複数の第1のボンディングコンタクトを備える第1のボンディング層であって、前記第1の相互接続部の各々は、前記第1のボンディングコンタクトのそれぞれに接触している、第1のボンディング層を備える、第1の半導体構造と、
    複数の第2の相互接続部を備える第2の相互接続層であって、前記第2の相互接続部のうちの少なくとも1つは少なくとも1つの第2のダミー相互接続部である、第2の相互接続層、および
    複数の第2のボンディングコンタクトを備える第2のボンディング層であって、前記第2の相互接続部の各々は、前記第2のボンディングコンタクトのそれぞれと接触している、第2のボンディング層を備える、第2の半導体構造と、
    前記第1のボンディング層と前記第2のボンディング層との間のボンディング界面とを備え、
    前記第1のボンディングコンタクトの各々は、前記ボンディング界面において前記第2のボンディングコンタクトのそれぞれと接触している、半導体デバイス。
  2. 前記第1のボンディングコンタクトの数は前記第1の相互接続部の数と同じであり、前記第1のボンディングコンタクトの数は前記第1の相互接続部の数と同じである、請求項1に記載の半導体デバイス。
  3. 前記第1のダミー相互接続部の数は、前記第2のダミー相互接続部の数と同じである、請求項1または2に記載の半導体デバイス。
  4. 前記第1のボンディングコンタクトの各々は、名目上同じ第1の臨界寸法を有し、前記第2のボンディングコンタクトの各々は、名目上同じ第2の臨界寸法を有する、請求項1~3のいずれか一項に記載の半導体デバイス。
  5. 前記第1のボンディングコンタクトおよび前記第2のボンディングコンタクトは、前記ボンディング界面において互いに接触するダミーボンディングコンタクトの対を含み、前記ダミーボンディングコンタクトの対は、第1のダミー相互接続部および第2のダミー相互接続部のそれぞれの対を電気的に接続する、請求項1~4のいずれか一項に記載の半導体デバイス。
  6. 前記第1のボンディング層は、第1の誘電体をさらに備え、前記第2のボンディング層は、前記ボンディング界面において前記第1の誘電体と接触する第2の誘電体をさらに備える、請求項1~5のいずれか一項に記載の半導体デバイス。
  7. 前記第1のボンディングコンタクトは前記ボンディング界面に名目上均等に配置され、前記第2のボンディングコンタクトは前記ボンディング界面に名目上均等に配置される、請求項1~6のいずれか一項に記載の半導体デバイス。
  8. 前記第1の半導体構造および前記第2の半導体構造のうちの一方は、NANDメモリストリングを有するデバイス層をさらに備え、前記第1の半導体構造および前記第2の半導体構造のうちのもう一方は、周辺デバイスを有するデバイス層をさらに備える、請求項1~7のいずれか一項に記載の半導体デバイス。
  9. 前記第1のダミー相互接続部および前記第2のダミー相互接続部は、前記NANDメモリストリングおよび前記周辺デバイスに電気的に接続されない、請求項8に記載の半導体デバイス。
  10. ボンディング界面と、
    前記ボンディング界面において互いに接触している機能的ボンディングコンタクトの対であって、前記機能的ボンディングコンタクトの対は、前記ボンディング界面の両側の対向する機能的相互接続部の対にそれぞれ接触している、機能的ボンディングコンタクトの対と、
    前記ボンディング界面において互いに接触しているダミーボンディングコンタクトの対であって、前記ダミーボンディングコンタクトの対は、それぞれ前記ボンディング界面の前記両側の対向するダミー相互接続部の対と接触している、ダミーボンディングコンタクトの対とを備える、接合構造。
  11. 前記ボンディング界面において互いに接触する誘電体の対をさらに備える、請求項10に記載の接合構造。
  12. 半導体デバイスを形成するための方法であって、
    第1の基板の上方に、複数の第1の相互接続部を備える第1の相互接続層を形成することであって、前記第1の相互接続部のうちの少なくとも1つは少なくとも1つの第1のダミー相互接続部である、第1の相互接続層を形成することと、
    複数の第1のボンディングコンタクトを備える第1のボンディング層を、前記第1の相互接続部の各々が前記第1のボンディングコンタクトのそれぞれの1つと接触するように、前記第1の相互接続層の上方に形成することと、
    第2の基板の上方に、複数の第2の相互接続部を備える第2の相互接続層を形成することであって、前記第2の相互接続部のうちの少なくとも1つは少なくとも1つの第2のダミー相互接続部である、第2の相互接続層を形成することと、
    複数の第2のボンディングコンタクトを備える第2のボンディング層を、前記第2の相互接続部の各々が前記第2のボンディングコンタクトのそれぞれと接触するように、前記第2の相互接続層の上方に形成することと、
    前記第1の基板および前記第2の基板を、前記第1のボンディングコンタクトの各々がボンディング界面において前記第2のボンディングコンタクトのそれぞれと接触するように、フェイスツーフェイス方式で接合することとを含む、方法。
  13. 前記第1のボンディング層を形成することは、単一回のパターニングプロセスによって前記第1のボンディングコンタクトを形成することを含む、請求項12に記載の方法。
  14. 前記第2のボンディング層を形成することは、単一回のパターニングプロセスによって前記第2のボンディングコンタクトを形成することを含む、請求項12または13に記載の方法。
  15. 前記第1のボンディングコンタクトの数は第1の相互接続部の数と同じであり、前記第1のボンディングコンタクトの数は第1の相互接続部の数と同じである、請求項12~14のいずれか一項に記載の方法。
  16. 前記第1のボンディングコンタクトの各々は、名目上同じ第1の臨界寸法を有し、前記第2のボンディングコンタクトの各々は、名目上同じ第2の臨界寸法を有する、請求項12~15のいずれか一項に記載の方法。
  17. 前記第1のボンディング層を形成することは、前記第1のボンディング層内に第1の誘電体を形成することを含み、
    前記第2のボンディング層を形成することは、前記第2のボンディング層内に第2の誘電体を形成することを含み、
    前記第1の誘電体は、前記接合後に前記ボンディング界面において前記第2の誘電体と接触している、請求項12~16のいずれか一項に記載の方法。
  18. NANDメモリストリングを有する第1のデバイス層を、前記第1の相互接続層と前記第1の基板との間に形成することと、
    周辺デバイスを有する第2のデバイス層を、前記第2の相互接続層と前記第2の基板との間に形成することとをさらに含み、
    前記第1のダミー相互接続部および前記第2のダミー相互接続部は、前記NANDメモリストリングおよび前記周辺デバイスに電気的に接続されない、請求項12~17のいずれか一項に記載の方法。
  19. 周辺デバイスを有する第1のデバイス層を、前記第1の相互接続層と前記第1の基板との間に形成することと、
    NANDメモリストリングを有する第2のデバイス層を、前記第2の相互接続層と前記第2の基板との間に形成することとをさらに含み、
    前記第1のダミー相互接続部および前記第2のダミー相互接続部は、前記NANDメモリストリングおよび前記周辺デバイスに電気的に接続されない、請求項12~17のいずれか一項に記載の方法。
  20. 前記接合がハイブリッド接合を含む、請求項12~19のいずれか一項に記載の方法。
JP2021530781A 2019-01-30 2019-01-30 半導体デバイス、接合構造および半導体デバイスを形成するための方法 Active JP7329601B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CN2019/073909 WO2020154954A1 (en) 2019-01-30 2019-01-30 Hybrid bonding using dummy bonding contacts and dummy interconnects

Publications (2)

Publication Number Publication Date
JP2022511451A true JP2022511451A (ja) 2022-01-31
JP7329601B2 JP7329601B2 (ja) 2023-08-18

Family

ID=66938506

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021530781A Active JP7329601B2 (ja) 2019-01-30 2019-01-30 半導体デバイス、接合構造および半導体デバイスを形成するための方法

Country Status (7)

Country Link
US (2) US10748851B1 (ja)
EP (1) EP3850663A4 (ja)
JP (1) JP7329601B2 (ja)
KR (1) KR102618755B1 (ja)
CN (2) CN111564424A (ja)
TW (1) TWI694597B (ja)
WO (1) WO2020154954A1 (ja)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11018133B2 (en) * 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US11244916B2 (en) * 2018-04-11 2022-02-08 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US11158552B2 (en) 2018-12-26 2021-10-26 AP Memory Technology Corp. Semiconductor device and method to manufacture the same
US10811402B2 (en) 2018-12-26 2020-10-20 AP Memory Technology Corp. Memory device and microelectronic package having the same
US11672111B2 (en) 2018-12-26 2023-06-06 Ap Memory Technology Corporation Semiconductor structure and method for manufacturing a plurality thereof
US11380614B2 (en) 2018-12-26 2022-07-05 AP Memory Technology Corp. Circuit assembly
US11417628B2 (en) * 2018-12-26 2022-08-16 Ap Memory Technology Corporation Method for manufacturing semiconductor structure
US10804202B2 (en) * 2019-02-18 2020-10-13 Sandisk Technologies Llc Bonded assembly including a semiconductor-on-insulator die and methods for making the same
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) * 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
CN110289221B (zh) 2019-06-25 2021-06-29 武汉新芯集成电路制造有限公司 一种半导体器件及其制造方法
EP3891811B1 (en) * 2019-08-23 2024-03-13 Yangtze Memory Technologies Co., Ltd. Non-volatile memory device and manufacturing method thereof
CN110770901A (zh) 2019-09-11 2020-02-07 长江存储科技有限责任公司 具有处理器和静态随机存取存储器的键合半导体器件及其形成方法
WO2021087720A1 (en) * 2019-11-05 2021-05-14 Yangtze Memory Technologies Co., Ltd. Semiconductor devices having adjoined via structures formed by bonding and methods for forming the same
CN116779536A (zh) * 2020-03-23 2023-09-19 长江存储科技有限责任公司 半导体器件的制造方法
TWI780666B (zh) * 2020-05-07 2022-10-11 愛普科技股份有限公司 半導體結構及製造複數個半導體結構之方法
JP2022035158A (ja) * 2020-08-20 2022-03-04 キオクシア株式会社 半導体記憶装置
US11688681B2 (en) * 2020-08-24 2023-06-27 Ap Memory Technology Corporation DRAM chiplet structure and method for manufacturing the same
CN112071767B (zh) * 2020-09-03 2024-05-07 长江存储科技有限责任公司 一种半导体器件及其检测方法
US11538778B2 (en) * 2020-12-18 2022-12-27 Advanced Semiconductor Engineering, Inc. Semiconductor package including alignment material and method for manufacturing semiconductor package
CN113161362B (zh) * 2021-03-15 2022-05-10 长江存储科技有限责任公司 三维存储器及其制作方法
US20220310550A1 (en) * 2021-03-26 2022-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Metal bonding structure and manufacturing method thereof
CN113410223B (zh) * 2021-06-15 2022-04-08 上海壁仞智能科技有限公司 芯片组及其制造方法
CN117501443A (zh) * 2021-06-15 2024-02-02 华为技术有限公司 芯片堆叠结构以及制作方法、晶圆堆叠结构、电子设备
US20230026052A1 (en) * 2021-07-22 2023-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition bonding layer for joining two semiconductor devices
CN117293092A (zh) * 2021-09-06 2023-12-26 长江存储科技有限责任公司 半导体结构
CN114551409A (zh) * 2022-04-28 2022-05-27 之江实验室 用于提高多芯粒晶圆集成可靠性的混合键合结构和方法
WO2024102501A1 (en) * 2022-11-08 2024-05-16 Peiching Ling Semiconductor structure with etch stop layer and method for making the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070018331A1 (en) * 2005-07-25 2007-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy structures extending from seal ring into active circuit area of integrated circuit chip
WO2015040798A1 (ja) * 2013-09-20 2015-03-26 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
CN104952747A (zh) * 2014-03-28 2015-09-30 台湾积体电路制造股份有限公司 具有均匀图案密度的混合接合
CN107658317A (zh) * 2017-09-15 2018-02-02 长江存储科技有限责任公司 一种半导体装置及其制备方法
CN109219885A (zh) * 2018-07-20 2019-01-15 长江存储科技有限责任公司 三维存储器件

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4121356B2 (ja) * 2002-10-31 2008-07-23 富士通株式会社 半導体装置
JP4575782B2 (ja) 2002-12-20 2010-11-04 インターナショナル・ビジネス・マシーンズ・コーポレーション 3次元デバイスの製造方法
SG134187A1 (en) * 2006-01-13 2007-08-29 Tezzaron Semiconductor S Pte L Stacked wafer for 3d integration
US8187897B2 (en) 2008-08-19 2012-05-29 International Business Machines Corporation Fabricating product chips and die with a feature pattern that contains information relating to the product chip
JP5553693B2 (ja) 2010-06-30 2014-07-16 キヤノン株式会社 固体撮像装置及び撮像システム
EP3534399A1 (en) * 2011-05-24 2019-09-04 Sony Corporation Semiconductor device
US8765598B2 (en) 2011-06-02 2014-07-01 Micron Technology, Inc. Conductive structures, systems and devices including conductive structures and related methods
KR101428754B1 (ko) * 2013-05-14 2014-08-11 (주)실리콘화일 방열 특성이 개선된 반도체 장치
TWI676279B (zh) 2013-10-04 2019-11-01 新力股份有限公司 半導體裝置及固體攝像元件
KR102529174B1 (ko) 2013-12-27 2023-05-08 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
CN104779238B (zh) 2014-01-10 2018-08-21 中芯国际集成电路制造(上海)有限公司 一种晶圆接合质量的检测结构及检测方法
KR101815489B1 (ko) 2014-02-26 2018-01-05 인텔 코포레이션 스루 브리지 도전성 비아 신호 접속에 의한 임베딩된 멀티디바이스 브리지
KR102287754B1 (ko) * 2014-08-22 2021-08-09 삼성전자주식회사 칩 적층 반도체 패키지
JP6203152B2 (ja) 2014-09-12 2017-09-27 東芝メモリ株式会社 半導体記憶装置の製造方法
JP6766808B2 (ja) * 2015-05-18 2020-10-14 ソニー株式会社 半導体装置および撮像装置
KR102500813B1 (ko) * 2015-09-24 2023-02-17 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US10418369B2 (en) * 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
WO2017111792A1 (en) 2015-12-23 2017-06-29 Intel Corporation Fabrication and use of through silicon vias on double sided interconnect device
US9923011B2 (en) 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
JP6515046B2 (ja) 2016-03-10 2019-05-15 東芝メモリ株式会社 半導体記憶装置
US10141391B2 (en) * 2017-02-23 2018-11-27 International Business Machines Corporation Microstructure modulation for 3D bonded semiconductor containing an embedded resistor structure
JP2018148071A (ja) 2017-03-07 2018-09-20 東芝メモリ株式会社 記憶装置
CN107731667B (zh) * 2017-08-28 2019-06-14 长江存储科技有限责任公司 具备金属连线的混合键合方法及混合键合结构
US10147732B1 (en) * 2017-11-30 2018-12-04 Yangtze Memory Technologies Co., Ltd. Source structure of three-dimensional memory device and method for forming the same
WO2020034063A1 (en) 2018-08-13 2020-02-20 Yangtze Memory Technologies Co., Ltd. Bonding contacts having capping layer and method for forming the same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070018331A1 (en) * 2005-07-25 2007-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy structures extending from seal ring into active circuit area of integrated circuit chip
WO2015040798A1 (ja) * 2013-09-20 2015-03-26 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
CN104952747A (zh) * 2014-03-28 2015-09-30 台湾积体电路制造股份有限公司 具有均匀图案密度的混合接合
CN107658317A (zh) * 2017-09-15 2018-02-02 长江存储科技有限责任公司 一种半导体装置及其制备方法
CN109219885A (zh) * 2018-07-20 2019-01-15 长江存储科技有限责任公司 三维存储器件

Also Published As

Publication number Publication date
US20200243455A1 (en) 2020-07-30
WO2020154954A1 (en) 2020-08-06
US10748851B1 (en) 2020-08-18
TW202029478A (zh) 2020-08-01
TWI694597B (zh) 2020-05-21
US11205619B2 (en) 2021-12-21
EP3850663A4 (en) 2023-07-12
CN109891582B (zh) 2020-06-26
CN109891582A (zh) 2019-06-14
US20200335450A1 (en) 2020-10-22
CN111564424A (zh) 2020-08-21
EP3850663A1 (en) 2021-07-21
JP7329601B2 (ja) 2023-08-18
KR20210086675A (ko) 2021-07-08
KR102618755B1 (ko) 2023-12-27

Similar Documents

Publication Publication Date Title
JP7329601B2 (ja) 半導体デバイス、接合構造および半導体デバイスを形成するための方法
JP7335309B2 (ja) 3次元メモリデバイスのハイブリッドボンディングコンタクト構造
TWI653743B (zh) 三維記憶體裝置及其製作方法
TWI777052B (zh) 具有由不擴散導電材料製成的接合接觸的接合半導體結構及其製作方法
JP7145984B2 (ja) 3次元メモリデバイスおよび3次元メモリデバイスを形成する方法
JP7304335B2 (ja) Nandメモリデバイスおよびnandメモリデバイスを形成するための方法
JP7214871B2 (ja) 半導体デバイス、接合構造および半導体デバイスを形成するための方法
TW202010057A (zh) 具有帽蓋層的鍵合接觸及其形成方法
TWI804314B (zh) 半導體裝置與三維記憶體裝置
TWI722692B (zh) 用於裸晶對裸晶進行鍵合的方法和結構
KR20240091087A (ko) 확산 불가능한 전도성 재료로 제조된 본딩 컨택을 갖는 본딩된 반도체 구조 및 이를 형성하기 위한 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210527

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220616

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220628

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220901

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221220

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230307

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230711

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230807

R150 Certificate of patent or registration of utility model

Ref document number: 7329601

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150