JP2022505473A - 前面ダクト式機器フロントエンドモジュール、側面ストレージポッド、及びそれらの操作方法 - Google Patents

前面ダクト式機器フロントエンドモジュール、側面ストレージポッド、及びそれらの操作方法 Download PDF

Info

Publication number
JP2022505473A
JP2022505473A JP2021521518A JP2021521518A JP2022505473A JP 2022505473 A JP2022505473 A JP 2022505473A JP 2021521518 A JP2021521518 A JP 2021521518A JP 2021521518 A JP2021521518 A JP 2021521518A JP 2022505473 A JP2022505473 A JP 2022505473A
Authority
JP
Japan
Prior art keywords
end module
equipment front
efem
chamber
side storage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021521518A
Other languages
English (en)
Other versions
JPWO2020086709A5 (ja
JP7365408B2 (ja
Inventor
ポール ビー. ロイター,
ロビン シー. アームストロング,
ジョン シー. メンク,
ニール メリー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022505473A publication Critical patent/JP2022505473A/ja
Publication of JPWO2020086709A5 publication Critical patent/JPWO2020086709A5/ja
Application granted granted Critical
Publication of JP7365408B2 publication Critical patent/JP7365408B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67379Closed carriers characterised by coupling elements, kinematic members, handles or elements to be externally gripped
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • H01L21/67393Closed carriers characterised by atmosphere control characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Cooling Or The Like Of Electrical Apparatus (AREA)
  • Ventilation (AREA)
  • Solid-Sorbent Or Filter-Aiding Compositions (AREA)
  • Absorbent Articles And Supports Therefor (AREA)

Abstract

Figure 2022505473000001
機器フロントエンドモジュール(EFEM)は、前部に配置されたリターンダクトを含む。EFEMは、複数のロードポートを含む前壁、ロードロック装置に連結されるように構成された後壁、及び2つの側壁を含み得る。EFEMチャンバは、前壁、後壁、及び2つの側壁の間に形成される。上部プレナムは、EFEMの上部に配置され、EFEMチャンバへの開口部を含む。リターンダクトは、EFEMチャンバから上部プレナムへのガスの再循環を可能にするリターンガス流路を提供する。複数のリターンダクトの少なくとも幾つかは、ロードポートの間に配置される。電子デバイス製造アセンブリ及び機器フロントエンドモジュールを操作する方法も開示される。
【選択図】図2C

Description

[0001]本開示は、電子デバイスの製造、より具体的には、機器フロントエンドモジュール(EFEM)、側面ストレージポッド、及びそれらを操作する方法に関する。
[0002]半導体電子デバイス製造における基板の処理は、一般に、複数のプロセスツールで実行され、基板は、例えば、前方開口型統一ポッド(FOUP)等の基板キャリアのプロセスツール間を移動する。FOUPは、機器フロントエンドモジュール(EFEM)のロードポートにドッキングされ得、1又は複数の基板がロードロック装置に移送され、そこから、周囲に配置された複数のプロセスチャンバを有するメインフレームハウジングの移送チャンバに移送され得る。環境制御された雰囲気は、FOUPと各プロセスチャンバ内及びそれらの間、特にEFEM内に提供され得る。処理中に特定の環境条件及びガスに基板が暴露されると、場合によっては基板が劣化する可能性がある。
[0003]第1の実施形態によれば、機器フロントエンドモジュール(EFEM)が提供される。EFEMは、複数のロードポートを含み得る前壁、ロードロック装置に連結されるように構成された後壁、及び2つの側壁を含む。機器フロントエンドモジュールチャンバは、前壁、後壁、及び2つの側壁の間に形成され得る。上部プレナムは、機器フロントエンドモジュールの上部に配置され、機器フロントエンドモジュールチャンバへの開口部を含み得る。複数のリターンダクトは、機器フロントエンドモジュールチャンバから上部プレナムへのガスの再循環を可能にするリターンガス流路を提供し得、複数のリターンダクトの少なくとも幾つかは、ロードポートの間に配置される。
[0004]第2の実施形態によれば、電子デバイス製造アセンブリが提供される。電子デバイス製造アセンブリは、複数のロードポートを含み得る前壁、ロードロック装置に連結されるように構成された後壁、及び2つの側壁を含む機器フロントエンドモジュールを含み得る。機器フロントエンドモジュールチャンバは、前壁、後壁、及び2つの側壁の間に形成され得る。上部プレナムは、機器フロントエンドモジュールの上部に配置され、機器フロントエンドモジュールチャンバへの開口部を含み得る。複数のリターンダクトは、機器フロントエンドモジュールチャンバから上部プレナムへのガスの再循環を可能にするリターンガス流路を提供し得、複数のリターンダクトの少なくとも幾つかは、複数のロードポートの幾つかの間に配置される。第1の側面ストレージポッドは、第1の側壁のインターフェース開口部を介して機器フロントエンドモジュールの2つの側壁の第1の側壁に連結され得、機器フロントエンドモジュールチャンバから1又は複数の基板を受け入れるように構成される。側面ストレージポッドは、排気チャネルを含み得る。電子デバイスは更に、排気チャネルと上部プレナムとの間に連結された第1の側面リターンダクトを含み得る。
[0005]本開示の更に別の実施形態によれば、機器フロントエンドモジュール(EFEM)を操作する方法が提供される。本方法は、複数のロードポートとインターフェースする機器フロントエンドモジュールチャンバに接続された上部プレナムを備えた機器フロントエンドモジュールを提供することを含み得る。本方法は更に、上部プレナムから機器フロントエンドモジュールチャンバにガスを流すことを含み得る。本方法は更に、ガスの少なくとも一部を、機器フロントエンドモジュールチャンバから、ロードポートの間に配置された1又は複数のリターンダクトを通して上部プレナムに再循環させることを含み得る。
[0006]本開示のこれらの及び他の実施形態に係る更に別の態様、特徴、及び利点は、以下の詳細な説明、添付の特許請求の範囲、及び添付の図面から容易に明らかになり得る。したがって、本書の図面及び説明は、本質的に例示的なものと見なされるべきであり、限定的なものとして見なされるべきではない。
[0007]以下に記載の図面は、例示を目的としたものであり、必ずしも一定の縮尺で描かれているわけではない。図面は、いかなる方法でも本開示の範囲を限定することを意図するものではない。
本開示の1又は複数の実施形態に係る電子デバイス製造アセンブリを示す概略上面図である。 本開示の1又は複数の実施形態に係る前方リターンダクトを含む機器フロントエンドモジュール(EFEM)を示す概略的な正面断面図である。 本開示の1又は複数の実施形態に係る図2AのEFEMの左側立面図である。 本開示の1又は複数の実施形態に係る前方リターンダクト及び2つの側面ストレージポッドを含む機器フロントエンドモジュール(EFEM)の概略的な正面断面図である。 本開示の1又は複数の実施形態に係るEFEM及びEFEMに連結された側面ストレージポッドを示す正面図である。 本開示の1又は複数の実施形態に係る、側面ストレージポッドの壁及びドアが取り外された、EFEMに連結された側面ストレージポッドを示す部分等角図である。 本開示の1又は複数の実施形態に係る、EFEMと、その中に配置された側面ストレージコンテナを有する側面ストレージポッドとの間の例示的なインターフェースを示す側面断面図である。 本開示の1又は複数の実施形態に係る排気バッフルを示す概略正面図である。 本開示の1又は複数の実施形態に係る別の排気バッフルを示す概略正面図である。 本開示の1又は複数の実施形態に係るEFEMを操作する方法を示すフロー図である。
[0018]ここで、添付の図面に示す本開示の例示の実施形態を詳細に参照していく。可能な限り、幾つかの図面を通して同じ又は同様の部品を指すのに、図面全体で同じ参照番号を使用する。本書に記載の様々な実施形態の特徴は、特に明記されていない限り、互いに組み合わせることが可能である。
[0019]電子デバイスの製造は、複数のプロセス中に基板を異なる化学物質に暴露することを伴い得る。基板への異なるプロセスの適用間に、基板はガス放出を経る場合がある。基板に適用される一部のプロセスにより、基板がフッ素、臭素、塩素等の腐食性化学物質をガス放出する可能性がある。これらの化学物質とその副生成物が基板から適切に除去されないと、基板に特定の欠陥が引き起こされ得る。
[0020]本開示の1又は複数の実施形態によれば、基板処理を改善するために、電子デバイス製造アセンブリ及びEFEMを操作する方法が提供される。本書に記載のアセンブリ及び方法は、基板の環境曝露を制御することによって、特に、機器フロントエンドモジュール(EFEM)に連結された1又は複数の側面ストレージポッド内の条件を制御することによって、基板の処理における効率及び/又は処理の改善を提供し得る。1又は複数の側面ストレージコンテナは、側面ストレージポッド内に受け入れられるように構成され得、基板へのプロセス適用前及び/又は後のアイドル期間中等に、その上に基板を受け入れて支持するように構成された基板ホルダ(例えば、棚)を含み得る。
[0021]パージガスは、EFEMチャンバから側面ストレージコンテナ、そして側面ストレージポッド(SSP)に流れ、そこでパージガスはその中に配置された基板を通過して流れ得る。パージガスは、側面ストレージコンテナの背面から排出され、側面リターンダクトを介してEFEMの上部プレナムに戻り得る。必要に応じて、パージガスをSSPの化学フィルタに通し、そこからろ過されたガスを排出し得る。ある実施形態では、パージガスの再循環経路は、複数のリターンダクトを通過し得る。各リターンダクトは、EFEMチャンバから上向きにEFEMの前壁に沿って延在し、上部プレナムに戻り得る。リターンダクトの少なくとも一部は、FOUPがドッキングされているロードポートの間の位置に配置される。リターンダクトは、前壁の内側、前壁の外側、又はその両方でEFEMチャンバから上向きに延在し得る。これにより、再循環経路が占める空間を最小限に抑えることができる。更に、EFEMの別の側壁に第2のSSPを追加することもできる。側面ストレージコンテナからEFEMに再循環されるガスは、フィルタがオプションで使用される場合、化学フィルタによってろ過又は大幅に削減された特定のガスを実質的に含まない場合がある。ある実施形態では、SSPには加熱が含まれ得る。更に、基板がEFEM内で暴露されるガスは、比較的乾燥している、加熱されている、及び/又は比較的低い酸素レベルを有する等、特定の所望の環境条件を有し得る。
[0022]本書の図1~図8を参照しながら、EFEM、側面ストレージポッド、EFEM及び側面ストレージポッドを含む電子デバイス製造アセンブリ、及びEFEMを操作する方法の例示の実施形態のさらなる詳細を説明する。
[0023]図1は、本開示の1又は複数の実施形態に係る電子デバイス製造アセンブリ100の例示的な実施形態を示す概略図である。電子デバイス製造アセンブリ100は、移送チャンバ102を画定するハウジング壁を有するメインフレームハウジング101を含み得る。移送ロボット103(点線の円として示す)が、移送チャンバ102内に少なくとも部分的に収容され得る。移送ロボット103は、移送ロボット103のアーム(図示せず)の操作を介して、様々な移送先との間で基板を配置及び除去するように構成され得る。本書で使用する基板とは、半導体ウエハ、シリコン含有ウエハ、パターニングされたウエハ、ガラス板等の電子デバイス又は回路構成要素を製造するために使用される物品を意味し得る。
[0024]移送ロボット103の様々なアーム構成要素の動きは、コントローラ106から命令される、移送ロボット103の複数の駆動モータを含む駆動アセンブリ(図示せず)への適切なコマンドによって制御され得る。コントローラ106からの信号は、移送ロボット103の様々な構成要素の動きを引き起こし得る。適切なフィードバック機構は、位置エンコーダ等のような様々なセンサによって1又は複数の構成要素に提供され得る。
[0025]図示した移送チャンバ102は、正方形であり得るが、わずかに長方形、六角形、八角形、又は別の多角形であり得、第1のファセット102A、第2のファセット102B、第3のファセット102C、及び第4のファセット102Dを含み得る。図示した実施形態では、移送ロボット103は、デュアル基板を同時にチャンバセットに移送及び/又は格納することに熟達している場合がある。第1のファセット102A、第2のファセット102B、第3のファセット102C、及び第4のファセット102Dは平面であり得、プロセスチャンバのセットへの入口はそれぞれのファセットに沿って位置し得る。しかしながら、メインフレームハウジング101の他の適切な形状、ファセット及びプロセスチャンバの数、及びロボットのタイプが可能である。
[0026]移送ロボット103の移送先は、そこに送達された基板上でプロセスを実行するように構成及び動作可能であり得るプロセスチャンバ108A~108Fのうちの任意の1又は複数であり得る。このプロセスは、プラズマ気相堆積(PVD)又は化学気相堆積(CVD)、エッチング、アニーリング、前洗浄、金属又は金属酸化物の除去等の任意の適切なプロセスであり得る。他のプロセスは、その中の基板上で実行され得る。
[0027]基板は、EFEM114から移送チャンバ102に受け入れられ得、EFEM114の後壁114Rに連結されたロードロック装置112を通して、移送チャンバ102を出てEFEM114に送られ得る。ロードロック装置112は、その中に1又は複数のロードロックチャンバ(例えば、ロードロックチャンバ112A及び112B)を含み得る。ロードロックチャンバ112A及び112Bは、シングルウエハロードロック(SWLL)チャンバ、マルチウエハチャンバ、又はそれらの組み合わせであり得る。他の数のロードロックが含まれる場合がある。
[0028]EFEM114は、例えば、前壁114F、後壁114R、2つの側壁114S1、114S2、上部114T(図2A)、及び下部114B等の様々なエンクロージャ壁を有し、EFEMチャンバ114Cを形成するエンクロージャであり得る。前壁114F、後壁114R、及び2つの側壁114S1、114S2のそれぞれは、基板交換及び/又は他の構成要素への連結を容易にするために、1又は複数のインターフェース開口部を有し得る。図1に示すように、1又は複数のロードポート115を、EFEM114の前壁115Fに設けることができる。1又は複数のロードポート115はそれぞれ、そこでそれぞれの基板キャリア116(例えば、FOUP)を受け入れ、ドッキングするように構成され得る。4つのロードポート115及び4つの基板キャリア116が示したが、他の実施形態では、EFEM114にドッキングされたロードポート115及び基板キャリア116の数がそれよりも多く又は少なくてよい。
[0029]EFEM114は、そのEFEMチャンバ114C内に従来の構造の適切なロード/アンロードロボット117(点線で示す)を含み得る。ロード/アンロードロボット117は、基板キャリア116のキャリアドアが、各ロードポート115のキャリアドアオープナ119を介して開かれると、基板キャリア116から基板を抽出し、EFEMチャンバ114Cを通して、ロードロック装置112の1又は複数のロードロックチャンバ112A及び112Bの中へ基板を供給するように構成及び動作可能であり得る。
[0030]側面ストレージポッド120は、EFEM114の側壁114S1に連結され得る。特に、ロード/アンロードロボット117は、1又は複数のプロセスチャンバ108A~108Fでの処理の前及び/又は後に、側面ストレージポッド120から基板を抽出し、基板を側面ストレージポッド120にロードするように更に構成され得る。ある実施形態では、ロード/アンロードロボット117は、側面ストレージポッド120に26の高さ、又は更に52の高さ以上に積み重ねられた基板にアクセスするように構成された高Zロボットである。
[0031]図示した実施形態では、EFEMチャンバ114Cは、その中に環境制御された雰囲気を提供する環境制御装置を備えていてもよい。特に、環境制御装置118は、EFEM114に連結され得、EFEMチャンバ114C内の環境条件を監視及び/又は制御するように動作可能であり得る。ある実施形態及び特定の時点において、EFEMチャンバ114Cは、パージガス供給源118Aから、例えば、アルゴン(Ar)、窒素(N)、ヘリウム(He)、又は清浄な乾燥空気等のパージガス(例えば、不活性ガス及び/又は非反応性ガス)をその中に受け入れ得る。パージガス供給源118Aは、適切な導管及び1又は複数のバルブによってEFEMチャンバ114Cに連結され得る。EFEMチャンバ114C内の環境条件は、側面ストレージポッド120内に及び側面ストレージポッド120の一部として配置された側面ストレージコンテナ124及び224(図2)の内部に存在し得る。側面ストレージコンテナ124及び224は、その中に垂直に積み重ねられた基板435(図4)を受け入れる。ある実施形態では、側面ストレージポッド120は、基板を受け入れて支持するためにその中に配置された基板ホルダを有し得る。
[0032]より詳細には、環境制御システム118は、EFEMチャンバ114C内の1)相対湿度(RH)、2)温度(T)、3)酸素(O)の量、及び/又は4)パージガスの量のうちの少なくとも1つを制御し得る。EFEM114の他の環境条件、例えば、EFEMチャンバ114Cへのガス流量、又はEFEMチャンバ114C内の圧力、あるいはその両方を監視及び/又は制御することができる。
[0033]ある実施形態では、環境制御システム118は、コントローラ106を含む。コントローラ106は、様々なセンサからの入力を受信し、1又は複数のバルブを制御してEFEMチャンバ114C内の環境条件を制御するための適切なプロセッサ、メモリ、及び電子構成要素を含み得る。環境制御システム118は、1又は複数の実施形態において、センサ130を用いてEFEM114のRHを感知することによって相対湿度(RH)を監視し得る。静電容量センサ等、相対湿度を測定する任意の適切なタイプのセンサを使用することが可能である。環境制御システム118のパージガス供給源118AからEFEMチャンバ114Cに適切な量のパージガスを流すことによって、RHを下げることができる。ある実施形態では、低HOレベル(例えば、純度≧99.9995%、HO≦5ppm)を有する圧縮バルク不活性ガスが、例えば、環境制御システム118のパージガス供給源118Aとして使用され得る。他の適切に低いHOレベルを使用することが可能である。
[0034]別の態様では、センサ130が複数の環境条件を測定し得る。例えば、ある実施形態では、センサ130は、上記のように相対湿度値を測定し得る。1又は複数の実施形態において、事前定義された基準相対湿度値は、電子デバイス製造アセンブリ100又はEFEM114の環境に暴露された特定の基板で実行されている特定のプロセスに許容される水分レベルに応じて、水分1000ppm未満、水分500ppm未満、又は水分100ppm未満でさえあり得る。
[0035]センサ130はまた、EFEM114内の酸素(O)レベルも測定し得る。ある実施形態では、酸素(O)レベルを閾値O値以下に制御するために、コントローラ106から環境制御装置118への制御信号による、パージガス供給源118AからEFEMチャンバ114Cへの適切な量のパージガスの流れの開始が行われ得る。1又は複数の実施形態では、閾値O値は、電子デバイス製造アセンブリ100又はEFEM114の環境に暴露された特定の基板435で実行されている特定のプロセスにおいて許容できる(品質に影響を及ぼさない)Oレベルに応じて、50ppm未満、10ppm未満、又は5ppm未満でさえあり得る。ある実施形態では、センサ130は、EFEMチャンバ114Cの酸素レベルを感知して、それがEFEMチャンバ114Cへの進入を可能にするための安全な閾値レベルを上回るようにすることができる。
[0036]センサ130は、EFEM114内の絶対圧力又は相対圧力を更に測定し得る。ある実施形態では、コントローラ106は、パージガス供給118AからEFEMチャンバ114Cへのパージガスの流れの量を制御して、EFEMチャンバ114Cの圧力を制御し得る。
[0037]本書に示す実施形態では、コントローラ106は、センサ130から制御入力(例えば、相対湿度及び/又は酸素)を受信し、閉ループ又は他の適切な制御スキームを実行するように構成されたプロセッサ、メモリ、及び周辺構成要素を含み得る。一実施形態では、制御スキームは、EFEM114に導入されているパージガスの流量を変更して、その中の所定の環境条件を達成し得る。別の実施形態では、制御スキームは、いつ基板をEFEM114に移送するか、又はいつ基板キャリア116のドアを開くかを決定し得る。
[0038]EFEM114に取り付けられた側面ストレージポッド120は、特定の環境条件下で基板435を格納し得る。例えば、側面ストレージポッド120は、EFEMチャンバ114Cに存在するのと同じ環境条件で基板435を格納し得るが、側面ストレージポッド120のガス流量は、著しく大きい等、異なる場合がある。側面ストレージポッド120は、EFEMチャンバ114Cに流体的に結合され得、EFEMチャンバ114Cからガス(例えば、パージガス)を受け入れ得る。側面ストレージポッド120は、側面ストレージポッド120からガスを排出する排気導管132、432を含み得、これにより更に、側面ストレージポッド120に格納された基板435が所望の環境条件及びパージガス流量に絶えず暴露されることが可能になる。
[0039]ある実施形態では、側面ストレージポッド120は、1又は複数の垂直に整列した側面ストレージコンテナ124、224を受け入れ得る。例えば、第1の側面ストレージコンテナ124が、側面ストレージポッド120に受け入れられ得る。第1の側面ストレージコンテナ124は、EFEMチャンバ114Cに面する開口部126を含み得る。第1の側面ストレージコンテナ124は、開口部126の反対側に配置された排気プレナム128も含み得る。排気プレナム128は、排気プレナム128と側面ストレージポッド120の外側との間を連結し得る排気導管132に連結され得る。
[0040]第1の排気導管132は、内部部分及び第1の外部部分132Aから構成され得る。第2の導管234(図2)は、第2の側面ストレージコンテナ224(図2を参照)の間に連結され得、第2の外部部分134Bを含み得る。第1の外部部分134A及び第2の外部部分134Bの両方が、カバー136内に配置され得る。ある実施形態では、カバー136は、第1の外部部分134A及び第2の外部部分134Bではなく、側面ストレージコンテナ124及び224から排出されたガスを排出するための導管として機能し得る。他の実施形態では、第1の外部部分134A及び第2の外部部分134Bは、側面ストレージポッド120の内部を通過し得る。
[0041]図2A及び図2Bは、それぞれ、EFEM114の第1の側壁114S1に連結された側面ストレージポッド120を含む、EFEM114を示す簡略的な正面断面図及び側面図である。側面ストレージポッド120は、第1の側面ストレージコンテナ124を受け入れる第1のチャンバ230と、第2の側面ストレージコンテナ224を受け入れる第2のチャンバ233とを含み得る。第2の側面ストレージコンテナ224は、EFEMチャンバ114Cに面する開口部226を含み得る。第2の側面ストレージコンテナ224は、開口部226の反対側に配置された第2の排気プレナム228も含み得る。第2の排気プレナム228は、排気プレナム228と共通プレナム236との間に連結され得る第2の排気導管234(図4を参照)に連結され得る。
[0042]第1の外部部分134A及び第2の外部部分134Bの両方は、第1の側面ストレージコンテナ124の第1のプレナム128及び第2の側面ストレージコンテナ224の第2のプレナム228から排気ガスを受け入れる共通プレナム236に連結され得る。共通プレナム236は、側面ストレージポッド120に取り付けられる、又は側面ストレージポッド120の一部であり得、第2の側面ストレージコンテナ224の下に配置され得る。ある実施形態では、側面ストレージポッド120は、EFEM114の第1の側壁114S1に取り外し可能に取り付けられている。パージガスは、上部プレナム237に又はそれに隣接して配置された一連のファン264によって、共通プレナム236から引き出され得る。側面リターンダクト260aは、共通プレナム236から延在するチャネル142(例えば、排気チャネル)に連結されて、排気ガスを上部プレナム237に方向づけし得る。ある実施形態では、側面ストレージポッド120を通るガス流は、150~175cfm(4.25~5.0cmm)である。
[0043]オプションのフィルタ248が、共通プレナム236を出る生成された排気ガス流路に提供され得る。例えば、フィルタ248は、ファン264によって引き出されたすべてのガスがフィルタ248を通過するように、チャネル142への入口に配置され得る。ある実施形態では、フィルタ248は、製造プロセスの適用後に側面ストレージポッド120に格納された1又は複数の基板235によってガス放出される1又は複数のガスをろ過する化学フィルタであり得る。ある実施形態では、フィルタ248は、塩素、臭素、及び/又はフッ素等の望ましくない化学物質をろ過するように機能し得る。ある実施形態では、フィルタ248は、アンモニア(NH)等のベースガスを5.0ppb以下にろ過し得る。ある実施形態では、フィルタ248は、フッ素(F)、塩素(Cl)、臭素(Br)、酢酸塩(OAc)、二酸化窒素(NO)、硝酸塩(NO)、リン酸塩(PO)、フッ化水素(HF)、及び/又は塩酸(HCl)等の酸性ガスを1.0ppb以下にろ過し得る。ある実施形態では、フィルタ248は、活性炭フィルタを含み得る。他の実施形態では、フィルタ248は、粒子フィルタであり得る、又は粒子フィルタ/化学フィルタの組み合わせを含み得る。
[0044]オプションとして、ヒータ250が、ファン264によって生成された排気ガス流路に沿って提供され得る。ヒータ250は、排気ガスが上部プレナム237に再循環される前に、排気ガスを所定の温度に加熱し得る。ある実施形態では、ヒータ250によって生成された熱は、反応物として、及び/又はEFEM114及び/又は側面ストレージポッド120の相対湿度を変更するために使用され得る。ある実施形態では、ヒータ250は、EFEMチャンバ114Cのパージガスを加熱して、側面ストレージポッド120に配置された基板235からのガス放出の速度を増加させ得る。
[0045]したがって、ファン264は、ガス(例えば、ろ過されたガス)を側面リターンダクト260aを通して上部プレナム237に引き込み、そこでろ過されたガスが、EFEMチャンバ114Cに再循環される。更に、ファン264はまた、図1及び図2A~2Bに示すように、EFEMチャンバ114Cから、少なくとも3つのリターンダクト160b~160eを通って上部プレナム237にガスを引き込む(わかりやすくするためにロボットのロード/アンロードは図示していない)。プレナム154は、側面ストレージポッド120が連結されているEFEM114の側壁に隣接して配置された開口部を有する。共通プレナム236は、側面リターンダクトに連結されているチャネル142に連結されるように構成されている。
[0046]アクセスドア156は、EFEM114の第2の側壁114S2に連結されている。しかしながら、ある実施形態では、第2の側面ストレージポッド120aは、アクセスドア156の代わりに、EFEM114の第2の側壁114S2に取り付けられ得る。第2の側面ストレージポッド120aは、ある実施形態では、共通プレナム236への同様な又は同一の連結を含む、側面ストレージポッド120がEFEM114の第1の側壁114S1に取り付けられるのと同じ方法で、EFEM114の第2の側壁114S2に取り付けられ得る。この実施形態では、第2の側面ストレージポッド120aからの排気は、側面リターンダクト260eによって上部プレナム237に戻る。
[0047]ここで図2A~図2Cを参照すると、複数のリターンダクト260b~260e(図2A)及び260b~0260d(図2B)のそれぞれが、EFEMチャンバ114Cに連結されたそれぞれの第1の(下方)端部を有している。複数のリターンダクト260b~260e(図2A)及び260b~0260d(図2B)のそれぞれは、EFEM114の前壁114Fに沿ってEFEMチャンバ114Cの内側に上向きに延在していてよく、それぞれは互いに平行であり得るが、そうである必要はない。複数のリターンダクトのそれぞれは、上部プレナム237に連結されたそれぞれの第2の(上方)端部も有する。上部プレナム237は、EFEM114の上部全体にわたって水平に延在し得る。上部プレナム237は、EFEMチャンバ114Cへの1又は複数の開口部240を有し得る。開口部は、粒子フィルタ267及び場合によっては化学フィルタ268の上、下、又は中を含み得る。更に、穿孔を含む均質化プレート141が開口部240の近くに配設され、EFEMチャンバ114Cの中に入ってそれを通り、側面ストレージポッド120(又はポッド120、120a図2C)の中へ入る実質的に層流のガス流を生じさせ得る。他の実施形態は、図示した5つのダクト260a~260eより多い又は少ない場合があることに留意されたい。
[0048]当然ながら、図1Aに示すように、リターンダクト260a~260eは、EFEMの前壁114Fの内側に沿って走っていてよく、一部は、ロードポート115(図2Aに点線で示すロードポート115の位置)の間を通過し得る。オプションとして、リターンダクト260a~260eは、前壁115Fの外側、又は外側と内側の組み合わせ(図示せず)に延在し得る。
[0049]図3は、EFEM114の簡略化された正面図、及び一実施形態の側面ストレージポッド120の簡略化された側面図である。図示したように、複数のリターンダクト260a~260eのうちの1つはそれぞれ、ロードポート115(又は基板キャリア116)用のキャリアドアオープナ119の第1の垂直側面に沿って上向きに延在するように構成され、複数のダクト260a~260eの別の1つは、キャリアドアオープナ119(又は基板キャリア116)の第2の垂直側面に沿って上向きに延在するように構成される。ある実施形態では、複数のリターンダクト260a~260eのうちの少なくとも1つが、2つの隣接するキャリアドアオープナ119(又は基板キャリア116)の垂直側面の間で上向きに延在するように構成される。ある実施形態では、リターンダクト260a~260eは、100mmから300mm以上の横断面積を有し得、曲がった板金又はプラスチックから作製され得る。
[0050]前面ダクトEFEM114の幾つかの利点は、他の方法では未使用の空間を利用することによるEFEMの全体的な深さの減少、流体の流れの改善(例えば、より多くの総流れ面積を提供することによる複数のリターンダクト260a~260eを通る抵抗の減少)、EFEM114の前壁全体に実質的に均等に分散された複数のリターンダクトを提供することによる、EFEMチャンバ114C内のより直接的及び/又は層流の上部から下部への流体の流れ、及びEFEM114の第2の側壁114S2のドア156を通る代わりに、EFEM114の前壁114Fに沿って複数のダクト260a~260eを提供することによる、第2の側面ストレージポッド120aをEFEM114の第2の(左)側壁114S2に取り付けるオプションを含む。
[0051]図3に示す側面ストレージポッド120の実施形態は、第1のドア350及び第2のドア352を含み得る。第1のドア350及び第2のドア352は、保持エンクロージャ351の側面ストレージポッド120の当接部分とシールを形成し得る。第1のドア350及び第2のドア352は、側面ストレージポッド120の内部へのアクセスを可能にしながら、閉じたときに側面ストレージポッド120を密閉する、ヒンジ(図示せず)又は取り外し可能なパネルドア(例えば、ねじ込み式の密閉パネルドア)を含むヒンジタイプのドアであり得る。ある実施形態では、第1のドア350及び第2のドア352の代わりに単一のドアを使用することができる。第1のドア350及び第2のドア352、又は端部の適切なOリング、ガスケット、又は他のシールは、保持エンクロージャ351の側面ストレージポッド120と気密シールを形成し得、これはまた、EFEM側壁114S1に対しても密閉される。ある実施形態では、第1のドア350は、第2のドア352によって密閉された第2の密閉された区画から分離され、別個に密閉可能な第1の密閉された区画を形成し得る。第1の側面ストレージコンテナ124は、第1の密閉された区画に受け入れられ得、第2の側面ストレージコンテナ224は、第2の密閉された区画に受け入れられ得る。他のタイプのドアを使用して、側面ストレージポッド120の内部にアクセスすることができる。
[0052]図4は、側面ストレージポッド120を示す部分断面図である。側面ストレージポッド120は、ある実施形態では、取り付けプレート416を含み得る。保持エンクロージャ351のインターフェース側面453は、第1のドア350及び第2のドア352の反対側に配置され得る。取り付けプレート416は、第1の側面416aを含み得、第2の側面416bは、保持エンクロージャ351のインターフェース側面453に取り付けられ得る。特に、取り付けプレート416の第1の側面416aは、保持エンクロージャ351のインターフェース側面453に取り付けられ得る。取り付けプレート416の第2の側面416bは、EFEM114の外側に配置された第1の側壁114S1に取り付けられ得る。取り付けプレート416は、以下に説明するように、EFEM114のEFEMチャンバ114Cと側面ストレージポッド120の内部との間に気密インターフェースを形成し得る。ある実施形態では、取り付けプレート416は、保持エンクロージャ351又はEFEM114と一体的に形成され得る。
[0053]第1のチャンバ230は、第1の側面ストレージコンテナ124に連結された第1の排気導管部分132を含み得、第2のチャンバ233は、第2の側面ストレージコンテナ224に連結された第2の排気導管部分234を含み得る。第1の排気導管部分132は、第1の外部排気導管134A(図3)に連結され得、第2の排気導管部分432は、第2の外部排気導管134B(図3)に連結され得る。
[0054]図示したように、側面ストレージポッド120は、第1のチャンバ230及び第2のチャンバ233を含み得る。しかしながら、側面ストレージポッドの他の実施形態は、3つ以上の垂直に積み重ねられたチャンバ等の、より多数のチャンバを含み得る。ある実施形態では、側面ストレージポッド120は、単一のチャンバを含み得る。複数の基板435は、第1の側面ストレージコンテナ124とEFEM114との間、及び/又は第2の側面ストレージコンテナ224とEFEM114との間で移送可能である。例えば、ロード/アンロードロボット117は、1又は複数のプロセスチャンバ108A~108F(図1)での処理の前及び/又は後に、EFEM114と第1の側面ストレージコンテナ124との間、及びEFEM114と第2の側面ストレージコンテナ224との間で基板435を移送し得る。ある実施形態では、第1の側面ストレージコンテナ124及び/又は第2の側面ストレージコンテナ224は、それぞれ、26個の基板435を受け入れることができる。第1の側面ストレージコンテナ124及び第2の側面ストレージコンテナ224は、基板435の格納中、特定の環境条件下で基板435を維持することができる。例えば、基板435は、上記のように、EFEM114内のパージガスに暴露され得る。環境条件は、水及び/又は酸素の事前選択された閾値未満、又は上記で指定された特定の流量等の他の条件への曝露を提供するように制御され得る。オプションとして、ヒータ250は、再循環パージガスを加熱するように動作し得る。
[0055]図5は、第1の側面ストレージコンテナ124を示す側面断面図である。第2の側面ストレージコンテナ224(図2)は、第1の側面ストレージコンテナ124と実質的に類似又は同一であり得る。第1の側面ストレージコンテナ124は、パネル開口部502に隣接して配置され、第1の側面ストレージコンテナ124の内部への単一の開口部を形成するポッド開口部516を有し得る。パネル開口部502は、ポッド開口部516と同じおおよそのサイズであり得、共に開口部126を提供する。ポッド凹部518が上部フランジ524に形成され得、ポッド開口部516の周囲に延在し得る。ポッドシール520は、ポッド凹部518内に受容され得る。ポッドシール520は、第1の側面ストレージコンテナ124の前部515と取り付けプレート416のインターフェース部分500とのインターフェースを越えてガスが漏れるのを防ぐ。ポッドシール520は、ポッド凹部518及びインターフェース部分500に接触する、エラストマベース材料等の柔軟な材料であり得る。ある実施形態では、ポッドシール520は、変形してポッド凹部518とインターフェース部分500との間にシールを形成し得る柔軟な管である。他のタイプのシールを使用して、第1の側面ストレージコンテナ124及びインターフェース部分500を密閉することができる。
[0056]取り付けプレート416の第2の側面416bは、パネル開口部502の周囲に延在するパネル凹部525がその中に形成されていてもよい。パネルシール526は、取り付けプレート416とEFEM114の第1の側壁114S1との間のガス交換を防ぐために、パネル凹部525内に受け入れられ得る。パネルシール526は平坦なシールであり、例えば、エチレンプロピレンジエンモノマー(EPDM)ゴムでできていてよい。ある実施形態では、パネルシール526は、約11mmの深さであり、約5.8mmの圧縮を有する。他のタイプのシール機構及び材料を使用して、第1の側壁114S1と取り付けプレート416との間にシールを形成することができる。
[0057]第1の側面ストレージコンテナ124の内部は、その上に基板435(図4)を支持するように構成された複数の基板ホルダ530を含み得る。基板ホルダ530は、第1の側面ストレージコンテナ124の側面に形成された垂直に積み重ねられた棚であり得、上部基板ホルダ532及び下部基板ホルダ534を含み得る。基板ホルダ530は、基板ホルダ530によって受け入れられ、基板ホルダ530上で支持される基板435の周り(例えば、上及び下)のガス流を可能にし、ロード/アンロードロボット117による適切なアクセスを可能にするように、互いに距離を置いて配置され得る。特に、パネル開口部502、インターフェース開口部504、及びポッド開口部126を経由してEFEMチャンバ114C(図1)から第1の側面ストレージコンテナ124の内部に入るガスは、基板ホルダ530上に受け入れられた基板435の周りを流れ得る。したがって、基板435は、所望の環境条件に維持される。
[0058]第1の側面ストレージコンテナ124の後部540は、第1の側面ストレージコンテナ124のプレナム128を排気ダクト132と連結させる排気ポート544を含み得る。側面リターンダクト160aに連結された排気ダクト132は、基板ホルダ530上に受け入れられた基板435の周りの上記のガス流を可能にするように構成され得る。排気プレナム128は、上部基板ホルダ532と下部基板ホルダ534との間で垂直に延在する高さを有し得る。排気プレナム128は、ほぼ基板435の幅である幅を有し得る。例えば、300mmのウエハの場合、幅は約250mmから350mmであり得る。
[0059]排気バッフル564は、基板435と排気プレナム128との間のガス経路内にあり得る。図6は、排気バッフル564の一実施形態を示す正面図である。排気バッフル564は、基板のそれぞれが実質的に同じ流速を受けるように、第1の側面ストレージコンテナ124を通るガス流のバランスをとる複数の孔660(幾つかに記号が振られている)を含み得る。孔660は、排気バッフル564の底部に小さい直径D61を有し、排気バッフル564の上部に大きい直径D62を有し得る。より小さい直径を有する孔660は、ガス流のバランスをとるために、排気ポート544の近くに配置され得る。より大きい直径D62は、15mmから17mmの間であり得、ある実施形態では、より大きい直径は、約16mmであり得る。孔660は、孔660の直径が排気バッフル564の上部から排気バッフル564の底部に向かって徐々に減少する2次元アレイとして配置され得る。ある実施形態では、孔660の列の隣接する対は、同じ直径を有する。例えば、列662の第1の対は、第1の直径を有する孔660を有し得、列664の第2の対は、第2のより大きい直径を有する孔660を有し得る。
[0060]図7に、排気ポートが側面ストレージポッドの垂直距離の約半分に配置されている側面ストレージコンテナで使用され得る排気バッフル764の別の実施形態を示す。図7に示すように、より小さい直径の孔は、中央の排気ポートの位置の近くに配置され、これにより、側面ストレージポッド120を通るガス流のバランスがとれる。
[0061]第1の側面ストレージコンテナ124の内部を通るガス流は、ポッド開口部516に入り、基板ホルダ530に支持された基板435の周りを通過し、排気バッフル564を通って流れ、排気プレナム128に入り、排気ポート544を介して排気される。気流構成は、基板ホルダ530に受け入れられた基板435がEFEM114と同じ環境条件にあることを可能にするが、ある実施形態ではより大きな流速を達成する。
[0062]同じ流れが、排気ガスが第2の排気導管234(図4)を通過して第2の外部排気導管部分134B(図2及び3)に入る第2の側面ストレージコンテナ224において起こり得る。第1及び第2の外部排気導管134A及び134Bからの排気ガスは、共通プレナム236(図2)に入り、そこでヒータ250によって加熱され得る。排気ガスは、上記のように特定の化学物質を除去するために、化学フィルタ248によって更にろ過され得る。
[0063]図8に、1又は複数の実施形態に係る、電子デバイス製造アセンブリにおいてEFEMを操作する方法800を示す。プロセスブロック802において、方法800は、複数のロードポートとインターフェースする機器フロントエンドモジュールチャンバに接続された上部プレナムを備えた機器フロントエンドモジュールを提供することを含み得る。
[0064]プロセスブロック804において、方法800は、上部プレナムから機器フロントエンドモジュールチャンバにガスを流すことを含み得る。例えば、図2A、図2B、及び図3を参照すると、パージガスが、上部プレナム237からEFEMチャンバ114Cの内部に流れ得る。パージガス流の一部は、EFEM114の側壁114S1でEFEM114に連結された側面ストレージポッド120に入る。
[0065]そして、プロセスブロック806において、方法800は、ガスの少なくとも一部を、EFEMチャンバ114Cから、ロードポートの間に配置された1又は複数のリターンダクトを通して上部プレナムに再循環させることを含み得る。例えば、リターンダクトのそれぞれは、EFEM114の前壁114Fの内面(又は外面又は内面と外面の組み合わせ)に沿って垂直上向きに延在して、EFEMの上部プレナム237に入り、その後、下向きにEFEMチャンバ114Cに戻り得る。例えば、図2A、図2B、及び図3を参照すると、ろ過されたガスは、共通プレナム236から、前壁114Fに沿って複数のリターンダクト260a~260eを通って流れ、上部プレナム237に入り、次いでEFEMチャンバ114Cに戻り得る。
[0066]工程において、パージガス供給源118Aから上部プレナム237に提供されるパージガスの一部は、ベースバルブ172を通して除去され得る。ある実施形態では、EFEM114への新たなパージガスの流れは、ベースバルブ172を通って排出される排気の流れのように、比較的遅い一定の速度で提供され得る。例えば、パージガスは、例えば、EFEM114内に存在するガスの全量が数時間以下ごとにのみ交換される速度で、EFEM114から交換され得る。他の交換速度も使用可能である。
[0067]前述の説明は、本開示の幾つかの実施形態の十分な理解を提供するために、特定のシステム、構成要素、方法等の例等の多数の特定の詳細を示すものである。しかしながら、本開示の少なくとも幾つかの実施形態は、これらの特定の詳細なしで実施され得ることが当業者には明らかであろう。他の例では、本開示が不必要に曖昧にならないように、周知の構成要素又は方法は詳細に説明していない、又は単純なブロック図形式で提示されている。したがって、記載された特定の詳細は単なる例示にすぎない。特定の実装態様は、これらの例示的な詳細とは異なる場合があり、それでも、本開示の範囲内であると考えられる。
[0068]本明細書全体での「一実施形態」又は「実施形態」への言及は、実施形態に関連して説明する特定の特徴、構造、又は特性が少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書全体の様々な場所での「一実施形態において」又は「実施形態において」という句の出現は、必ずしもすべてが同じ実施形態を指すとは限らない。
更に、「又は」という用語は、排他的「又は」ではなく、包括的「又は」を意味することを意図している。本明細書で「約」又は「おおよそ」という用語が使用される場合、これは、提示される公称値が±10%以内で正確であることを意味することを意図している。
[0069]本明細書の方法の工程を特定の順序で示し、説明しているが、各方法の工程の順序は、特定の工程が少なくとも一部において他の工程と同時に実施され得るように、特定の工程が逆の順序で実施され得るように変更され得る。別の実施形態では、個別の工程の指示又はサブ工程は、断続的及び/又は交互の方法であり得る。
[0070]上記の説明は、例示を意図するものであり、限定的なものではないことを理解されたい。上記の説明を読んで理解することにより、他の多くの実施形態が当業者には明らかであろう。したがって、本開示の範囲は、添付の特許請求の範囲を参照し、上記特許請求の範囲が権利を与えられている同等物の全範囲とともに決定されるべきである。

Claims (15)

  1. 機器フロントエンドモジュールであって、
    複数のロードポートを含む前壁、ロードロック装置に連結されるように構成された後壁、及び2つの側壁と、
    前記前壁、前記後壁、及び前記2つの側壁の間に形成された機器フロントエンドモジュールチャンバと、
    前記機器フロントエンドモジュールの上部にあり、前記機器フロントエンドモジュールチャンバへの開口部を含む上部プレナムと、
    前記機器フロントエンドモジュールチャンバから前記上部プレナムへのガスの再循環を可能にするリターンガス流路を提供する複数のリターンダクトであって、前記複数のリターンダクトの少なくとも幾つかが前記ロードポートの間に配置されている、複数のリターンダクトと
    を備える、機器フロントエンドモジュール。
  2. 前記複数のリターンダクトが前記前壁に沿って延在し、前記複数のリターンダクトの少なくとも幾つかが2つの隣接するキャリアドアオープナの側面の間で上向きに延在するように構成される、請求項1に記載の機器フロントエンドモジュール。
  3. 第1の側面ストレージポッドが前記2つの側壁のうちの少なくとも1つに連結され、前記第1の側面ストレージポッドからの排気チャネルが側面リターンダクトによって前記上部プレナムに連結される、請求項1に記載の機器フロントエンドモジュール。
  4. 前記2つの側壁の第1の側壁に連結された第1の側面ストレージポッドと、前記2つの側壁の第2の側壁に連結された第2の側面ストレージポッドとを備える、請求項1に記載の機器フロントエンドモジュール。
  5. 前記複数のロードポートにドッキングされた4つの基板キャリアと、前記リターンガス流路を提供する少なくとも3つのリターンダクトとを備える、請求項1に記載の機器フロントエンドモジュール。
  6. 電子デバイス製造アセンブリであって、
    機器フロントエンドモジュールであって、
    複数のロードポートを含む前壁、ロードロック装置に連結されるように構成された後壁、及び2つの側壁と、
    前記前壁、前記後壁、及び前記2つの側壁の間に形成された機器フロントエンドモジュールチャンバと、
    前記機器フロントエンドモジュールの上部にあり、前記機器フロントエンドモジュールチャンバへの開口部を含む上部プレナムと、
    前記機器フロントエンドモジュールチャンバから前記上部プレナムへのガスの再循環を可能にするリターンガス流路を提供する複数のリターンダクトであって、前記複数のリターンダクトの少なくとも幾つかが前記複数のロードポートの幾つかの間に配置されている、複数のリターンダクトと
    第1の側壁のインターフェース開口部を介して前記機器フロントエンドモジュールの前記2つの側壁の前記第1の側壁に連結された第1の側面ストレージポッドであって、前記機器フロントエンドモジュールチャンバから1又は複数の基板を受け入れるように構成され、排気チャネルを含む、第1の側面ストレージポッドと、
    前記排気チャネルと前記上部プレナムとの間に連結された第1の側面リターンダクトと
    を含む、機器フロントエンドモジュール
    を備える、電子デバイス製造アセンブリ。
  7. 前記複数のロードポートのうちの2つのそれぞれがキャリアドアオープナを含み、前記複数のリターンダクトのうちの1つが前記キャリアドアオープナの側面の間で上向きに延在するように構成される、請求項6に記載の電子デバイス製造アセンブリ。
  8. 前記複数のリターンダクトのうちの少なくとも幾つかは、前記前壁の内面に沿って上向きに延在するように構成される、請求項6に記載の電子デバイス製造アセンブリ。
  9. 前記複数のリターンダクトのうちの少なくとも幾つかは、前記前壁の外面に沿って上向きに延在するように構成される、請求項6に記載の電子デバイス製造アセンブリ。
  10. 前記第1の側面ストレージポッドが更に、
    前記インターフェース開口部に隣接して配置されたチャンバ開口部をそれぞれが有する1又は複数のチャンバと、
    前記1又は複数のチャンバに配置された1又は複数の側面ストレージコンテナであって、それぞれが、前記機器フロントエンドモジュールチャンバから前記1又は複数の基板を受け入れるように構成され、前記排気チャネルに連結され、前記チャンバ開口部から入るガスを排気するように構成される、1又は複数の側面ストレージコンテナと
    を含む、請求項6に記載の電子デバイス製造アセンブリ。
  11. 前記排気チャネルに連結された第3のプレナムに配置された化学フィルタを更に備える、請求項10に記載の電子デバイス製造アセンブリ。
  12. 共通プレナムと、
    前記チャンバ開口部と前記共通プレナムとの間で前記1又は複数の側面ストレージコンテナに配置された排気バッフルと、
    前記共通プレナムを出る排気ガスを加熱するように構成されたヒータと
    を更に備える、請求項10に記載の電子デバイス製造アセンブリ。
  13. 第2の側壁のインターフェース開口部を介して前記機器フロントエンドモジュールの前記2つの側壁の前記第2の側壁に連結された第2の側面ストレージポッドを更に備え、前記第2の側面ストレージポッドは、前記機器フロントエンドモジュールチャンバから1又は複数の基板を受け入れるように構成される、請求項6に記載の電子デバイス製造アセンブリ。
  14. 前記第2の側面ストレージポッドが第2の排気チャネルを含み、第2の側面リターンダクトが前記第2の排気チャネルと前記上部プレナムとの間に連結される、請求項13に記載の電子デバイス製造アセンブリ。
  15. 機器フロントエンドモジュールを操作する方法であって、
    複数のロードポートでインターフェースする機器フロントエンドモジュールチャンバに接続された上部プレナムを備えた前記機器フロントエンドモジュールを提供することと、
    前記上部プレナムから前記機器フロントエンドモジュールチャンバにガスを流すことと、
    前記ガスの少なくとも一部を、前記機器フロントエンドモジュールチャンバから、前記ロードポートの間に配置された1又は複数のリターンダクトを通して前記上部プレナムに再循環させることと
    を含む方法。
JP2021521518A 2018-10-26 2019-10-23 前面ダクト式機器フロントエンドモジュール、側面ストレージポッド、及びそれらの操作方法 Active JP7365408B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862751514P 2018-10-26 2018-10-26
US62/751,514 2018-10-26
US16/660,057 US11373891B2 (en) 2018-10-26 2019-10-22 Front-ducted equipment front end modules, side storage pods, and methods of operating the same
US16/660,057 2019-10-22
PCT/US2019/057650 WO2020086709A1 (en) 2018-10-26 2019-10-23 Front-ducted equipment front end modules, side storage pods, and methods of operating the same

Publications (3)

Publication Number Publication Date
JP2022505473A true JP2022505473A (ja) 2022-01-14
JPWO2020086709A5 JPWO2020086709A5 (ja) 2022-08-19
JP7365408B2 JP7365408B2 (ja) 2023-10-19

Family

ID=70325553

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021521518A Active JP7365408B2 (ja) 2018-10-26 2019-10-23 前面ダクト式機器フロントエンドモジュール、側面ストレージポッド、及びそれらの操作方法

Country Status (6)

Country Link
US (2) US11373891B2 (ja)
JP (1) JP7365408B2 (ja)
KR (1) KR102577683B1 (ja)
CN (1) CN112970099A (ja)
TW (2) TWI785279B (ja)
WO (1) WO2020086709A1 (ja)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11610794B2 (en) * 2018-10-26 2023-03-21 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for operating the same
US11373891B2 (en) 2018-10-26 2022-06-28 Applied Materials, Inc. Front-ducted equipment front end modules, side storage pods, and methods of operating the same
US11244844B2 (en) * 2018-10-26 2022-02-08 Applied Materials, Inc. High flow velocity, gas-purged, side storage pod apparatus, assemblies, and methods
US11189511B2 (en) * 2018-10-26 2021-11-30 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for operating EFEMs
KR102202463B1 (ko) * 2019-03-13 2021-01-14 세메스 주식회사 기판 처리 장치 및 방법
KR102208017B1 (ko) * 2019-08-14 2021-01-27 로체 시스템즈(주) 기판 반송 장치
US20230105742A1 (en) * 2021-10-06 2023-04-06 Applied Materials, Inc. Equipment front end modules with induced gas mixing, and methods of use thereof
KR20230120307A (ko) * 2022-02-09 2023-08-17 주식회사 저스템 Efem의 버퍼 챔버 장치 및 이를 구비한 반도체 공정장치

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004179519A (ja) * 2002-11-28 2004-06-24 Tokyo Ohka Kogyo Co Ltd 基板処理装置
JP2008258188A (ja) * 2007-03-30 2008-10-23 Tokyo Electron Ltd 基板処理装置、基板処理方法及び記憶媒体
JP2013118229A (ja) * 2011-12-01 2013-06-13 Tokyo Electron Ltd 搬送装置及び基板処理システム
US20150107770A1 (en) * 2013-10-18 2015-04-23 Samsung Electronics Co., Ltd. Side storage unit for removing fumes and manufacturing apparatus for semionductor devices having the same
JP2015531546A (ja) * 2012-09-24 2015-11-02 ユ−ジーン テクノロジー カンパニー.リミテッド ヒューム除去装置及び基板処理装置
JP2016527732A (ja) * 2013-08-12 2016-09-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ファクトリインターフェースの環境制御を伴う基板処理のシステム、装置、及び方法
JP2017011150A (ja) * 2015-06-24 2017-01-12 東京エレクトロン株式会社 格納ユニット、搬送装置、及び、基板処理システム
WO2017022366A1 (ja) * 2015-08-04 2017-02-09 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
JP2017092233A (ja) * 2015-11-10 2017-05-25 シンフォニアテクノロジー株式会社 ロボット搬送装置
JP2017108112A (ja) * 2015-10-12 2017-06-15 ラム リサーチ コーポレーションLam Research Corporation 水平スロットの実装および/または移動シャワーヘッドを含む、ウエハー搬送マイクロクライメイト技法および装置
KR20180074276A (ko) * 2016-12-23 2018-07-03 피코앤테라(주) 이에프이엠
JP2018174259A (ja) * 2017-03-31 2018-11-08 シンフォニアテクノロジー株式会社 ロボット搬送装置
JP2019161116A (ja) * 2018-03-15 2019-09-19 シンフォニアテクノロジー株式会社 Efem

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI475627B (zh) 2007-05-17 2015-03-01 Brooks Automation Inc 基板運送機、基板處理裝置和系統、於基板處理期間降低基板之微粒污染的方法,及使運送機與處理機結合之方法
CN101970315B (zh) 2007-12-18 2013-05-15 诚实公司 用于控制衬底污染的方法和设备
CN107039319B (zh) 2011-06-28 2022-03-15 动力微系统公司 半导体储料器系统和方法
KR101215962B1 (ko) 2012-07-30 2012-12-27 이프로링크텍(주) Efem의 버퍼 스토리지 박스
US9272315B2 (en) 2013-10-11 2016-03-01 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for controlling gas flow in enclosure
JP6349750B2 (ja) 2014-01-31 2018-07-04 シンフォニアテクノロジー株式会社 Efem
TWI678751B (zh) 2013-12-13 2019-12-01 日商昕芙旎雅股份有限公司 設備前端模組(efem)
KR102162366B1 (ko) 2014-01-21 2020-10-06 우범제 퓸 제거 장치
US9847240B2 (en) 2014-02-12 2017-12-19 Axcelis Technologies, Inc. Constant mass flow multi-level coolant path electrostatic chuck
US9881826B2 (en) 2014-10-24 2018-01-30 Lam Research Corporation Buffer station with single exit-flow direction
JP6686014B2 (ja) * 2014-10-24 2020-04-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ファクトリインターフェースで基板キャリアをパージするシステム、装置及び方法
WO2016085622A1 (en) 2014-11-25 2016-06-02 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
KR101637498B1 (ko) 2015-03-24 2016-07-07 피코앤테라(주) 웨이퍼 수납용기
TWI780030B (zh) 2015-10-05 2022-10-11 德商布魯克斯Ccs有限公司 形成用於一半導體基板並具有低溼度值的一乾淨的環境的方法及系統
JP6679906B2 (ja) 2015-12-11 2020-04-15 Tdk株式会社 Efem
JP6613864B2 (ja) * 2015-12-14 2019-12-04 Tdk株式会社 ミニエンバイロメント装置
JP6851075B2 (ja) * 2016-09-15 2021-03-31 ユニチカ株式会社 成型用メッシュ織物の製造方法
KR20180045316A (ko) 2016-10-25 2018-05-04 삼성전자주식회사 설비 전방 단부 모듈 및 이를 포함하는 반도체 제조 장치
US10159169B2 (en) 2016-10-27 2018-12-18 Applied Materials, Inc. Flexible equipment front end module interfaces, environmentally-controlled equipment front end modules, and assembly methods
KR102618491B1 (ko) 2016-10-31 2023-12-28 삼성전자주식회사 기판 이송 장치
US10453727B2 (en) * 2016-11-10 2019-10-22 Applied Materials, Inc. Electronic device manufacturing load port apparatus, systems, and methods
US10446428B2 (en) * 2017-03-14 2019-10-15 Applied Materials, Inc. Load port operation in electronic device manufacturing apparatus, systems, and methods
US10566216B2 (en) 2017-06-09 2020-02-18 Lam Research Corporation Equipment front end module gas recirculation
KR102423761B1 (ko) 2017-06-23 2022-07-20 어플라이드 머티어리얼스, 인코포레이티드 인덱서블 측면 저장 포드 장치, 가열식 측면 저장 포드 장치, 시스템들, 및 방법들
US10388547B2 (en) 2017-06-23 2019-08-20 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for processing substrates
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10763134B2 (en) 2018-02-27 2020-09-01 Applied Materials, Inc. Substrate processing apparatus and methods with factory interface chamber filter purge
KR102100775B1 (ko) * 2018-03-14 2020-04-14 우범제 이에프이엠
JP7100243B2 (ja) * 2018-04-19 2022-07-13 シンフォニアテクノロジー株式会社 排気ノズルユニット、ロードポート、及びefem
KR102592920B1 (ko) * 2018-07-16 2023-10-23 삼성전자주식회사 로드락 모듈 및 이를 포함하는 반도체 제조 장치
US11373891B2 (en) 2018-10-26 2022-06-28 Applied Materials, Inc. Front-ducted equipment front end modules, side storage pods, and methods of operating the same
US11749537B2 (en) 2018-10-26 2023-09-05 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for operating equipment front end modules
US11244844B2 (en) 2018-10-26 2022-02-08 Applied Materials, Inc. High flow velocity, gas-purged, side storage pod apparatus, assemblies, and methods
US11610794B2 (en) 2018-10-26 2023-03-21 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for operating the same
US11189511B2 (en) 2018-10-26 2021-11-30 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for operating EFEMs
US11508593B2 (en) * 2018-10-26 2022-11-22 Applied Materials, Inc. Side storage pods, electronic device processing systems, and methods for operating the same

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004179519A (ja) * 2002-11-28 2004-06-24 Tokyo Ohka Kogyo Co Ltd 基板処理装置
JP2008258188A (ja) * 2007-03-30 2008-10-23 Tokyo Electron Ltd 基板処理装置、基板処理方法及び記憶媒体
JP2013118229A (ja) * 2011-12-01 2013-06-13 Tokyo Electron Ltd 搬送装置及び基板処理システム
JP2015531546A (ja) * 2012-09-24 2015-11-02 ユ−ジーン テクノロジー カンパニー.リミテッド ヒューム除去装置及び基板処理装置
JP2016527732A (ja) * 2013-08-12 2016-09-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ファクトリインターフェースの環境制御を伴う基板処理のシステム、装置、及び方法
KR101682473B1 (ko) * 2013-10-18 2016-12-05 삼성전자주식회사 사이드 스토리지 및 이를 구비하는 반도체 소자 제조 설비
US20150107770A1 (en) * 2013-10-18 2015-04-23 Samsung Electronics Co., Ltd. Side storage unit for removing fumes and manufacturing apparatus for semionductor devices having the same
JP2017011150A (ja) * 2015-06-24 2017-01-12 東京エレクトロン株式会社 格納ユニット、搬送装置、及び、基板処理システム
WO2017022366A1 (ja) * 2015-08-04 2017-02-09 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
JP2017108112A (ja) * 2015-10-12 2017-06-15 ラム リサーチ コーポレーションLam Research Corporation 水平スロットの実装および/または移動シャワーヘッドを含む、ウエハー搬送マイクロクライメイト技法および装置
JP2017092233A (ja) * 2015-11-10 2017-05-25 シンフォニアテクノロジー株式会社 ロボット搬送装置
KR20180074276A (ko) * 2016-12-23 2018-07-03 피코앤테라(주) 이에프이엠
JP2018174259A (ja) * 2017-03-31 2018-11-08 シンフォニアテクノロジー株式会社 ロボット搬送装置
JP2019161116A (ja) * 2018-03-15 2019-09-19 シンフォニアテクノロジー株式会社 Efem

Also Published As

Publication number Publication date
KR102577683B1 (ko) 2023-09-11
TWI785279B (zh) 2022-12-01
KR20210068576A (ko) 2021-06-09
US20220293444A1 (en) 2022-09-15
WO2020086709A8 (en) 2021-06-03
TW202107600A (zh) 2021-02-16
JP7365408B2 (ja) 2023-10-19
US20200135523A1 (en) 2020-04-30
TW202314930A (zh) 2023-04-01
WO2020086709A1 (en) 2020-04-30
CN112970099A (zh) 2021-06-15
US11373891B2 (en) 2022-06-28

Similar Documents

Publication Publication Date Title
JP2022505473A (ja) 前面ダクト式機器フロントエンドモジュール、側面ストレージポッド、及びそれらの操作方法
TWI757936B (zh) 用於處理基板的設備、系統和方法
TWI821435B (zh) 側儲存艙、設備前端模組與操作設備前端模組的方法
US11640915B2 (en) Side storage pods, equipment front end modules, and methods for operating EFEMs
CN112970098B (zh) 侧存储舱、电子装置处理系统及其操作方法
US11610794B2 (en) Side storage pods, equipment front end modules, and methods for operating the same
CN117219547A (zh) 高流速、气体净化的侧储存舱装置、组件和方法
JP7445138B2 (ja) ウェーハストッカ
TWI841616B (zh) 側儲存倉、設備前端模組、和其操作方法
TWI847230B (zh) 側面儲存盒、電子元件處理系統、及用於操作efem的方法
US20230105742A1 (en) Equipment front end modules with induced gas mixing, and methods of use thereof

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220809

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220809

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20220809

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221018

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230118

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230328

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230628

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230919

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231006

R150 Certificate of patent or registration of utility model

Ref document number: 7365408

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150