TW202314930A - 前管道設備前端模組、側儲存倉、及其操作方法 - Google Patents

前管道設備前端模組、側儲存倉、及其操作方法 Download PDF

Info

Publication number
TW202314930A
TW202314930A TW111142503A TW111142503A TW202314930A TW 202314930 A TW202314930 A TW 202314930A TW 111142503 A TW111142503 A TW 111142503A TW 111142503 A TW111142503 A TW 111142503A TW 202314930 A TW202314930 A TW 202314930A
Authority
TW
Taiwan
Prior art keywords
chamber
side storage
end module
equipment
gas
Prior art date
Application number
TW111142503A
Other languages
English (en)
Inventor
保羅B 魯特
羅賓C 阿姆斯壯
約翰C 門克
尼爾 馬利
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202314930A publication Critical patent/TW202314930A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67379Closed carriers characterised by coupling elements, kinematic members, handles or elements to be externally gripped
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • H01L21/67393Closed carriers characterised by atmosphere control characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Cooling Or The Like Of Electrical Apparatus (AREA)
  • Ventilation (AREA)
  • Solid-Sorbent Or Filter-Aiding Compositions (AREA)
  • Absorbent Articles And Supports Therefor (AREA)

Abstract

設備前端模組(EFEM)包括位於前端的返回管道。EFEM可包括前壁、後壁、和兩個側壁,前壁包括複數個裝載口,且後壁經配置以耦接至裝載閘設備。在前壁、後壁、和兩個側壁之間形成EFEM腔室。上部氣室位於EFEM的頂部,並包括進入EFEM腔室的開口。返回管道提供氣體回流路徑,該氣體回流路徑使氣體能夠從EFEM腔室再循環到上部氣室。複數個返回管道中的至少一些返回管道位於裝載口之間。還公開了電子裝置製造組件和操作設備前端模組的方法。

Description

前管道設備前端模組、側儲存倉、及其操作方法
本公開涉及電子裝置製造,並且更具體地涉及設備前端模組(EFEMs)、側儲存倉、及其操作方法。
半導體電子裝置製造中的基板處理通常是在多個處理工具中進行,其中基板在基板載體中的處理工具之間移動,例如,晶圓傳送盒(front end unified pods,FOUPs),FOUP可對接(docked)於設備前端模組(EFEM)的裝載口處,在該處可以將一或多個基板傳送到裝載閘設備,然後從那裡傳送到主機殼體的傳送腔室,該傳送腔室在其周圍設有多個處理腔室。可以在FOUP和每個處理腔室之中以及之間,特別是在EFEM之中,提供環境控制的大氣。基板在處理過程中暴露於某些環境條件和氣體下,在某些情況中,可能會使基板降級(degrade)。
根據第一實施例,提供了一種設備前端模組(EFEM)。EFEM包括前壁、後壁、和兩個側壁,前壁可包括複數個裝載口,且後壁經配置以耦接至裝載閘設備。設備前端模組腔室可形成於前壁、後壁、和兩個側壁之間。上部氣室可位於設備前端模組的頂部,且包括進入設備前端模組腔室的開口。複數個返回管道可提供氣體回流路徑,氣體回流路徑使得氣體能夠從設備前端模組腔室再循環到上部氣室,其中複數個返回管道中的至少一些返回管道位於裝載口之間。
根據第二實施例,提供了一種電子裝置製造組件。電子裝置製造組件可包括設備前端模組,設備前端模組包括前壁、後壁、和兩個側壁,其中前壁可包括複數個裝載口,且後壁經配置以耦接至裝載閘設備。設備前端模組腔室可形成於前壁、後壁、和兩個側壁之間。上部氣室可位於設備前端模組的頂部,且包括進入設備前端模組腔室的開口。複數個返回管道可提供氣體回流路徑,氣體回流路徑使得氣體能夠從設備前端模組腔室再循環到上部氣室,其中複數個返回管道中的至少一些返回管道位於複數個裝載口中的一些裝載口之間。第一側儲存倉可經由設備前端模組的兩個側壁中的第一側壁中的接口開口耦接到第一側壁,側儲存倉經配置以從設備前端模組腔室接收一或多個基板。側儲存倉可包括排氣通道。電子裝置可進一步包括第一側返回管道,第一側返回管道耦接在排氣通道和上部氣室之間。
根據本公開的又一個實施例,提供了一種操作設備前端模組(EFEM)的方法。該方法可包括為設備前端模組提供連接至設備前端模組腔室的上部氣室,設備前端模組腔室與複數個裝載口接口。方法可進一步包括使氣體從上部氣室流到設備前端模組腔室。方法可進一步包括通過位於裝載口之間的一或多個返回管道將至少一些氣體從設備前端模組腔室再循環到上部氣室。
根據以下的實施方式、隨附申請專利範圍和隨附圖式,根據本公開的這些和其他實施例的其他態樣、特徵、和優點將是顯而易見的。因此,本文的圖式和描述本質上應被認為是說明性的,而不是限制性的。
現在將詳細參照在隨附圖式中示出的本公開的範例實施例。在許多附圖中,將儘可能在所有附圖中使用相同的元件符號指示相同或相似的部分。除非另外特別說明,否則本文描述的各種實施例的特徵可彼此組合。
電子裝置製造可以涉及在複數個處理中將基板暴露於不同的化學品。在對基板施加不同處理之間,基板可能會發生脫氣。應用於基板的一些處理可能會使基板脫除腐蝕性化學品,例如氟、溴、和氯。如果這些化學品及其副產物未從基板上適當地除去,則可能在基板上引起某些缺陷。
根據本公開的一或多個實施例,提供了電子裝置製造組件和操作EFEM的方法,用以改善基板處理。本文所述的組件和方法可透過控制基板的環境暴露,且特別是透過控制與設備前端模組(EFEM)耦接的一或多個側儲存倉中的條件,來提供基板處理中的效率和/或處理改進。一或多個側儲存容器可以配置為是容納於側儲存倉內的,並且可包括基板把持器(例如,架子),基板把持器經配置以容納及在其上支撐基板,例如在將處理應用於基板之前和/或之後的空閒期間。
淨化氣體可從EFEM腔室流入側儲存容器到側儲存倉(side storage pod,SSP),在該處淨化氣體流過位於其中的基板。淨化氣體可從側儲存容器的後部排出,並經由側返回管道返回到EFEM的上部氣室。選擇性地,淨化氣體可以通過SSP的化學過濾器以從其輸出經過濾的氣體。在一些實施例中,淨化氣體的再循環路徑可以穿過複數個返回管道。每個返回管道可以從EFEM腔室向上延伸並與EFEM的前壁並排,且接著返回上部氣室。至少一些返回管道位於裝載口之間FOUP對接的位置。返回管道可以從前壁的內部上的EFEM腔室向上延伸並/或在前壁外部。這可以最小化由再循環路徑所佔據的空間。此外,這可以使得能夠在EFEM的另一側壁上增加第二個SSP。當選擇性地使用過濾器時,從側儲存容器再循環到EFEM中的氣體可以基本上不含某些已被化學過濾器過濾或基本上減少的氣體。在一些實施例中,SSP可以包括在其中加熱。另外,基板在EFEM內所暴露的氣體可以具有某些所欲之環境條件,例如相對乾燥、被加熱、和/或具有相對低的氧等級。
參照本文第1圖至第8圖描述了EFEM、側儲存倉、包括EFEM和側儲存倉的電子裝置製造組件、及操作EFEM的方法的範例實施例的進一步細節。
第1圖圖示根據本公開的一或多個實施例的電子裝置製造組件100的範例實施例的示意圖。電子裝置製造組件100可包括主機殼體101,主機殼體101具有界定傳送腔室102的外殼壁。傳送機器人103(顯示為虛線圓圈)可以至少部分地容納在傳送腔室102內。傳送機器人103可被配置為經由傳送機器人103的臂(未示出)的操作來將基板放置到各個目的地和從各個目的地移動基板。如本文中所使用的,基板可意指用以製造電子裝置或電路部件的製品,例如半導體晶圓、含矽晶圓、圖案化晶圓、玻璃板等。
可以透過適當的命令至驅動組件(未示出)來控制傳送機器人103的各種臂部件的運動,驅動組件包括隨控制器106命令的傳送機器人103的複數個驅動馬達。來自控制器106的信號可以引起傳送機器人103的各種部件的運動。可以透過各種感測器(例如位置編碼器)等來為一或多個部件提供合適的反饋機構。
示出的傳送腔室102可以是正方形的,但也可以是略微矩形、六邊形、八邊形、或另一多邊形的形狀,並且可以包括第一面102A,第二面102B、第三面102C、和第四面102D。在所示的實施例中,傳送機器人103可擅於將雙基板同時傳送和/或收回到腔室組中。第一面102A、第二面102B、第三面102C、和第四面102D可以是平坦的,並且進入處理腔室組的入口可以沿著相應的面佈置。然而,主機殼體101的其他合適形狀、面和處理腔室的數量、以及機器人的類型也是可能的。
傳送機器人103的目的地可以是處理腔室108A-108F中的任何一或多個,其可以被配置並且可操作以在傳送到其上的基板上進行處理。處理可以是任何合適的處理,例如電漿氣相沉積(PVD)或化學氣相沉積(CVD)、蝕刻、退火、預清潔、金屬或金屬氧化物去除等。可以在其中的基板上實行其他處理。
基板可以從EFEM 114接收到傳送腔室102中,並且可以透過耦接到EFEM 114的後壁114R的裝載閘設備112離開傳送腔室102到EFEM 114。裝載閘設備112可在其中包括一或多個裝載閘腔室(例如,裝載閘腔室112A和112B)。裝載閘腔室112A和112B可以是單晶圓裝載閘(single wafer load locks, SWLL)腔室、多晶圓腔室、或其組合。可以包括其他數量的裝載閘。
EFEM 114可以是具有各種外殼壁的外殼,例如,前壁114F、後壁114R、兩個側壁114S1、114S2、頂部114T(第2A圖)和底部114B,形成EFEM腔室114C。前壁114F、後壁114R、和兩個側壁114S1、114S2中的每一個可具有一或多個接口開口,以促進基板交換和/或耦接至其他部件。如第1圖所示,可以在EFEM 114的前壁114F上提供一或多個裝載口115。一或多個裝載口115可各自被配置成在其上接收並對接相應的基板載體116(例如,FOUP)。儘管示出了四個裝載口115和四個基板載體116,但是其他實施例可以具有更多或更少數量的裝載口115和基板載體116對接在EFEM 114處。
EFEM 114可包括在其EFEM腔室114C內的傳統結構的合適的裝載/卸載機器人117(示出為虛線)。一旦經由用於每個裝載口115的載體門開啟器119打開基板載體116的載體門,裝載/卸載機器人117可以被配置並可操作以從基板載體116提取基板並且供給基板通過EFEM腔室114C並進入裝載閘設備112的一或多個裝載閘腔室112A和112B。
側儲存倉120可以耦接至EFEM 114的側壁114S1。特別地,裝載/卸載機器人117可以進一步被配置為在一或多個處理腔室108A-108F中進行處理之前和/或之後,從側儲存倉120中提取基板及將基板裝載到側儲存倉120中。在一些實施例中,裝載/卸載機器人117是高Z機器人(high-z robot),其被配置以存取在側儲存倉120中堆疊為26高,或甚至52高或更高的基板。
在所示的實施例中,EFEM腔室114C可以設置有環境控制,該環境控制在其中提供了環境控制的空氣。特別是,環境控制系統118可耦接到EFEM 114並且可操作以監控和/或控制EFEM腔室114C內的環境條件。在一些實施例中,且在某些時候,EFEM腔室114C可以在其中接收淨化氣體(例如,惰性和/或非反應性氣體),例如,氬氣(Ar)、氮氣(N 2)、氦氣(He)、或來自淨化氣體供應118A的清潔乾燥空氣。淨化氣體供應118A可以透過合適的管道和一或多個閥耦接到EFEM腔室114C。EFEM腔室114C內的環境條件可存在於位於側儲存倉120內和作為側儲存倉120的部分的側儲存容器124和224(第2圖)的內部。側儲存容器124和224容納垂直堆疊於其中的基板435(第4圖)。在一些實施例中,側儲存倉120可具有位於其中的基板把持器,以容納和支撐基板。
更詳細地,環境控制系統118可以控制以下至少之一:EFEM腔室114C內的1)相對濕度(RH)、2)溫度(T)、3)氧(O 2)的量和/或4)淨化氣體的量。可以監控和/或控制EFEM 114的其他環境條件,例如進入EFEM腔室114C的氣體流率或EFEM腔室114C中的壓力,或兩者。
在一些實施例中,環境控制系統118包括控制器106。控制器106可包括合適的處理器、記憶體、和電子部件,其用於接收來自各種感測器的輸入並控制一或多個閥以控制EFEM腔室114C內的環境條件。在一或多個實施例中,環境控制系統118可以透過以感測器130感測EFEM 114中的相對溼度(RH)來監控RH。可以使用測量相對濕度的任何合適類型的感測器,例如電容式感測器。可以透過使適量的淨化氣體從環境控制系統118的淨化氣體供應118A流入EFEM腔室114C來降低RH。在一些實施例中,例如,具有低H 2O等級(例如,純度 ≥  99.9995%,H 2O ≤ 5ppm)的壓縮大宗惰性氣體(compressed bulk inert gases)可用作為環境控制系統118中的淨化氣體供應118A。可以使用其他適當的低H 2O等級。
在另一態樣中,感測器130可以測量複數個環境條件。例如,在一些實施例中,感測器130可以如上所述測量相對濕度值。在一或多個實施例中,預定義的參考相對濕度值可以是小於1000 ppm水分(moisture)、小於500 ppm水分、或甚至小於100 ppm水分,這取決於對於在電子裝置製造組件100中實行的特定處理或暴露於EFEM 114的環境的特定基板所可以容忍的水分含量。
感測器130亦可測量EFEM 114中的氧氣(O 2)等級。在一些實施例中,可以發生從控制器106到環境控制設備118的控制信號,該控制信號發起將適當量的淨化氣體從淨化氣體供應118A流入EFEM腔室114C的流動,以控制氧氣(O 2)到低於O 2的閾值。在一或多個實施例中,O 2的閾值可以是小於50 ppm、小於10 ppm、或甚至小於5 ppm,這取決於對於在電子裝置製造組件100中實行的特定處理或暴露於EFEM 114的環境的特定基板435所可以容忍(不影響品質)的O 2等級。在一些實施例中,感測器130可以感測EFEM腔室114C中的氧氣等級以確保其高於安全閾值等級以允許進入EFEM腔室114C中。
感測器130可進一步測量EFEM 114內的絕對或相對壓力。在一些實施例中,控制器106可以控制從淨化氣體供應118A進入EFEM腔室114C的淨化氣體的流量,以控制EFEM腔室114C內的壓力。
在本文所示的實施例中,控制器106可包括處理器、記憶體、和周邊部件,其配置以從感測器130接收控制輸入(例如,相對濕度和/或氧氣)並執行封閉迴路或其他合適的控制方案。在一個實施例中,控制方案可以改變被引入到EFEM 114中的淨化氣體的流率,以在其中達到預定的環境條件。在另一實施例中,控制方案可以判定何時將基板轉移到EFEM 114中或何時打開基板載體116的門。
附接到EFEM 114的側儲存倉120可以在特定環境條件下儲存基板435。例如,除了在側儲存倉120中的氣體流率可以不同,例如明顯更大之外,側儲存倉120可以在與EFEM腔室114C中存在的相同的環境條件下儲存基板435。側儲存倉120可以流體地耦接到EFEM腔室114C,並且可以從EFEM腔室114C接收氣體(例如,淨化氣體)。側儲存倉120可以包括從側儲存倉120排出氣體的排氣管道132,432,這進一步使得儲存在側儲存倉120中的基板435能夠持續地暴露於所期望的環境條件以及淨化氣體流率。
在一些實施例中,側儲存倉120可容納一或多個垂直對齊的側儲存容器124、224。例如,第一側儲存容器124可以被容納在側儲存倉120中。第一側儲存容器124可包括面向EFEM腔室114C的開口126。第一側儲存容器124亦可包括與開口126相對設置的排氣氣室(exhaust plenum)128。排氣氣室128可以耦接至排氣管道132,排氣管道132可以耦接於排氣氣室128與側儲存倉120的外部之間。
第一排氣管道132可以由內部和第一外部132A組成。第二管道234(第2圖)可以耦接在第二側儲存容器224(見第2圖)之間並且可包括第二外部134B。第一外部134A和第二外部134B兩者都可以位於蓋136內。在一些實施例中,蓋136,而不是第一外部134A和第二外部134B,可以用作管道以從側儲存容器124和224排出廢氣。在其他實施例中,第一外部134A和第二外部134B可以穿過側儲存倉120的內部。
第2A圖和第2B圖分別示出了包括耦接到EFEM 114的第一側壁114S1的側儲存倉120的EFEM 114的簡化的正視截面圖和側視圖。側儲存倉120可以包括容納第一側儲存容器124的第一腔室230和容納第二側儲存容器224的第二腔室233。第二側儲存容器224可包括面向EFEM腔室114C的開口226。第二側儲存容器224亦可包括與開口226相對設置的第二排氣氣室(exhaust plenum)228。第二排氣氣室228可以耦接至第二排氣管道234(見第4圖),第二排氣管道234可耦接在排氣氣室228和公共氣室236之間。
第一外部134A和第二外部134B兩者都可以耦接到公共氣室236,公共氣室236從第一側儲存容器124的第一氣室128和第二側儲存容器224的第二氣室228接收廢氣。公共氣室236可以附接至側儲存倉120或為側儲存倉120的一部分,並且可以位於第二側儲存容器224下方。在一些實施例中,側儲存倉120可移除地附接到EFEM 114的第一側壁114S1。可以透過位於上氣室237中或鄰近於上氣室237的一系列風扇264從公共氣室236中抽取淨化氣體。側返回管道260a可以耦接至從公共氣室236延伸的通道142(例如,排氣通道),以將廢氣引導至上氣室237。在一些實施例中,通過側儲存倉120的氣流為150-175 cfm(4.25-5.0 cmm)。
選擇性的過濾器248可以提供於所產生離開公共氣室236的廢氣流路。例如,過濾器248可以位於通道142的入口,使得由風扇264吸入的所有氣體都通過過濾器248。在一些實施例中,過濾器248可以是化學過濾器,其在應用製造處理之後過濾由儲存在側儲存倉120中的一或多個基板235除氣的一或多種氣體。在一些實施例中,過濾器248可用於過濾不想要的化學品,例如氯、溴、和/或氟。在一些實施例中,過濾器248可以將諸如氨(NH 3)的基礎氣體過濾至小於或等於5.0ppb。在一些實施例中,過濾器248可以過濾酸性氣體,例如氟(F)、氯(Cl)、溴(Br)、乙酸鹽(OAc)、二氧化氮(NO 2)、硝酸鹽(NO 3)、磷酸鹽(PO 4)、氟化氫(HF)、和/或鹽酸(HCl),至小於或等於1.0 ppb。在一些實施例中,過濾器248可以包括活性炭過濾器。在其他實施例中,過濾器248可以是微粒過濾器或包括微粒過濾器/化學過濾器的組合。
選擇性地,可以沿著由風扇264產生的廢氣流動路徑設置加熱器250。加熱器250可以在將廢氣再循環到上氣室237中之前將廢氣加熱到預定溫度。在一些實施例中,由加熱器250產生的熱可以用作反應物和/或用來改變EFEM 114和/或側儲存倉120中的相對濕度。在一些實施例中,加熱器250可以加熱EFEM腔室114C中的淨化氣體,以增加從位於側儲存倉120中的基板235的除氣率。
風扇264因此通過側返回管道260a將氣體(例如,經過濾的氣體)抽吸到上氣室237中,在上氣室237將經過濾的氣體再循環回到EFEM腔室114C中。此外,如第1圖和第2A-2B圖所示(為了清晰,未示出裝載/卸載機器人),風扇264還從EFEM腔室114C將氣體抽吸通過至少三個返回管道160b-160e到上氣室237。氣室154具有位於鄰近於EFEM 114的側壁的開口,側儲存倉120耦合到該開口。公共氣室236經配置以耦接至通道142,通道142耦接至側返回管道。
存取門156耦接到EFEM 114的第二側壁114S2。然而,在一些實施例中,第二側儲存倉120a可以附接至EFEM 114的第二側壁114S2而不是存取門156。在一些實施例中,第二側儲存倉120a可以以與側儲存倉120被附接到EFEM 114的第一側壁114S1相同的方式而附接到EFEM 114的第二側壁114S2,包括相似或相同的耦接到公共氣室236。在此實施例中,來自第二側儲存倉120a的排氣透過側返回管道260e返回到上氣室237。
現參照第2A圖-第2C圖,複數個返回管道260b-260e(第2A圖)和260b-260d(第2B圖)中的每一個返回管道都具有耦接到EFEM腔室114C的相應的第一(下)端。複數個返回管道260b-260e(第2A圖)和260b-260d(第2B圖)中的每一個可以在EFEM腔室114C的內部沿著EFEM 114的前壁114F向上延伸,並且每個可以彼此平行,儘管其不一定要平行。複數個返回管道中的每一個還具有耦接到上氣室237的相應的第二(上)端。上氣室237可以水平地跨EFEM 114的上方延伸。上氣室237可具有進入EFEM腔室114C的一或多個開口240。開口可以包括微粒過濾器267且也可能是化學過濾器268,在微粒過濾器267且也可能是化學過濾器268的上方、下方、或之中。此外,可以在靠近開口240處設置包括穿孔的均質板141,以引起基本上層流的(laminar)氣體流入和流經EFEM腔室114C,並流入側儲存倉120(或第2C圖的倉120、120a)。應注意,其他實施例可以具有多於或少於所示的五個管道260a-260e。
應理解,如第1A圖所示,返回管道260a-260e可以與EFEM的前壁114F的內側並排延伸,並且一些返回管道可以在裝載口115之間(第2A圖中的虛線所示的裝載口115的位置)穿過。選擇性地,返回管道260a-260e可以在前壁114F的外部或外部和內部(未示出)的組合上延伸。
第3圖示出了EFEM 114的簡化的前視圖以及側儲存倉120的實施例的簡化的側視圖。如圖所示,複數個返回管道260a-260e中的一個返回管道都是每個被配置為沿著用於裝載口115(或基板載體116)的載體門開啟器119的第一垂直側向上延伸,並且複數個管道260a-260e中的另一個被配置為沿著載體門開啟器119(或基板載體116)的第二垂直側向上延伸。在一些實施例中,複數個返回管道260a-260e中的至少一個返回管道被配置為在兩個相鄰的載體門開啟器119(或基板載體116)的垂直側之間向上延伸。在一些實施例中,返回管道260a-260e可具有100mm 2至300mm 2或更大的橫截面面積,並且可由彎曲的金屬板或塑膠製成。
前管道式EFEM 114的一些優點包括:透過利用未使用的空間來減小EFEM的總深度、改善的流體流動(例如,透過提供更多的總流動面積來減小通過複數個返回管道260a-260e的阻力)、透過提供橫跨EFEM 114的前壁的基本上相等分佈的多個返回管道而在EFEM腔室114C內更直接和/或層狀地從頂至底流體流動、以及透過沿EFEM 114的前壁114F設置複數個管道260a-260e將第二側儲存倉120a附接到EFEM 114的第二(左)側壁114S2,而不是穿過EFEM 114的第二側壁114S2的門156的選擇。
第3圖中所示的側儲存倉120的實施例可包括第一門350和第二門352。第一門350和第二門352可與側儲存倉120的保持外殼351的鄰接部分形成密封。第一門350和第二門352可以是包括鉸鏈(未示出)的鉸鏈型門或可移除的面板門(例如,擰緊的密封的面板門),其使得能夠存取側儲存倉120的內部,但是當關閉時密封側儲存倉120。在一些實施例中,可以使用單個門來代替第一門350和第二門352。在第一門350和第二門352上或在端部上的合適的O形環,墊圈或其他密封件可以與側儲存倉120的保持外殼351形成氣密密封,其也可以對EFEM側壁114S1密封。在一些實施例中,第一門350可以形成第一密封隔室,第一密封隔室與由第二門352密封的第二密封隔室分開並且可分別與之密封。第一側儲存容器124可以被容納在第一密封隔室中,且第二側儲存容器224可以被容納在第二密封隔室中。其他類型的門可用於存取側儲存倉120的內部。
第4圖示出了側儲存倉120的局部剖視圖。在一些實施例中,側儲存倉120可包括安裝板416。保持外殼351的接口側453可以位於與第一門350和第二門352相對的位置。安裝板416可包括第一側416a和第二側416b,其可附接到保持外殼351的接口側453。特別地,安裝板416的第一側416a可以附接到保持外殼351的接口側453。安裝板416的第二側416b可以附接到位於EFEM 114的外部上的第一側壁114S1。如下所述,安裝板416可以在EFEM 114的EFEM腔室114C與側儲存倉120的內部之間形成氣密密封的接口。在一些實施例中,安裝板416可以與保持外殼351或EFEM 114一體地形成。
第一腔室230可包括耦接至第一側儲存容器124的第一排氣管道部分132,且第二腔室233可包括耦接至第二側儲存容器224的第二排氣管道部分234。第一排氣管道部分132可以耦接至第一外部排氣管道134A(第3圖),且第二排氣管道部分432可以耦接至第二外部排氣管道134B(第3圖)。
如圖所示,側儲存倉120可包括第一腔室230和第二腔室233。然而,側儲存倉的其他實施例可包括大量的腔室,例如三個或更多個垂直堆疊的腔室。在一些實施例中,側儲存倉120可包括單個腔室。複數個基板435可在第一側儲存容器124與EFEM 114間和/或第二側儲存容器224與EFEM 114之間轉移。例如,裝載/卸載機器人117可以在一或多個處理腔室108A-108F(第1圖)中處理之前和/或之後在EFEM 114和第一側儲存容器124之間以及在EFEM 114和第二側儲存容器224之間轉移基板435。在一些實施例中,第一側儲存容器124和/或第二側儲存容器224可各自容納二十六個基板435。第一側儲存容器124和第二側儲存容器224可在其儲存期間將基板435保持在特定的環境條件下。例如,如上所述,基板435可以暴露於EFEM 114內的淨化氣體下。可以控制環境條件以使其暴露於小於水和/或氧的預先選擇的閾值,或者如上所述的其他條件,如指定的流率。選擇性地,加熱器250可以操作以加熱再循環淨化氣體。
第5圖示出了第一側儲存容器124的側截面圖。第二側儲存容器224(第2圖)可以與第一側儲存容器124基本相似或相同。第一側儲存容器124可具有倉開口516,其位於與面板開口502相鄰以形成進入第一側儲存容器124內部的單個開口。面板開口502可以具有與倉開口516相同的近似尺寸,並且一起提供開口126。倉凹部518可以形成在上凸緣524中並且可以圍繞倉開口516的周邊延伸。倉密封件520可以被容納於倉凹部518內。倉密封件520防止氣體洩漏通過第一側儲存容器124的前部515的接口和安裝板416的接口部分500。倉密封件520可以是與倉凹部518和接口部分500接觸的柔韌材料,例如基於彈性體的材料。在一些實施例中,倉密封件520是可變形的撓性管,以在倉凹部518和接口部分500之間形成密封。可以使用其他類型的密封件來密封第一側儲存容器124和接口部分500。
安裝板416的第二側416b可具有形成在其中的面板凹部525,面板凹部525圍繞面板開口502的周邊延伸。面板密封件526可容納在面板凹部525內,以防止安裝板416和EFEM 114的第一側壁114S1之間的氣體交換。面板密封件526可以是平坦密封件,並且可以由例如三元乙丙(ethylene propylene diene monomer,EPDM)橡膠製成。在一些實施例中,面板密封件526可為約11 mm深並且具有約5.8 mm的壓縮。可以使用其他類型的密封機構和材料來在第一側壁114S1和安裝板416之間形成密封。
第一側儲存容器124的內部可包括複數個基板把持器530,其被配置為在其上支撐基板435(第4圖)。基板把持器530可以是形成在第一側儲存容器124的側面上的垂直堆疊的架子,並且可以包括頂基板把持器532和底基板把持器534。基板保持器530可以彼此間隔開一定距離,以使得氣體能夠在基板把持器530接收並支撐在基板保持器530上的基板435周圍(例如,在上方和下方)流動,並且允許裝載/卸載機器人117適當地存取。特別地,透過面板開口502、接口開口504、和倉開口126而從EFEM腔室114C(第1圖)進入第一側儲存容器124的內部的氣體可圍繞基板把持器530容納的基板435流動。因此,基板435被維持在期望的環境條件下。
第一側儲存容器124的後部540可包括將第一側儲存容器124的氣室128與排氣管道132耦接的排氣口544。與側返回管道160a耦接的排氣管道132可被配置以使得上述氣體能夠圍繞接收在基板把持器530上的基板435流動。排氣氣室128可具有在頂基板把持器532和底基板把持器534之間垂直延伸的高度。排氣氣室128可具有寬度大約是基板435的寬度。例如,對於300 mm的晶圓,寬度可以是大約250 mm至350 mm。
排氣擋板564可以在基板435與排氣氣室128之間的氣體路徑中。第6圖示出了排氣擋板564的實施例的正視圖。排氣擋板564可包括複數個孔660(標示出一些),該複數個孔660平衡通過第一側儲存容器124的氣流,使得每個基板接收基本相同的流速。孔660可在排氣擋板564的底部具有小直徑D61,而在排氣擋板564的頂部具有大直徑D62。具有較小直徑的孔660可以位於排氣口544附近以平衡氣流。較大的直徑D62可以在15 mm至17 mm之間,並且在一些實施例中,較大的直徑可以為約16 mm。孔660可以佈置為二維陣列,其中孔660的直徑從排氣擋板564的頂部向排氣擋板564的底部逐漸減小。在一些實施例中,孔660的列的相鄰的對具有相同的直徑。例如,第一對的列662可具有孔660,該等孔具有第一直徑,而第二對的列664可具有孔660,該等孔具有第二較大直徑。
第7圖示出了排氣擋板764的另一實施例,該排氣擋板764可用於側儲存容器中,其中排氣口位於側儲存倉的垂直距離的大約一半處。如第7圖所示,具有較小直徑的孔位於中央的排氣口的位置附近,使通過側儲存倉120的氣流變得平衡。
通過第一側儲存容器124的內部的氣流進入倉開口516,流過支撐在基板把持器530上的基板435,流過排氣擋板564,進入排氣氣室128,並經由排氣口544排出。氣流配置使容納在基板把持器530中的基板435能夠處於與EFEM 114相同的環境條件下,但是在一些實施例中則實現了更大的流速。
在第二側儲存容器224中可能發生相同的流動,其中廢氣穿過第二排氣管道234(第4圖)並進入第二外部排氣管道部分134B(第2圖和第3圖)。來自第一外部排氣管道134A和第二外部排氣管道134B的廢氣進入公共氣室236(第2圖),在該處廢氣可由加熱器250加熱。如上所述,廢氣可進一步由化學過濾器248過濾以去除某些化學物質。
第8圖示出了根據一或多個實施例的在電子裝置製造組件中操作EFEM的方法800。在處理方塊802,方法800可包括為設備前端模組提供連接至設備前端模組腔室的上部氣室,該設備前端模組腔室與複數個裝載口接口。
在處理方塊804,方法800可包括使氣體從上部氣室流到設備前端模組腔室。例如,參照至第2A圖、第2B圖、和第3圖,淨化氣體可以從上部氣室237流到EFEM腔室114C內部。一些淨化氣流在EFEM 114的側壁114S1處進入與EFEM 114耦接的側儲存倉120。
並且在處理方塊806處,方法800可以包括通過位於裝載口之間的一或多個返回管道將至少一些氣體從EFEM腔室114C再循環到上部氣室。例如,每個返回管道可沿著EFEM 114的前壁114F的內表面(或外表面或內表面和外表面的組合)垂直向上延伸到EFEM的上部氣室237中,且接著向下傾斜進入回到EFEM腔室114C。例如,參照至第2A圖、第2B圖、和第3圖,經過濾的氣體可以從公共氣室236流過前壁114F旁的複數個返回管道260a-260e,進入上部氣室237,然後流回EFEM腔室114C。
在操作中,從淨化氣體供應118A提供到上部氣室237的一些淨化氣體可以通過基本閥172去除。在一些實施例中,可以以相對緩慢的恆定速率來提供進入EFEM 114的新的淨化氣體的流動,就像通過基本閥172流出的排氣的流動一樣。例如,可以以一速率從EFEM 114交換淨化氣體,其中例如僅每隔幾個小時或更短的時間才交換存在於EFEM 114中的全部氣體體積。可以使用其他交換率。
前文描述闡述了許多特定細節,例如特定系統、部件、方法等的範例,以便提供對本公開的許多實施例的良好理解。然而,對於所屬技術領域具有通常知識者將顯而易見的是,可以在沒有這些具體細節的情況下實踐本公開的至少一些實施例。在其他情況下,未詳細描述公知的部件或方法,或者是以簡單的圖式格式呈現公知的部件或方法,以避免不必要地混淆本公開。因此,闡述的具體細節僅是示例性的。特定實施方式可以與這些範例細節不同,並且仍然可預期是在本公開的範疇中。
在整個說明書中,對「一個實施例」或「一實施例」的參照意指結合該實施例描述的特定特徵、結構、或特性包括在至少一個實施例中。因此,在整個說明書中各處出現的片語「在一個實施例中」或「在一實施例中」不必然都指相同實施例。此外,用語「或」意在表示包括性的「或」而不是排除性的「或」。當在本文中使用用語「約」或「大約」時,這意在表示所給出的標稱值精確度在±10%以內。
儘管以特定順序示出和描述了本文方法的操作,但是可以改變每種方法的操作順序,從而可以以相反的順序實行某些操作,從而可以至少部分地執行某些操作,與其他操作同時進行。在另一實施例中,不同操作的指令或子操作可以以間歇和/或交替的方式進行。
應理解,以上描述旨在說明而非限制。透過閱讀和理解以上描述,許多其他實施例對於本領域技術人員將是顯而易見的。因此,本公開的範圍應參考隨附申請專利範圍以及該等請求項主張的等效的全部範圍來決定。
100:電子裝置製造組件 101:主機殼體 102:傳送腔室 102A-102D:面 103:傳送機器人 106:控制器 108A-108F:處理腔室 112:裝載閘設備 112A-112B:裝載閘腔室 114:EFEM 114B:底部 114C:EFEM腔室 114F:前壁 114R:後壁 114S1:側壁 114S2:側壁 114T:頂部 115:裝載口 116:基板載體 117:裝載/卸載機器人 118:環境控制系統 118A:淨化氣體供應 119:載體門開啟器 120:側儲存倉 120a:側儲存倉 124:側儲存容器 126:開口 128:排氣氣室 130:感測器 132:排氣管道 134A:第一外部 134B:第二外部 134B:第二外部 136:蓋 141:均質板 142:通道 154:氣室 156:存取門 160b-160e:返回管道 172:基本閥 224:側儲存容器 226:開口 228:排氣氣室 230:第一腔室 233:第二腔室 234:管道 235:基板 236:公共氣室 237:上氣室 240:開口 248:過濾器 250:加熱器 260a-260e:側返回管道 264:風扇 267:微粒過濾器 350:門 351:外殼 352:門 416:安裝板 416a:第一側 416b:第二側 432:排氣管道 435:基板 453:接口側 500:接口部分 502:面板開口 504:接口開口 515:前部 516:倉開口 518:倉凹部 520:倉密封件 524:上凸緣 525:面板凹部 526:面板密封件 530:基板把持器 532:頂基板把持器 534:底基板把持器 544:排氣口 564:排氣擋板 660:孔 662:列 664:列 764:排氣擋板 800:方法 802:處理方塊 804:處理方塊 806:處理方塊
以下描述的圖式是出於說明性的目的,且不一定按比例繪製。圖式並不旨在以任何方式限制本文的範疇。
第1圖示出根據本公開的一或多個實施例的電子裝置製造組件的示意性頂視圖。
第2A圖示出了根據本公開的一或多個實施例的包括前返回管道的設備前端模組(EFEM)的示意圖的前視截面圖。
第2B圖示出了根據本公開的一或多個實施例的第2A圖的EFEM的左側正視圖。
第2C圖示出了根據本公開的一或多個實施例的設備前端模組(EFEM)的示意圖的前視截面圖,該設備前端模組包括前返回管道和兩個側儲存倉。
第3圖示出根據本公開的一或多個實施例的EFEM和耦接至EFEM的側儲存倉的前視圖。
第4圖示出根據本公開的一或多個實施例的耦接至EFEM的側儲存倉的局部等距視圖,其中側儲存倉的壁和門被移除。
第5圖示出根據本公開的一或多個實施例的在EFEM和側儲存倉之間的範例接口的側截面圖,其中側儲存倉具有側儲存容器位於其中。
第6圖示出根據本公開的一或多個實施例的排氣擋板的示意前視圖。
第7圖示出根據本公開的一或多個實施例的另一排氣擋板的示意前視圖。
第8圖示出根據本公開的一或多個實施例的操作EFEM的方法的流程圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
114:EFEM
114B:底部
114C:EFEM腔室
114S1:側壁
114S2:側壁
114T:頂部
115:裝載口
117:裝載/卸載機器人
118A:淨化氣體供應
120:側儲存倉
120a:側儲存倉
124:側儲存容器
126:開口
128:排氣氣室
132:排氣管道
142:通道
172:基本閥
224:側儲存容器
226:開口
228:排氣氣室
230:第一腔室
233:第二腔室
234:管道
236:公共氣室
237:上氣室
248:過濾器
250:加熱器
260a-260e:側返回管道
264:風扇

Claims (20)

  1. 一種設備前端模組,包括: 一設備前端模組腔室;  一上部氣室,在該設備前端模組的一頂部,且包括進入該設備前端模組腔室的一開口;和  複數個返回管道,該複數個返回管道在該設備前端模組腔室的一底部與該上部氣室之間耦接,該複數個返回管道提供一氣體回流路徑,該氣體回流路徑使得氣體能夠從該設備前端模組腔室再循環到該上部氣室。
  2. 如請求項1所述之設備前端模組,其中該複數個返回管道包括提供該氣體回流路徑的至少三個返回管道。
  3. 如請求項1所述之設備前端模組,進一步包括一前壁、一後壁、和兩個側壁,該前壁包括複數個裝載口,且該後壁經配置以耦接至一裝載閘設備,其中該設備前端模組腔室形成於該前壁、該後壁、和該兩個側壁之間。
  4. 如請求項3所述之設備前端模組,其中該複數個返回管道沿著該前壁延伸。
  5. 如請求項4所述之設備前端模組,其中,該複數個返回管道中的至少一些返回管道被配置以在兩個相鄰的載體門開啟器的側面之間向上延伸。
  6. 如請求項3所述之設備前端模組,其中一第一側儲存倉耦接至該兩個側壁中的至少一個側壁,該設備前端模組進一步包括一系列風扇該系列風扇位於該上部氣室之中以從該第一側儲存倉抽吸排氣到該複數個返回管道中。
  7. 如請求項6所述之設備前端模組,其中該第一側儲存倉包括一排氣通道,該排氣通道透過一側返回管道耦接至該上部氣室。
  8. 如請求項3所述之設備前端模組,進一步包括:一第一側儲存倉及一第二側儲存倉,該第一側儲存倉耦接至該兩個側壁中的一第一側壁,該第二側儲存倉耦接至該兩個側壁中的一第二側壁。
  9. 一種電子裝置製造組件,包括: 一設備前端模組,包括:   一設備前端模組腔室;  一上部氣室,在該設備前端模組的一頂部,且包括進入該設備前端模組腔室的一開口,該上部氣室提供氣體進入該設備前端模組腔室;和  複數個返回管道,該複數個返回管道在該設備前端模組腔室的一底部與該上部氣室之間耦接,該複數個返回管道提供一氣體回流路徑,該氣體回流路徑使得氣體能夠從該設備前端模組腔室再循環到該上部氣室。
  10. 如請求項9所述之電子裝置製造組件,其中該複數個返回管道中的至少一些返回管道被配置以沿著該設備前端模組的一前壁的一內表面向上延伸。
  11. 如請求項9所述之電子裝置製造組件,其中該複數個返回管道中的至少一些返回管道被配置以沿著該設備前端模組的一前壁的一外表面向上延伸。
  12. 如請求項9所述之電子裝置製造組件,進一步包括: 一第一側儲存倉,經由該設備前端模組的一接口開口耦接到該設備前端模組,該第一側儲存倉包括:  一安裝板,具有一第一側,該第一側附接並提供有至該設備前端模組的一氣密密封的接口,該安裝板包括鄰近於該接口開口的一面板開口;及   一側儲存容器,密封地附接至該安裝板的一第二側,該側儲存容器具有對應於該面板開口的一倉開口,其中該氣體穿過該接口開口、該面板開口、和該倉開口而流入該側儲存容器。
  13. 如請求項12所述之電子裝置製造組件,其中該安裝板包括一凹部,該凹部圍繞該安裝板的一周邊延伸,進一步包括一面板密封件,該面板密封件位於靠該凹部以防止該安裝板和該設備前端模組的一側壁之間的一氣體交換。
  14. 如請求項12所述之電子裝置製造組件,進一步包括一系列風扇該系列風扇位於該上部氣室之中以從該第一側儲存倉抽吸排氣到該複數個返回管道中。
  15. 如請求項12所述之電子裝置製造組件,其中該第一側儲存倉進一步包括: 一或多個腔室,該一或多個腔室中的每個腔室具有位於鄰近於該接口開口的一腔室開口;   至少兩個側儲存容器,以包括該側儲存容器,位於該一或多個腔室中,該一或多個側儲存容器中的每個側儲存容器經配置以從該設備前端模組腔室接收一或多個基板;及  一公共氣室,廢棄透過該一或多個側儲存容器饋送到該公共氣室中。
  16. 如請求項12所述之電子裝置製造組件,進一步包括一第二側儲存倉,該第二側儲存倉經由該設備前端模組的一第二接口開口耦接至該設備前端模組,該第二側儲存倉經配置以從該設備前端模組腔室接收一或多個基板。
  17. 如請求項12所述之電子裝置製造組件,進一步包括一控制器,該控制器經配置以控制被引入該設備前端模組腔室的氣體的一流率,以達到該設備前端模組腔室的一預定的環境條件,其中進入該第一側儲存倉的該氣體的該流率比進入該設備前端模組腔室更高。
  18. 一種操作一設備前端模組的方法,該方法包括以下步驟: 為該設備前端模組提供一上部氣室,該上部氣室經由該上部氣室和一設備前端模組腔室之間的一開口連接到該設備前端模組腔室;  將氣體從該上部氣室流到該設備前端模組腔室;和  將至少一些該氣體從該設備前端模組腔室穿過複數個返回管道再循環到該上部氣室,該複數個返回管道在該設備前端模組腔室的一底部和該上部氣室之間繞行。
  19. 如請求項18所述之方法,進一步包括以下步驟:將一些該氣體從該設備前端模組腔室以比該氣體進入該設備前端模組腔室時更高的流率流入一第一側儲存倉。
  20. 如請求項19所述之方法,進一步包括以下步驟:將氣體從該第一側儲存倉穿過一第一側返回管道排放到該上部氣室。
TW111142503A 2018-10-26 2019-10-25 前管道設備前端模組、側儲存倉、及其操作方法 TW202314930A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862751514P 2018-10-26 2018-10-26
US62/751,514 2018-10-26
US16/660,057 US11373891B2 (en) 2018-10-26 2019-10-22 Front-ducted equipment front end modules, side storage pods, and methods of operating the same
US16/660,057 2019-10-22

Publications (1)

Publication Number Publication Date
TW202314930A true TW202314930A (zh) 2023-04-01

Family

ID=70325553

Family Applications (2)

Application Number Title Priority Date Filing Date
TW111142503A TW202314930A (zh) 2018-10-26 2019-10-25 前管道設備前端模組、側儲存倉、及其操作方法
TW108138612A TWI785279B (zh) 2018-10-26 2019-10-25 前管道設備前端模組、側儲存倉、及其操作方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW108138612A TWI785279B (zh) 2018-10-26 2019-10-25 前管道設備前端模組、側儲存倉、及其操作方法

Country Status (6)

Country Link
US (2) US11373891B2 (zh)
JP (1) JP7365408B2 (zh)
KR (1) KR102577683B1 (zh)
CN (1) CN112970099A (zh)
TW (2) TW202314930A (zh)
WO (1) WO2020086709A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11244844B2 (en) * 2018-10-26 2022-02-08 Applied Materials, Inc. High flow velocity, gas-purged, side storage pod apparatus, assemblies, and methods
US11373891B2 (en) 2018-10-26 2022-06-28 Applied Materials, Inc. Front-ducted equipment front end modules, side storage pods, and methods of operating the same
US11610794B2 (en) * 2018-10-26 2023-03-21 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for operating the same
US11189511B2 (en) * 2018-10-26 2021-11-30 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for operating EFEMs
KR102202463B1 (ko) * 2019-03-13 2021-01-14 세메스 주식회사 기판 처리 장치 및 방법
KR102208017B1 (ko) * 2019-08-14 2021-01-27 로체 시스템즈(주) 기판 반송 장치
US20230105742A1 (en) * 2021-10-06 2023-04-06 Applied Materials, Inc. Equipment front end modules with induced gas mixing, and methods of use thereof
KR20230120307A (ko) * 2022-02-09 2023-08-17 주식회사 저스템 Efem의 버퍼 챔버 장치 및 이를 구비한 반도체 공정장치

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004179519A (ja) 2002-11-28 2004-06-24 Tokyo Ohka Kogyo Co Ltd 基板処理装置
JP4816545B2 (ja) 2007-03-30 2011-11-16 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
TWI475627B (zh) 2007-05-17 2015-03-01 Brooks Automation Inc 基板運送機、基板處理裝置和系統、於基板處理期間降低基板之微粒污染的方法,及使運送機與處理機結合之方法
WO2009079636A2 (en) 2007-12-18 2009-06-25 Entegris, Inc. Methods and apparatuses for controlling contamination of substrates
US10090179B2 (en) 2011-06-28 2018-10-02 Brooks Automation, Inc. Semiconductor stocker systems and methods
JP5603314B2 (ja) 2011-12-01 2014-10-08 東京エレクトロン株式会社 搬送装置及び基板処理システム
KR101215962B1 (ko) 2012-07-30 2012-12-27 이프로링크텍(주) Efem의 버퍼 스토리지 박스
TW201413780A (zh) 2012-09-24 2014-04-01 Eugene Technology Co Ltd 煙氣移除設備及基板處理設備
CN105453246A (zh) 2013-08-12 2016-03-30 应用材料公司 具有工厂接口环境控制的基板处理系统、装置和方法
US9272315B2 (en) 2013-10-11 2016-03-01 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for controlling gas flow in enclosure
KR101682473B1 (ko) 2013-10-18 2016-12-05 삼성전자주식회사 사이드 스토리지 및 이를 구비하는 반도체 소자 제조 설비
JP6349750B2 (ja) 2014-01-31 2018-07-04 シンフォニアテクノロジー株式会社 Efem
TWI749397B (zh) 2013-12-13 2021-12-11 日商昕芙旎雅股份有限公司 設備前端模組(efem)及半導體製造裝置
KR102162366B1 (ko) 2014-01-21 2020-10-06 우범제 퓸 제거 장치
US9847240B2 (en) 2014-02-12 2017-12-19 Axcelis Technologies, Inc. Constant mass flow multi-level coolant path electrostatic chuck
US10510570B2 (en) * 2014-10-24 2019-12-17 Applied Materials, Inc. Systems, apparatus, and methods for purging a substrate carrier at a factory interface
US9881826B2 (en) 2014-10-24 2018-01-30 Lam Research Corporation Buffer station with single exit-flow direction
WO2016085622A1 (en) 2014-11-25 2016-06-02 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
KR101637498B1 (ko) 2015-03-24 2016-07-07 피코앤테라(주) 웨이퍼 수납용기
JP6450653B2 (ja) 2015-06-24 2019-01-09 東京エレクトロン株式会社 格納ユニット、搬送装置、及び、基板処理システム
SG11201800143RA (en) 2015-08-04 2018-02-27 Hitachi Int Electric Inc Substrate processing device, semiconductor device manufacturing method, and recording medium
US20180286726A1 (en) 2015-10-05 2018-10-04 Brooks Ccs Gmbh Humidity control in semiconductor systems
US10515834B2 (en) * 2015-10-12 2019-12-24 Lam Research Corporation Multi-station tool with wafer transfer microclimate systems
JP6555091B2 (ja) * 2015-11-10 2019-08-07 シンフォニアテクノロジー株式会社 ロボット搬送装置
JP6679906B2 (ja) 2015-12-11 2020-04-15 Tdk株式会社 Efem
JP6613864B2 (ja) * 2015-12-14 2019-12-04 Tdk株式会社 ミニエンバイロメント装置
JP6851075B2 (ja) * 2016-09-15 2021-03-31 ユニチカ株式会社 成型用メッシュ織物の製造方法
KR20180045316A (ko) 2016-10-25 2018-05-04 삼성전자주식회사 설비 전방 단부 모듈 및 이를 포함하는 반도체 제조 장치
US10159169B2 (en) 2016-10-27 2018-12-18 Applied Materials, Inc. Flexible equipment front end module interfaces, environmentally-controlled equipment front end modules, and assembly methods
KR102618491B1 (ko) 2016-10-31 2023-12-28 삼성전자주식회사 기판 이송 장치
US10453727B2 (en) * 2016-11-10 2019-10-22 Applied Materials, Inc. Electronic device manufacturing load port apparatus, systems, and methods
KR20180074276A (ko) 2016-12-23 2018-07-03 피코앤테라(주) 이에프이엠
US10446428B2 (en) * 2017-03-14 2019-10-15 Applied Materials, Inc. Load port operation in electronic device manufacturing apparatus, systems, and methods
JP7001910B2 (ja) 2017-03-31 2022-01-20 シンフォニアテクノロジー株式会社 ロボット搬送装置
US10566216B2 (en) 2017-06-09 2020-02-18 Lam Research Corporation Equipment front end module gas recirculation
US10388547B2 (en) 2017-06-23 2019-08-20 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for processing substrates
WO2018236544A1 (en) 2017-06-23 2018-12-27 Applied Materials, Inc. DETACHABLE SIDE STORAGE NACELLE APPARATUS, HEATED SIDE STORAGE NACELLE APPARATUS, SYSTEMS AND METHODS
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10763134B2 (en) 2018-02-27 2020-09-01 Applied Materials, Inc. Substrate processing apparatus and methods with factory interface chamber filter purge
KR102100775B1 (ko) * 2018-03-14 2020-04-14 우범제 이에프이엠
JP7037049B2 (ja) 2018-03-15 2022-03-16 シンフォニアテクノロジー株式会社 Efem
JP7100243B2 (ja) * 2018-04-19 2022-07-13 シンフォニアテクノロジー株式会社 排気ノズルユニット、ロードポート、及びefem
KR102592920B1 (ko) * 2018-07-16 2023-10-23 삼성전자주식회사 로드락 모듈 및 이를 포함하는 반도체 제조 장치
US11749537B2 (en) 2018-10-26 2023-09-05 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for operating equipment front end modules
US11189511B2 (en) 2018-10-26 2021-11-30 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for operating EFEMs
US11373891B2 (en) 2018-10-26 2022-06-28 Applied Materials, Inc. Front-ducted equipment front end modules, side storage pods, and methods of operating the same
US11610794B2 (en) 2018-10-26 2023-03-21 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for operating the same
US11508593B2 (en) * 2018-10-26 2022-11-22 Applied Materials, Inc. Side storage pods, electronic device processing systems, and methods for operating the same
US11244844B2 (en) 2018-10-26 2022-02-08 Applied Materials, Inc. High flow velocity, gas-purged, side storage pod apparatus, assemblies, and methods

Also Published As

Publication number Publication date
JP7365408B2 (ja) 2023-10-19
WO2020086709A8 (en) 2021-06-03
US11373891B2 (en) 2022-06-28
KR20210068576A (ko) 2021-06-09
WO2020086709A1 (en) 2020-04-30
CN112970099A (zh) 2021-06-15
TWI785279B (zh) 2022-12-01
KR102577683B1 (ko) 2023-09-11
JP2022505473A (ja) 2022-01-14
TW202107600A (zh) 2021-02-16
US20220293444A1 (en) 2022-09-15
US20200135523A1 (en) 2020-04-30

Similar Documents

Publication Publication Date Title
TWI785279B (zh) 前管道設備前端模組、側儲存倉、及其操作方法
TWI757936B (zh) 用於處理基板的設備、系統和方法
TWI717034B (zh) 側儲存倉、電子裝置處理系統、和處理基板的方法
JP3218488B2 (ja) 処理装置
US11610794B2 (en) Side storage pods, equipment front end modules, and methods for operating the same
US11749537B2 (en) Side storage pods, equipment front end modules, and methods for operating equipment front end modules
CN112970098B (zh) 侧存储舱、电子装置处理系统及其操作方法
TWI778300B (zh) 側面儲存盒、設備前端模組、及用於操作efem的方法
TW201025479A (en) Load lock chamber for large area substrate processing system
TWI841616B (zh) 側儲存倉、設備前端模組、和其操作方法
CN117276150B (zh) 可索引侧储存仓设备、加热的侧储存仓设备、系统和方法
TW202333265A (zh) 具有誘導氣體混合的設備前端模組及其使用方法
TW202214908A (zh) 批量晶圓脫氣室以及對工廠介面和基於真空的主機架的整合