JP2022122901A - 処理構成要素のrfid部品認証および追跡 - Google Patents

処理構成要素のrfid部品認証および追跡 Download PDF

Info

Publication number
JP2022122901A
JP2022122901A JP2022082744A JP2022082744A JP2022122901A JP 2022122901 A JP2022122901 A JP 2022122901A JP 2022082744 A JP2022082744 A JP 2022082744A JP 2022082744 A JP2022082744 A JP 2022082744A JP 2022122901 A JP2022122901 A JP 2022122901A
Authority
JP
Japan
Prior art keywords
processing
substrate
polishing
information
processing component
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2022082744A
Other languages
English (en)
Other versions
JP7439164B2 (ja
Inventor
アール ハンター
Hunter Earl
ラッセル デューク
Duke Russell
アミターブ プリー
Puri Amitabh
スティーブン エム リーディ
M Reedy Steven
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022122901A publication Critical patent/JP2022122901A/ja
Application granted granted Critical
Publication of JP7439164B2 publication Critical patent/JP7439164B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67294Apparatus for monitoring, sorting or marking using identification means, e.g. labels on substrates or labels on containers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/04Programme control other than numerical control, i.e. in sequence controllers or logic controllers
    • G05B19/042Programme control other than numerical control, i.e. in sequence controllers or logic controllers using digital processors
    • G05B19/0423Input/output
    • G05B19/0425Safety, monitoring
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/4183Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by data acquisition, e.g. workpiece identification
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06KGRAPHICAL DATA READING; PRESENTATION OF DATA; RECORD CARRIERS; HANDLING RECORD CARRIERS
    • G06K7/00Methods or arrangements for sensing record carriers, e.g. for reading patterns
    • G06K7/10Methods or arrangements for sensing record carriers, e.g. for reading patterns by electromagnetic radiation, e.g. optical sensing; by corpuscular radiation
    • G06K7/10009Methods or arrangements for sensing record carriers, e.g. for reading patterns by electromagnetic radiation, e.g. optical sensing; by corpuscular radiation sensing by radiation using wavelengths larger than 0.1 mm, e.g. radio-waves or microwaves
    • G06K7/10366Methods or arrangements for sensing record carriers, e.g. for reading patterns by electromagnetic radiation, e.g. optical sensing; by corpuscular radiation sensing by radiation using wavelengths larger than 0.1 mm, e.g. radio-waves or microwaves the interrogation device being adapted for miscellaneous applications
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L63/00Network architectures or network communication protocols for network security
    • H04L63/04Network architectures or network communication protocols for network security for providing a confidential data exchange among entities communicating through data packet networks
    • H04L63/0428Network architectures or network communication protocols for network security for providing a confidential data exchange among entities communicating through data packet networks wherein the data content is protected, e.g. by encrypting or encapsulating the payload
    • H04L63/0492Network architectures or network communication protocols for network security for providing a confidential data exchange among entities communicating through data packet networks wherein the data content is protected, e.g. by encrypting or encapsulating the payload by using a location-limited connection, e.g. near-field communication or limited proximity of entities
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L9/00Cryptographic mechanisms or cryptographic arrangements for secret or secure communications; Network security protocols
    • H04L9/32Cryptographic mechanisms or cryptographic arrangements for secret or secure communications; Network security protocols including means for verifying the identity or authority of a user of the system or for message authentication, e.g. authorization, entity authentication, data integrity or data verification, non-repudiation, key authentication or verification of credentials
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04WWIRELESS COMMUNICATION NETWORKS
    • H04W12/00Security arrangements; Authentication; Protecting privacy or anonymity
    • H04W12/06Authentication
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B1/00Processes of grinding or polishing; Use of auxiliary equipment in connection with such processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/27Work carriers
    • B24B37/30Work carriers for single side lapping of plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B7/00Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor
    • B24B7/20Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor characterised by a special design with respect to properties of the material of non-metallic articles to be ground
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31322Work still to be done on workpiece
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Computer Security & Cryptography (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Signal Processing (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Electromagnetism (AREA)
  • General Health & Medical Sciences (AREA)
  • Artificial Intelligence (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Theoretical Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Computing Systems (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Burglar Alarm Systems (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

【課題】電子デバイス製造プロセスで使用される基板処理システムを提供する。【解決手段】本発明は、半導体チップの製造などの電子デバイスの製造のために基板処理システム上で使用される消耗構成要素または非消耗構成要素を含む処理構成要素を検出、認証、及び追跡する方法および装置を提供する。本発明の半導体処理システム及び/又はその処理構成要素は、無線通信装置、例えば無線周波識別(RFID)デバイスまたは他のデバイスなどの遠隔通信デバイスを含み、遠隔通信デバイスは、1つ又は複数の処理構成要素又は処理構成要素アセンブリ内に埋め込まれ、それらの中に配置され、それらの上に配置され、それらの上に位置し、もしくは他の方法でそれらに結合されており、かつ/又は半導体処理システム自体に一体化されている。処理構成要素は、半導体処理ツール内で使用される単一の構成要素(部品)または構成要素(部品)のアセンブリを含むことができる。【選択図】図1B

Description

本開示の実施形態は、一般に、基板処理システム内で消耗構成要素などの処理構成要素を認証、追跡、および使用する装置および方法に関する。本明細書に記載する実施形態はさらに、基板処理システム内かつ/または基板処理システムからデータを収集するシステムおよび技法、ならびに電子デバイス製造プロセスで使用される基板処理システム内の処理構成要素に関する。
半導体チップの製造では、複数のタイプの基板処理システムが必要とされる。典型的には、基板処理システムは、その動作のために、消耗構成要素(使用により摩耗しまたは使い尽くされ、したがって定期的な交換および/または補充を必要とする構成要素)ならびに非消耗構成要素(典型的には、使用によって使い尽くされたり枯渇したりしない処理構成要素/部品)などの複数の処理構成要素を必要とする。多くの場合、処理構成要素は、1組の特定の特徴を有しており、それらの特徴に関する知識は、基板処理システム内でそれらを最適に使用するために重要である。
本明細書における処理システムの一例は、化学機械研磨(CMP)システムである。CMPは一般に、高密度集積回路の製造において、基板上に堆積させた材料層を平坦化または研磨するために使用され、これは、平坦化すべき材料層を研磨プラテン上に取り付けられた研磨パッドに接触させ、研磨流体および研磨粒子の存在下で研磨パッドおよび/または基板(したがって、基板上の材料層の表面)を動かすことによって行われる。CMPシステムは、研磨パッド、基板キャリアアセンブリおよびそれらの個々の構成要素、ダイヤモンド調整ディスク、ならびに使用とともに摩耗し、定期的な交換および/または補充を必要とする他の構成要素など、複数の消耗構成要素を必要とする。認証されていない消耗構成要素、たとえば偽造構成要素、無資格の供給業者および/もしくは無許可の供給業者からの消耗構成要素、または特有のプロセスに不適合な消耗構成要素の使用は、安全でない処理状態および/または信頼できない研磨結果を招く可能性があるため、研磨プロセスでは、認証された消耗構成要素、たとえば資格のある供給業者および/または正規の供給業者からの消耗構成要素の使用が肝要である。加えて、CMPシステム上でかつ/またはCMPシステムとともに使用される個々の消耗構成要素は、消耗構成要素および/またはそれに対応するCMPシステム部品を最適および/または安全に使用するためにCMPシステムを構成する必要があり得る特定の特徴を有することが多い。
研磨パッド、基板キャリアアセンブリおよびそれらの個々の構成要素、ならびに他の従来のCMPシステム処理構成要素には、障害の検出、本物および/または正規の構成要素の認証、システムまたは構成要素部品に関する有用なデータの追跡、プロセス条件または有用なデータの感知、ならびにCMPプロセスの様子または他の有用なプロセス情報の監視などの機能を可能にするためのデバイスおよび/または方法がないことが多い。
したがって、プロセスの再現性および信頼性を保証し、それによってデバイスの収率を改善し、処理システムの安全な動作を確実にするために、処理構成要素の認証および/または追跡を提供するデバイスおよび方法が、当技術分野で必要とされている。また、部品の品質およびシステムの信頼性を保証するために、ツール供給業者の機器処理構成要素/部品を検出および認証することができるシステム、消耗部品、および他の装置が必要とされている。改善された研磨性能および望ましいプロセス感知能力を提供する消耗構成要素を含む電子デバイス製造基板処理システムおよび処理構成要素が必要とされている。加えて、そのようなデバイスを製造する方法が必要とされている。
本開示の実施形態は、一般に、電子デバイス製造プロセスで使用される基板処理システムに関する。より詳細には、本明細書に記載する実施形態は、化学機械研磨(CMP)システム、化学気相堆積(CVD)チャンバ、物理的気相堆積(PVD)チャンバ、イオン注入チャンバ、エッチ処理システムおよび/またはチャンバ、フォトリソグラフィ処理システム、基板薄型化システム(たとえば、バックグラインド)、それに関連する処理システム、ならびに半導体デバイスなどの電子デバイスの製造で使用される他の処理システムなど、電子デバイス製造プロセスで使用される基板処理システム内で、それらの上で、またはそれらとともに使用される処理構成要素の遠隔追跡および認証に関する。
一実施形態では、基板処理システム内に配置された処理構成要素を使用して基板を処理する方法が提供される。この方法は、呼掛器を使用して、基板処理システム内に配置された処理構成要素に結合された遠隔通信デバイスから1つまたは複数の信号を受信することを含む。本明細書では、1つまたは複数の信号は、処理構成要素に関する情報を含む。この方法は、コントローラを使用して、データベース内に記憶されている処理構成要素識別子と識別子情報を比較し、処理構成要素を認証することと、コントローラを使用して、処理構成要素の認証に基づいて、1つまたは複数の基板処理動作を実行することとをさらに含む。
別の実施形態では、基板処理システム内に配置された処理構成要素を使用して基板を処理する方法が、RFIDタグを備える遠隔通信デバイスへ1つまたは複数の信号を送達することを含む。本明細書では、遠隔通信デバイスは、基板処理システム内の処理構成要素上に配置される。この方法は、1つまたは複数の信号内で受信した情報を遠隔通信デバイスのメモリ内に記憶してから、処理構成要素を基板処理システムから取り外すことと、処理構成要素が基板処理システム内に再設置された後、記憶されている情報の少なくとも一部分を遠隔通信デバイスから受信することとをさらに含む。
別の実施形態では、基板処理システム内に配置された処理構成要素を使用して基板を処理する方法が、呼掛器を介して、処理構成要素に結合されたセンサによって検出された1つまたは複数の処理パラメータに関する情報を含む1つまたは複数の信号をRFIDタグから受信することと、基板処理システム内で実行されるプロセスを制御するように適合されたコントローラを使用して、1つまたは複数の信号を分析することとを含み、コントローラは、受信した1つまたは複数の信号に応答して、研磨プロセスの変更を開始する。
一実施形態では、基板処理システムは、基板キャリアアセンブリに結合されたキャリア駆動シャフトを取り囲むスロットを有するカルーセル支持板を含む。基板キャリアアセンブリは、カルーセル支持板のスロットの周りを回る呼掛器と通信するために中に配置されたRFIDタグを含む。呼掛器およびRFIDタグは、無線通信技法を使用して互いに通信するように構成される。
別の実施形態では、基板処理システムは、RFIDタグが中または上に配置されたターゲットを含む処理チャンバと、処理チャンバの内部体積内に配置された誘電体支持体内に埋め込まれた呼掛器とを備える。呼掛器およびRFIDタグは、無線通信技法を使用して互いに通信するように構成される。
別の実施形態では、基板処理システムは、RFIDタグが埋め込まれた磁石を有するマグネトロンを含む処理チャンバと、ヨークまたはプロセス片内に埋め込まれた呼掛器とを備える。呼掛器およびRFIDタグは、無線通信技法を使用して互いに通信するように構成される。
特定の実施形態は、基板処理システム内に配置された処理構成要素を使用して基板を処理する方法を提供する。この方法は、処理中に、呼掛器を使用して、処理構成要素に結合されたRFIDタグから、処理構成要素に関する情報を含む1つまたは複数の信号を受信することと、コントローラを使用して、1つまたは複数の信号に基づいて、処理構成要素を認証することと、コントローラを使用して、1つまたは複数の信号に基づいて、1つまたは複数の基板処理動作を実行することとを含む。
特定の実施形態は、基板処理システム内に配置された処理構成要素を使用して基板を処理する方法を提供する。この方法は、基板処理システム内の処理構成要素上に配置されているRFIDタグを備える遠隔通信デバイスへ1つまたは複数の信号を送達することと、1つまたは複数の信号内で受信した情報を遠隔通信デバイスのメモリ内に記憶してから、処理構成要素を基板処理システムから取り外すことと、処理構成要素が基板処理システム内に再設置された後、記憶されている情報の少なくとも一部分を遠隔通信デバイスから受信することとを含む。
特定の実施形態は、基板処理システム内に配置された処理構成要素を使用して基板を処理する方法を提供する。この方法は、呼掛器を介して、処理構成要素に結合されたセンサによって検出された1つまたは複数の処理パラメータに関する情報を含む1つまたは複数の信号をRFIDタグから受信することと、基板処理システム内で実行されるプロセスを制御するように適合されたコントローラを使用して、1つまたは複数の信号を分析することとを含み、コントローラは、受信した1つまたは複数の信号に応答して、研磨プロセスの変更を開始する。
本開示の上述した特徴を詳細に理解することができるように、上記で簡単に要約した本開示に関するより具体的な説明を、実施形態を参照することによって得ることができ、実施形態のいくつかは、添付の図面に示されている。しかし、本開示は他の等しく有効な実施形態を許容することができるため、添付の図面は例示的な実施形態のみを示し、したがって本開示の範囲を限定すると解釈されるべきではないことに留意されたい。
本明細書に記載する実施形態から利益を得るように適合された例示的な基板処理システム、本明細書では基板研磨システムの分解概略斜視図である。 図1Aの研磨システムの一部分の横断面図である。 一実施形態によるその半径方向スロットの周りに位置決めされた呼掛器を示す、図1Bのカルーセル支持板の概略平面図である。 図2Aに示すカルーセル支持板66の一部分の拡大図である。 本明細書に記載するいくつかの実施形態による図1Bの無線通信装置、呼掛器、およびコントローラの部分概略図である。 本明細書に記載するいくつかの実施形態による図1Bのソフトウェアアプリケーション階層構造の論理図である。 本明細書に記載する実施形態から利益を得るように適合された別の例示的な基板処理システム、本明細書では物理的気相堆積(PVD)処理チャンバの概略横断面図である。 本開示の態様による図1Aおよび図5に示す例示的な基板処理システムなどの基板処理システムによって使用するための例示的な動作を示す図である。 本開示の態様による図1Aおよび図5に示す例示的な基板処理システムなどの基板処理システムによって使用するための例示的な動作を示す図である。 本開示の態様による図1Aおよび図5に示す例示的な基板処理システムなどの基板処理システムによって使用するための例示的な動作を示す図である。
理解を容易にするために、複数の図に共通する同一の要素を指すために、可能な限り同一の参照番号が使用されている。一実施形態の要素および特徴は、さらなる記載がなくても、他の実施形態内に有益に組み込むことができることが企図される。
本開示の実施形態は、一般に、電子デバイス製造プロセスで使用される基板処理システムに関する。より詳細には、本明細書に記載する実施形態は、化学機械研磨(CMP)システム、化学気相堆積システム(CVD)、物理的気相堆積(PVD)システム、イオン注入システム、エッチ処理システム、フォトリソグラフィ処理システム、および電子デバイスの製造で使用される他の処理システムなど、電子デバイス製造プロセスで使用される基板処理システム内で、それらの上で、またはそれらとともに使用される処理構成要素の遠隔追跡および認証に関する。
本明細書に記載する例示的な基板処理システムは、化学機械研磨(CMP)システムおよび物理的気相堆積(PVD)システムを含むが、本明細書に記載する実施形態は、化学気相堆積システム(CVD)、物理的気相堆積(PVD)システム、イオン注入システム、エッチ処理システム、フォトリソグラフィ処理システム、および基板薄型化システム(たとえば、バックグラインド)など、その中で使用される処理構成要素の遠隔追跡および認証から利益を得るはずの任意の基板処理システムとともに使用することができる。本明細書の例示的な基板処理システムは、非消耗構成要素および消耗構成要素を含めて、その中で、それとともに、またはその上で使用される処理構成要素を含み、その認証および追跡を可能にするために、無線周波識別(RFID)デバイスおよび/または他の好適な無線通信デバイスを含む無線通信デバイスなどの1つまたは複数の遠隔通信デバイスが、その上に配置され、その中に配置され、その中に埋め込まれ、その上に位置し、または他の方法でそれに結合されている。
本明細書の処理構成要素は、基板処理システム内で、その上で、かつ/またはそれとともに使用される単一の非消耗構成要素、単一の消耗構成要素、ならびに非消耗構成要素および/または消耗構成要素のアセンブリを含む。本明細書の方法は、基板処理前、基板処理中、および/または基板処理後に、呼掛器を使用して、半導体処理システムの処理構成要素上に配置され、その中に配置され、その中に埋め込まれ、その中に位置し、または他の方法でそれに結合されたRFIDタグなどの遠隔通信デバイスから、1つまたは複数の信号を受信することを含む。1つまたは複数の信号は、処理構成要素に関する情報を含む。本明細書の方法は、コントローラを使用して、1つまたは複数の信号に基づいて、処理構成要素を認証することと、コントローラを使用して、1つまたは複数の信号に基づいて、1つまたは複数の基板処理動作を実行することとをさらに含む。いくつかの他の実施形態では、方法は、基板処理システム内の処理構成要素上に配置され、その中に配置され、その中に埋め込まれ、その上に位置し、または他の方法でそれに結合されたRFIDタグを備える遠隔通信デバイスへ、1つまたは複数の信号を送達することと、1つまたは複数の信号内で受信した情報を遠隔通信デバイスのメモリ内に記憶してから、処理構成要素を基板処理システムから取り外すことと、処理構成要素が基板処理システム内に再設置された後、記憶されている情報の少なくとも一部分を遠隔通信デバイスから受信することとを含む。さらなるいくつかの他の実施形態では、方法は、呼掛器を介して、処理構成要素に結合されたセンサによって検出された1つまたは複数の処理条件に関する情報を含む1つまたは複数の信号をRFIDタグから受信することと、基板処理システム内で実行されるプロセスを制御するように適合されたコントローラを使用して、1つまたは複数の信号を分析することとを含み、コントローラは、受信した1つまたは複数の信号に応答して、研磨プロセスの変更を開始する。
図1Aは、一実施形態による例示的な研磨システムの分解概略斜視図である。図1Bは、図1Aの研磨システム20の一部分の横断面図である。研磨システム20は、テーブルトップ23が取り付けられた機械ベース22と、取外し可能な上部外側カバー(図示せず)とを含む。テーブルトップ23は、複数の研磨ステーション25a、25b、および25cと、複数の基板キャリアアセンブリ108との間で複数の基板10のそれぞれの装填および除去を行う移送ステーション27とを支持する。本明細書では、移送ステーション27は、複数の研磨ステーション25a、25b、および25cを有する略正方形の配置を形成する。
本明細書の研磨ステーション25a~cはそれぞれ、研磨プラテン30を含み、研磨プラテン30には、感圧接着剤などの接着剤を使用して、研磨パッド32が取り付けられかつ/または固定されている。本明細書の研磨プラテン30はそれぞれ、機械ベース22内に配置されたそれぞれのプラテン駆動モータ(図示せず)に動作可能に結合されており、機械ベース22は、図1Bに示すプラテン軸30aなど、研磨プラテン30を通って配置された軸の周りで、研磨プラテン30を回転させる。本明細書では、研磨ステーション25a~cはそれぞれ、研磨パッド32の所望の表面テキスチャを維持し、かつ/またはそこから研磨副生成物を洗浄し、それによって研磨パッド32の寿命にわたって一貫した研磨結果を提供するために使用される研磨ディスクまたはブラシなどのパッドコンディショナを備えるパッド調節アセンブリ40をさらに含む。本明細書では、複数の研磨プラテン30のそれぞれおよびそれらの上に配置された研磨パッド32の表面積は、基板10の研磨すべき表面積より大きいが、いくつかの研磨システムでは、研磨プラテン30および/またはそれらの上に配置された研磨パッド32の表面積は、基板10の研磨すべき表面積より小さい。
研磨中、研磨プラテン30の上に位置決めされた流体ディスペンサ52を通って、研磨流体50が研磨パッド32へ導入される。典型的には、研磨流体50は、研磨粒子、洗浄流体、水、またはこれらの組合せを含む研磨スラリである。いくつかの実施形態では、研磨流体50は、研磨流体50内に懸濁しかつ/または研磨パッド32内に埋め込まれている研磨粒子とともに基板10の材料表面の化学機械研磨を可能にするために、pH調整剤および/または酸化剤などの化学的に活性の構成要素を含む。いくつかの実施形態では、流体ディスペンサ52は、各基板研磨および/またはパッド調節サイクルの終わりに研磨パッド32の高圧リンスを提供する1つまたは複数のスプレーノズル(図示せず)を含む。
研磨システム20は、機械ベース22の上に位置決めされたカルーセル60をさらに含む。カルーセル60は、カルーセル支持板66およびカバー68を含む。本明細書では、カルーセル支持板66は、中心ポスト62によって支持され、機械ベース22内に配置されたカルーセルモータアセンブリ(図示せず)によって、そのカルーセル軸64の周りを動かされる。カルーセル60は、カルーセル軸64の周りに等しい角度間隔でカルーセル支持板66上に取り付けられた複数の基板キャリアシステム70a、70b、70c、および70dを含む。研磨システム20の動作中、基板キャリアシステム70dなどの基板キャリアシステムのうちの1つとの間で基板10の装填および/または除去を行い、70a~cなどの残りの複数の基板キャリアシステムを使用してそれぞれの複数の基板10を研磨する。カルーセルは、カルーセル軸64の周りでそれに結合された基板キャリアシステム70a~70dを動かすことによって、所望の研磨ステーション25a~cおよび/または移送ステーション27間で、基板キャリアシステム70a~70d及びそれらの中に配置された基板を動かす。
本明細書の基板キャリアシステム70a~dはそれぞれ、基板キャリアアセンブリ108と、基板キャリアアセンブリ108に結合され、カルーセル支持板66内に形成された半径方向スロット72を通って延びるキャリア駆動シャフト74と、キャリア駆動シャフト74に動作可能に結合された基板キャリアアセンブリ回転モータ76とを含む。基板キャリアアセンブリ108はそれぞれ、それぞれのキャリア駆動シャフト74を通って配置されたキャリア軸114の周りを独立して回転する。本明細書では、各基板キャリアアセンブリ回転モータ76およびそれに動作可能に結合されたキャリア駆動シャフト74は、それぞれの基板キャリアアセンブリ108を横方向に振動させるように半径方向駆動モータ(図示せず)によって半径方向スロット72に沿って線形に駆動されるスライダ(図示せず)上に支持される。
本明細書では、基板キャリアアセンブリ108は、キャリアハウジング108Cと、基板10を取り囲むキャリアハウジング108Cに結合された基板保持リング108Aと、キャリアハウジング108Cと基板キャリアアセンブリ108内に配置された基板10との間に配置された可撓膜などの可撓性ダイアフラム108Bとを含む。研磨中、それぞれの研磨ステーション25a~cに位置決めされた基板キャリアアセンブリ108はそれぞれ、基板10を下降させてそれぞれの研磨パッド32に接触させる。基板保持リング108Aに対して下方に働く力により、基板保持リング108Aをそれぞれの研磨パッド32に押し付け、それによって基板10が基板キャリアアセンブリ108から滑り落ちるのを防止する。可撓性ダイアフラム108Bが基板10の研磨すべき表面を研磨パッド32の研磨面に押し付けている間に、基板キャリアアセンブリ108はそれぞれのキャリア軸114の周りを回転する。本明細書の実施形態では、可撓性ダイアフラム108Bは、基板10の研磨すべき表面を研磨パッド32の研磨面に押し付けながら、基板10の異なる領域に対して異なる圧力を加えるように構成される。典型的には、研磨パッド32の不均一な摩耗を部分的に低減させるために、基板キャリアアセンブリ108が研磨プラテン30の内径から研磨プラテン30の外径へ振動している間に、研磨プラテン30はそれぞれ、それぞれのプラテン軸30aの周りを、基板キャリアアセンブリ108の回転方向とは逆の回転方向に回転する。典型的には、基板10は、研磨すべき基板10のタイプに対して選択された所定の1組の研磨プロセスパラメータ、本明細書では研磨プロセス変数を使用して研磨され、研磨プロセスパラメータは、研磨プロセスレシピをともに含む。本明細書では、プロセス変数を含むプロセスパラメータは、研磨プロセスを制御するために使用される設定点であり、処理条件は、研磨システム20、その中のセンサ、および/またはその構成要素から受信した測定値である。本明細書の研磨プロセス変数の例には、それだけに限定されるものではないが、研磨プラテン30の回転速度、基板キャリアアセンブリ108の回転速度、研磨流体50の流量、研磨プラテン30の温度、基板保持リング108Aに対して下方に働く力、可撓性ダイアフラム108Bによって基板10および/もしくはその領域にかけられる圧力を含む基板10に対して下方に働く力、基板キャリアアセンブリ108の掃引速度、パッド調節アセンブリ40の掃引速度、パッドコンディショナに対して下方に働く力(パッドコンディショナによって研磨パッドにかけられる力)、パッドコンディショナの回転速度、調整サイクル(掃引)の数、または調整の持続時間(秒)、ならびに場合により研磨時間が含まれる。多くの場合、いくつかのタイプまたは処理構成要素がいくつかの基板研磨プロセスに対して不適合であり、したがってそれらとの使用に対して許可されていないため、特有のタイプの処理構成要素が、いくつかの研磨プロセスレシピとの使用に対して必要とされ、他の研磨プロセスレシピとの使用に対して禁止される。他の実施形態では、いくつかの処理構成要素またはそれらのアセンブリは、使用履歴に基づいて、いくつかの基板研磨プロセスとの使用に対して禁止される。たとえば、銅研磨プロセスなどの金属研磨プロセスで使用された基板キャリアアセンブリ108および/またはそれらの個々の構成要素は、浅いトレンチ隔離プロセス(STI)との使用に対して許可されていない可能性がある。なぜなら、銅研磨プロセスからの汚染物質は、STI研磨プロセス中に基板に導入された場合、基板上の電子デバイス内で障害を引き起こすからである。
研磨パッド32、基板キャリアアセンブリ108およびそれらの処理構成要素、ならびに従来の技法によって製造された他の処理構成要素には、研磨システム20および/またはその外部にある他の自動化された制御システムによるそれらの検出、認証、追跡、感知、および監視などの機能を可能にするためのデバイスおよび/または方法がないことが多い。したがって、本明細書に記載する特定の実施形態は、研磨システム20および/またはその外部にある制御システムと、本明細書に記載する機能を可能にするその処理構成要素のうちの1つまたは複数との間のデータ通信のための1つまたは複数の装置および方法を提供する。
情報収集システムの構成例
図1Bに示すように、基板キャリアアセンブリ108がキャリア駆動シャフト74に結合され、キャリア駆動シャフト74は、基板キャリアアセンブリ108を基板キャリアアセンブリ回転モータ76に結合するように、半径方向スロット72を通って延びる。基板キャリアアセンブリ108は、キャリア軸114の周りを回転し、掃引運動で振動して、基板10の材料表面と研磨パッド32との間の相対運動を提供する。上述したように、基板キャリアアセンブリ108は、キャリア駆動シャフト74に直接または間接的に結合されたキャリアハウジング108Cと、基板保持リング108Aと、可撓性ダイアフラム108Bとを含む複数の処理構成要素を含む。典型的には、基板キャリアアセンブリ108の処理構成要素のうちの1つまたは複数は、使用とともに摩耗する消耗構成要素であり、一貫した望ましい研磨性能を提供するために、定期的な交換を必要とする。
本明細書では、図1Bは、RFIDデバイスなどの複数の遠隔通信デバイス600と、複数の呼掛器601とをさらに示し、複数の呼掛器601は、研磨システム20の複数の処理構成要素上に配置され、それらの中に配置され、それらの中に埋め込まれ、それらの上に位置し、または他の方法でそれらに結合されている。一実施形態では、複数の遠隔通信デバイス600は、研磨パッド32の中および/または上に配置されており、基板キャリアアセンブリ108上に配置され、それらの中に配置され、それらの中に埋め込まれ、それらの上に位置し、または他の方法でそれらに結合されており、基板キャリアアセンブリ108は、キャリアハウジング108C、基板保持リング108A、および可撓性ダイアフラム108Bなどのそれらの非消耗および消耗構成要素を含む。本明細書では、複数の呼掛器601は、研磨システム20の様々な処理構成要素上に配置され、それらの中に配置され、それらの中に埋め込まれ、それらの上に位置し、または他の方法でそれらに結合されており、研磨システム20は、カルーセル支持板66上に、基板キャリアシステム70の支持構造と、複数の研磨プラテン30とを含む。
本明細書では、RFIDデバイスなどの複数の遠隔通信デバイス600はそれぞれ、複数の呼掛器601のうちの1つまたは複数と無線で通信するように構成される。無線通信プロトコルの例には、近距離通信技法、Bluetooth(登録商標)、光信号伝送技法、音響信号伝送技法、無線周波通信技法、および他の好適な無線通信技法が含まれる。他の実施形態では、通信デバイス(図示せず)は、それらの間の通信を容易にするために、呼掛器601に配線接続される。遠隔通信デバイス600と同様に、呼掛器601は、研磨システム20の様々な区域または部品の中および/または上に位置決めされる。いくつかの実施形態では、呼掛器601の位置は、それぞれの遠隔通信デバイス600の位置から独立しており、他の実施形態では、呼掛器601のそれぞれの位置は、少なくとも部分的に、それらの間の通信を容易にするために、それぞれの遠隔通信デバイス600の位置によって決定される。
上述したように、複数の遠隔通信デバイス600のうちの1つまたは複数は、1つまたは複数の処理構成要素上に配置され、それらの中に配置され、それらの中に埋め込まれ、それらの上に位置し、または他の方法でそれらに結合されており、1つまたは複数の処理構成要素は、本明細書では、基板キャリアアセンブリ108、それらの消耗構成要素、および研磨パッド32など、上述した研磨システム20によって使用される処理構成要素のうちの少なくとも1つまたは複数を含む。一実施形態では、1つまたは複数の遠隔通信デバイス600が、研磨パッド32内に配置され、その中に埋め込まれ、かつ/または他の方法でそれに結合されており、1つまたは複数の呼掛器601が、それぞれの研磨プラテン30内に配置され、または他の方法でそれに結合されており、その上に研磨パッド32が配置される。本明細書では、研磨パッド32に結合された遠隔通信デバイス600、および研磨プラテン30内に埋め込まれたそれぞれの呼掛器601は、通信リンク607を介して通信するように構成される。いくつかの実施形態では、通信リンク607は無線通信プロトコルである。別の実施形態では、通信リンク607は有線接続である。典型的には、呼掛器601はそれぞれ、研磨システム20のコントローラ612に通信可能に結合され、コントローラ612は、遠隔通信デバイス600からの信号入力を、通信リンク609を通ってそれぞれの呼掛器601を介して受信する。遠隔通信デバイス600から呼掛器601を通って受信した入力は、コントローラ612によって、ミドルウェアアプリケーション651、ソフトウェアアプリケーション、および/またはファブレベルソフトウェアアプリケーション653などのソフトウェアアプリケーションのうちの1つまたは複数を使用して処理および利用される。他の実施形態では、外部コントローラ(図示せず)が、呼掛器601からの入力を受信および処理する。
図1Bは、ソフトウェアアプリケーション階層構造の論理図をさらに示し、このソフトウェアアプリケーション階層構造は、本明細書では、ミドルウェアアプリケーション651、機器ソフトウェアアプリケーション652、およびファブレベルソフトウェアアプリケーション653を含む。いくつかの実施形態では、1つまたは複数の呼掛器601からの信号入力を受信した後、コントローラ612は、ミドルウェアアプリケーション651を使用し、入力を処理してデータを導出し、ミドルウェアアプリケーション651は、通信リンク657を通ってこのデータを機器ソフトウェアアプリケーション652へ送信する。本明細書の通信リンク657は、有線接続(たとえば、イーサネット(登録商標))および/または無線通信プロトコルを含む。いくつかの実施形態では、機器ソフトウェアアプリケーション652は、ミドルウェアアプリケーション651から受信したデータを、通信リンク658を通ってファブレベルソフトウェアアプリケーション653へさらに送信する。本明細書では、通信リンク658は有線接続である。他の実施形態では、通信リンク658は無線通信プロトコルである。
いくつかの実施形態では、コントローラ612からの情報が遠隔通信デバイス600のうちの1つまたは複数に受信および記憶されるように、情報が逆方向に送信される。たとえば、図4に記載する一実施形態では、ファブレベルソフトウェアアプリケーション653、機器ソフトウェアアプリケーション652、およびミドルウェアアプリケーション651はそれぞれ、遠隔通信デバイス600の1つまたは複数に記憶すべき情報を送信するように構成される。したがって、いくつかの実施形態では、遠隔通信デバイス600、呼掛器601、およびコントローラ612、ならびにすべての異なるレベルのソフトウェアアプリケーション階層構造(たとえば、651、652、および653)間の通信は、両方向通信を構成する。
いくつかの実施形態では、遠隔通信デバイス600は、基板キャリアアセンブリ108および/またはその処理構成要素上に配置され、それらの中に配置され、それらの中に埋め込まれ、それらの上に位置し、または他の方法でそれらに結合されている。一実施形態では、図1Bに示すように、1つまたは複数の遠隔通信デバイス600は、その中に配置された基板10の研磨すべき表面から遠位の基板キャリアアセンブリ108の表面上に位置する。別の実施形態では、1つまたは複数の遠隔通信デバイス600(図示せず)は、基板キャリアアセンブリ108のキャリアハウジング108C内に埋め込まれており、キャリアハウジング108Cは、キャリア駆動シャフト74に固定可能であり、キャリアハウジング108Cは、キャリア駆動シャフト74から可動につり下げられている。基板キャリアアセンブリ108内に配置され、その上に配置され、または他の方法でそれに結合された遠隔通信デバイス600と通信するために、呼掛器601(図2A~Bに示す)が、カルーセル支持板66の1つもしくは複数の部品内に配置され、またはそれらの上に位置する。たとえば、いくつかの実施形態では、呼掛器601は、図2A~Bに関連して以下でさらに説明するように、カルーセル支持板66内に形成された半径方向スロット72の近傍に位置する。
図1Bに示すように、基板キャリアアセンブリ108のキャリアハウジング108C上に配置された遠隔通信デバイス600、およびそれらと通信しているそれぞれの呼掛器601は、通信リンク655を介して通信するように構成される。いくつかの実施形態では、通信リンク655は、無線通信プロトコルを含む。他の実施形態では、通信リンク655は、有線接続を構成する。概して、遠隔通信デバイス600が、対応する呼掛器601が配置された研磨システム20の別の処理構成要素および/または部品に対して動く処理構成要素上に配置されている構成では、無線通信技法(たとえば、NFC、RF、Bluetooth(登録商標)など)を使用することが望ましい。呼掛器601は、コントローラ612にさらに通信可能に結合され、コントローラ612は、呼掛器601を介して遠隔通信デバイス600からの入力を受信する。本明細書では、呼掛器601とコントローラ612との間の通信リンク656は、有線接続、無線通信プロトコル、またはこれらの組合せを含む。
基板キャリアアセンブリ108に結合された遠隔通信デバイス600から入力を受信した後、呼掛器601は、コントローラ612へ入力を伝送し、コントローラ612は、一実施形態では、図4に関連してさらに説明するように、ミドルウェアアプリケーション651を使用して入力を処理する。図示のように、呼掛器601からミドルウェアアプリケーション651への入力の伝送は、通信リンク656を通って実行される。いくつかの実施形態では、ミドルウェアアプリケーション651によって受信された入力は、次いで翻訳され、通信リンク657を通って機器ソフトウェアアプリケーション652へ送信される。本明細書では、通信リンク657は、有線接続または無線通信プロトコルである。さらに、いくつかの実施形態では、ミドルウェアアプリケーション651から情報を受信した後、機器ソフトウェアアプリケーション652は、その情報をファブレベルソフトウェアアプリケーション653へ送信するように構成される。他の実施形態では、呼掛器601からの情報は、有線または無線の通信リンク(図示せず)を通って、ファブレベルソフトウェアアプリケーションへ直接通信される。本明細書の実施形態では、遠隔通信デバイス600、呼掛器601、およびコントローラ612、ならびにすべての異なるレベルのソフトウェアアプリケーション(たとえば、651、652、および653)間の通信は、両方向通信経路を含み、これは、ファブレベルソフトウェア658、コントローラ612、機器ソフトウェアアプリケーション652、および/またはミドルウェアアプリケーション651によって、遠隔通信デバイス600との間で、呼掛器601を介して通信リンク607、609、655、656、657、および/または658を通って情報が送信および受信されることを意味する。他の実施形態では、遠隔通信デバイス600、呼掛器601、およびコントローラ612、ならびにすべての異なるレベルのソフトウェアアプリケーション(たとえば、651、652、および653)間の通信は、一方向通信経路を含み、これは、ファブレベルソフトウェア658、コントローラ612、機器ソフトウェアアプリケーション652、および/またはミドルウェアアプリケーション651によって、遠隔通信デバイス600から呼掛器601を介して通信リンク607、609、655、656、657、および/または658を通って情報が受信されるが、遠隔通信デバイス600へ送信されないことを意味する。
図2Aは、一実施形態による図1A~Bに記載する研磨システム20のカルーセル支持板66などのカルーセル支持板の概略平面図である。図2Bは、図2Aに記載するカルーセル支持板66の一部分の拡大図である。基板キャリアアセンブリ108および/またはその構成要素に結合された遠隔通信デバイス600との通信を容易にするために、呼掛器601が、典型的には、カルーセル支持板66の1つもしくは複数の部品内に配置され、またはそれらの上に位置している。本明細書では、カルーセル支持板66内に4つの半径方向スロット72が形成されており、基板キャリアアセンブリ108のそれぞれが独立して、半径方向スロット72に対して回転および振動することが可能になる。カルーセル支持板66上に配置された呼掛器601はそれぞれ、カルーセル支持板66内に形成された半径方向スロット72の近傍で、半径方向スロット72のうちの1つの周りに位置決めされる。これにより、各半径方向スロット72の周りに位置決めされた各呼掛器601が、基板キャリアアセンブリ108に結合された対応する遠隔通信デバイス600に密接することが可能になる。したがって、それぞれの遠隔通信デバイス600および呼掛器601は、図3に関連してさらに説明するように、無線で通信することができる。
図3は、本明細書に記載する実施形態による例示的な遠隔通信デバイス600、呼掛器601、およびそれらの間で通信しているコントローラ612の部分概略図を示す。図3に示す遠隔通信デバイス600は、無線通信RFIDデバイスである。上述したように、いくつかの実施形態では、1つまたは複数の遠隔通信デバイス600が、研磨パッド32内に配置され、1つまたは複数の対応する呼掛器601が、それぞれの研磨プラテン30内に配置される。いくつかの実施形態では、1つまたは複数の遠隔通信デバイス600が、基板キャリアアセンブリ108および/またはそれらの処理構成要素上に位置し、それらの中に埋め込まれ、または他の方法でそれらに結合されており、1つまたは複数の対応する呼掛器601が、カルーセル支持板66内に形成された各半径方向スロット72の周りに位置決めされる。
単一の遠隔通信デバイス600および単一の呼掛器601が、図3に示されているが、研磨システム20およびそれとともに使用される処理構成要素は、複数の研磨パッド32およびそれぞれの研磨プラテン30、ならびに複数の基板キャリアアセンブリ108およびカルーセル支持板66内に形成されたそれぞれの半径方向スロット72内に配置され、それらの中に埋め込まれ、それらの上に位置し、または他の方法でそれらに結合されているものなど、複数の遠隔通信デバイス600および対応する呼掛器601を備えることが企図される。いくつかの実施形態では、2つ以上の遠隔通信デバイス600を、単一の対応する呼掛器601によって感知することができる。
図3に示すように、複数の呼掛器601はそれぞれ、リーダ608およびアンテナ610を含む。典型的には、リーダ608は、RF電源などの電源を含みかつ/またはそれに結合されており、アンテナ610を介して、遠隔通信デバイス600によって受信される信号を伝送するように構成される。いくつかの実施形態では、アンテナ610は、図3に示すように、カルーセル支持板66内に形成された各半径方向スロット72の周りに位置決めされた同軸ケーブルを備える。そのような実施形態では、半径方向スロットの周りに円周状にケーブルを位置決めすることで、遠隔通信デバイス600のRFIDタグなどのタグ602へRFエネルギーを異なる角度から伝播させ、それによって伝送されたRFエネルギーがタグ602によって受信される可能性を増大させることが可能になる。本明細書では、アンテナ610はそれぞれ、CMPヘッド支持構造の端部カバーのそれぞれで終端する。他方の端部では、アンテナ610の同軸ケーブルはプリント基板610Aを含み、プリント基板610Aは、アンテナ610と遠隔通信デバイス600との間の通信を容易にするように構成された1つまたは複数の電気構成要素を収容する。
加えて、図2Aに示すカルーセル支持板66は、複数の半径方向スロット72内で独立して回転および振動する複数の基板キャリアシステム70a~dを支持する。したがって、いくつかの実施形態では、基板キャリアアセンブリ108および/またはそれらの処理構成要素のそれぞれの上および/または中に、同等の数の遠隔通信デバイス600、ならびに対応する数の呼掛器601が、呼掛器601のアンテナ610を含めて4つの半径方向スロット72を取り囲む。いくつかの実施形態では、クロストークを回避するために、呼掛器601によって伝播されるRFエネルギーが、所望の遠隔通信デバイス600によってのみ捕捉され(他の遠隔通信デバイス600は補足しない)、呼掛器601および遠隔通信デバイス600のそれぞれに対して、それらの間のそれぞれの通信において、特有の範囲のRFエネルギーが利用される。そのような実施形態では、RFエネルギーのRSSI値は、-30~-60dBの範囲内である。また、いくつかの実施形態では、呼掛器601が、856~960MHzの範囲内の超高周波(UHF)を放出することができる。いくつかの構成では、各遠隔通信デバイス600は、そのメモリ内に記憶された固有の識別コードを有する。固有の識別コードは、呼掛器601へ伝送され、コントローラ612内でミドルウェアアプリケーション651によって、本明細書に記載するプロセスのうちの1つまたは複数の間に、2つ以上の遠隔通信デバイスのデータのうちのどちらを受信するか、および/またはどの遠隔通信デバイス600の情報を転送するかを判定するために使用される。
いくつかの実施形態では、リーダ608は、他の構成要素の中でも、リーダ608による信号の伝送および受信を管理するように構成されたRF変調器および呼掛器コントローラを含む。一実施形態では、RF変調器は、約13.56MHzの波長を有するRF信号を生成および/または変調するように構成される。1つの受動タグの実施形態では、呼掛器601および遠隔通信デバイス600は、約2インチ未満または約1インチ未満など、約12インチ未満の距離を有する空間関係で位置決めされる。能動タグの実施形態では、呼掛器601と遠隔通信デバイス600との間の空間関係は、受動タグの実施形態より大きくすることができ、信号の伝送に利用可能な電力に依存する。
また図3には、遠隔通信デバイス600が示されており、遠隔通信デバイス600は概して、タグ602と、メモリ603と、タグ602に結合されまたはその中に一体的に製造されたアンテナ606とを含む。いくつかの実施形態では、タグ602にセンサ604が通信可能に結合される。本明細書では、タグ602は、所望の実装形態に応じて、能動タグまたは受動タグである。能動タグの実施形態では、好適な電力をタグ602に提供するために、電池などの電源がタグ602に電気的に結合されており、したがってタグ602は、デバイス間に形成された通信リンク(たとえば、607、655など)を介して信号を呼掛器601へ伝送することができる。能動タグは、電力がタグに結合される実施形態で実施することができることが企図される。加えて、能動タグは、タグによって伝送されるデータが、受動タグを使用したときに得ることができる距離より大きい距離をあけた呼掛器601によって感知されることが意図される構成で利用することができる。しかし、能動タグは、受動タグでも好適な利用を得られるはずの近距離通信の実施形態で利用することもできることが企図される。
1つの受動タグの実施形態では、タグ602は、呼掛器601から無線周波信号などの信号を受信し、受信した信号の電磁エネルギーを利用して、タグ602に固有の何らかの量のデータを含む信号を、通信リンク(たとえば、607、655など)を介して再び呼掛器601へ伝送(または反射)するように構成される。受動タグは、呼掛器601がタグ602から臨界通信距離未満に位置決めされる実施形態で利用することができる。臨界通信距離は概して、受動タグによって反射される電磁信号が呼掛器601によって確実に受信されない距離であると定義される。臨界通信距離は、呼掛器601によって生成される信号に関連する電力の量、ならびにタグ送信器のサイズおよび電力に依存する実施形態によって変動することがある。
上述したように、タグ602には、センサ604(または複数のセンサ)を通信可能に結合することもできる。そのような実施形態では、遠隔通信デバイス600を検出、認証、およびデータ記憶などに利用することに加えて、遠隔通信デバイス600はまた、研磨システムの研磨性能を監視および/または改善するために、センサ604を使用して、一連の感知および計測データを提供することができる。
たとえば、いくつかの実施形態では、センサ604(または特定の実施形態では複数のセンサ)が、1つまたは複数の研磨状態を検出するように構成される。一例では、センサ604は、研磨パッド32、研磨流体50、基板10、またはこれらの任意の組合せの温度を検出するように構成された構成要素を含む熱センサ(たとえば、RTD、熱電対)である。別の例では、センサ604は、研磨プロセス中の音響振動の変化を判定するように構成された音響センサ(図示せず)である。
別の実施形態によれば、伝導率センサは、遠隔通信デバイス600で利用することができる別のタイプのセンサ604である。この実施形態では、伝導率センサ(図示せず)は、研磨流体50の伝導率(たとえば、金属濃度の増大(スラリの金属負荷))、または研磨流体50がその様々な領域を洗浄することに起因する研磨パッド32の表面における伝導率の変化を検出するように構成される。いくつかの実施形態では、伝導率センサは、タグ602および遠隔通信デバイス600と通信する2つの電極(図示せず)を含み、これらの電極はそれぞれ、研磨パッド32の表面に露出している。露出した電極は、タグ602に見られる構成要素の使用によって電極の両端間に電圧を印加することによって、研磨流体50、基板10の材料表面、および/または研磨パッド32の表面の伝導率を直接測定するために使用される。
センサ604の別の例は、角運動量、動力、回転角度方向に対する面外振動運動、および/またはトルクの変化を感知するように構成された加速度計(たとえば、MEMSデバイス)である。センサ604の追加の例は、その研磨中に基板10の材料表面に対する研磨パッド32のせん断応力を感知する歪みゲージなどの摩擦センサである。センサ604のさらに別の実施形態は、基板キャリアアセンブリの可撓性ダイアフラム108Bによって基板10の領域に印加される圧力など、研磨パッド32に印加される力および帯状圧力を測定するように構成されたロードセル(たとえば、MEMSロードセル)などの圧力センサである。
前述のセンサの実施形態は、単独で利用することができ、または研磨中の処理状態をより効果的に測定するために互いに組み合わせて利用することができる。いくつかの実施形態では、図8の例示的な動作800の活動802および804に記載したように、1つまたは複数のセンサからのセンサ情報を受信および分析した後、コントローラ612は、研磨プロセスにインシトゥ処理および/または実時間調整を加えることによって、研磨プロセスの変更を開始する。そのような調整は、たとえば研磨の均一性および研磨の終点検出を改善するために実施することができる。たとえば、一実施形態では、遠隔通信デバイス600による研磨性能の判定は、インシトゥで(すなわち、研磨中に)実行され、基板研磨性能を改善するようにプロセス変数がインシトゥで調整される。本明細書では、感知することができる処理状態には、温度データ、圧力データ、導電率データ、弾性係数データ、光学データ、音響データ、フィルム厚データ、および基板研磨プロセス中の処理状態を測定するように構成された他のデータタイプが含まれる。
概して、1つまたは複数の検出された処理状態に応答してセンサ604によって生成される信号は、タグ602によって符号化され、アンテナ606によって伝送される。図4に関連して以下に説明するように、遠隔通信デバイス600から感知信号または情報(上述した様々なセンサによって感知される)を受信した後、呼掛器601が、この感知データを研磨システム20による使用のためにコントローラ612へ送信し、研磨システム20は、感知情報に基づいて、プロセスレシピ変数などの1つまたは複数の研磨パラメータをインシトゥで調整する。
上述した構成要素に加えて、本明細書に記載する遠隔通信デバイス600は、タグ602に結合されまたはその中に一体的に製造されたメモリ603を含むことができる。いくつかの実施形態では、メモリ603を使用することで、遠隔通信デバイス600を処理構成要素の追跡、検出、および認証、ならびに研磨システム20の構成の変更または改善に使用することができる。いくつかの実施形態では、メモリ603は、不揮発性メモリを含むコンピュータ可読記憶媒体を備える。たとえば、いくつかの実施形態では、処理構成要素に結合された遠隔通信デバイス600は、そのメモリ603内に、処理構成要素に特有の特定の識別情報を記憶している。典型的には、識別情報には、処理構成要素識別子情報、部品構成情報、履歴情報、障害情報、ライフサイクルデータ、顧客/製造名、処理システム情報、およびそれらに関連する任意の望ましい情報が含まれる。図4にさらに説明するように、この情報をコントローラ612へ伝送することで、その中に含まれる情報に基づいて、処理構成要素の追跡、検出、および認証、ならびに研磨システムの構成の変更または改善が可能になる。
典型的には、遠隔通信デバイス600から感知および/または識別データを受信した後、呼掛器601は、無線または有線通信を介してこの情報をコントローラ612などのプロセッサベースのシステムコントローラへ中継する。たとえば、一実施形態では、コントローラ612は、リーダ608による信号の生成を引き起こすように構成される。いくつかの実施形態では、コントローラ612は、呼掛器601を介して遠隔通信デバイス600からのデータを受信して分析するようにさらに構成される。本明細書のコントローラ612は、基板研磨プロセスの制御を容易にするために研磨システム20の様々な構成要素に結合された電力供給、クロック、キャッシュ、入出力(I/O)回路など、メモリ618(たとえば、不揮発性メモリ)および大容量記憶デバイス、入力制御ユニット、および表示ユニット(図示せず)とともに動作可能であるプログラム可能な中央処理装置(CPU)614を含む。いくつかの実施形態では、コントローラ612は、研磨システム20内のシステムレベルセンサを介して基板処理を監視するハードウェアを含む。
上述した研磨システム20、およびより具体的には遠隔通信デバイス600および対応する呼掛器601の制御を容易にするために、CPU614は、様々なチャンバおよびサブプロセッサを制御するプログラム可能な論理制御装置(PLC)などの工業的な環境で使用することができる任意の形態の汎用コンピュータプロセッサのうちの1つとすることができる。メモリ618は、CPU614に結合されており、メモリ618は非一過性であり、ランダムアクセスメモリ(RAM)、読取り専用メモリ(ROM)、フロッピーディスクドライブ、ハードディスク、または任意の他の形態の局所もしくは遠隔のデジタルストレージなど、容易に利用可能なメモリのうちの1つまたは複数とすることができる。CPU614には、従来のようにプロセッサを支持する支持回路616が結合される。呼掛器601を介した遠隔通信デバイス600からの信号生成命令、データ受信、および分析は、典型的にはソフトウェアルーチンであるメモリ618によって実行および記憶することができる。ソフトウェアルーチンはまた、CPU614によって制御されているハードウェアから遠隔に位置する第2のCPU(図示せず)によって記憶および/または実行することができる。
本明細書では、メモリ618は、CPU614によって実行されると遠隔通信デバイス600および呼掛器601の動作を含む研磨システム20の動作を容易にする命令を含むコンピュータ可読記憶媒体(たとえば、不揮発性メモリ)の形態である。メモリ618内の命令は、本開示の方法を実施するプログラムなどのプログラム製品(たとえば、ミドルウェアアプリケーション、機器ソフトウェアアプリケーションなど)の形態である。プログラムコードは、複数の異なるプログラミング言語のうちのいずれか1つに準拠することができる。一例では、本開示は、コンピュータシステムとともに使用するためのコンピュータ可読記憶媒体上に記憶されているプログラム製品として実施することができる。プログラム製品のプログラムは、実施形態(本明細書に記載する方法を含む)の機能を定義する。いくつかの実施形態では、機器ソフトウェアアプリケーション652およびミドルウェアアプリケーション651は、コントローラ612内に見られるCPU614およびメモリ618の使用によって実行される。
例示的なコンピュータ可読記憶媒体には、それだけに限定されるものではないが、(i)情報が恒久的に記憶される書込み可能でない記憶媒体(たとえば、CD-ROMドライブによって可読のCD-ROMディスク、フラッシュメモリ、ROMチップ、または任意のタイプの固体の不揮発性半導体メモリなどのコンピュータ内の読取り専用記憶デバイス)、ならびに(ii)可変情報が記憶される書込み可能な記憶媒体(たとえば、ディスケットドライブもしくはハードディスクドライブ内のフロッピーディスク、または任意のタイプの固体のランダムアクセス半導体メモリ)が含まれる。そのようなコンピュータ可読記憶媒体は、本明細書に記載する方法の機能を指示するコンピュータ可読命令を有するとき、本開示の実施形態である。
図4は、呼掛器601および遠隔通信デバイス600と相互作用するためにコントローラ612によって使用される複数のプログラム製品の概略論理図を示す。図4は下に、複数のRFIDリーダ(たとえば、リーダ6081~608N)と通信する複数のRDIFタグ(たとえば、タグ6021~602N)を示す。図4は中央に、ミドルウェアアプリケーション651をさらに示し、ミドルウェアアプリケーション651は、いくつかの実施形態では、コントローラ612のメモリ618内に常駐することができる。概して、ミドルウェアアプリケーションは、普通のオペレーティングシステムによって通常は提供されないサービスを備えたより高レベルのソフトウェアアプリケーションを提供することが可能なソフトウェアサブシステムである。図4に示すように、ミドルウェアアプリケーション651は、下にあるハードウェア(たとえば、RFIDリーダおよびタグ)から機器ソフトウェアアプリケーション652を分離する。
ミドルウェアアプリケーション651の底層を基点として、ハードウェア抽象化層411は、ハードウェア層をミドルウェアアプリケーション651内の他の層から分離するためのコードの論理的な分割を提供する。ハードウェア抽象化層411は、イベントおよびデータ管理層がRFIDリーダおよびタグと通信することを可能にするRFIDリーダドライバインターフェースを提供する。いくつかの実施形態では、RFIDリーダドライバはまた、ハードウェア層に対するアプリケーションの作成を可能にする1組のソフトウェア開発ツールであるソフトウェア開発キット(SDK)を提供する。いくつかの実施形態では、ハードウェア抽象化層411は、ミドルウェアアプリケーション651が、様々な販売業者によって提供されるRFIDリーダ6081~608Nまたはタグ6011~601Nなどのハードウェアとインターフェース接続することをさらに可能にする。
次にイベントおよびデータ管理層412へ進むと、イベントおよびデータ管理層412は、認証、構成、暗号(暗号化/解読)、符号化、ログビュア、ライセンシング、およびユーザ管理などのサービスを提供するソフトウェアコードおよび命令を含む。そのようなサービスまたは機能性は、コントローラ612がメモリ618内に記憶することができる普通のオペレーティングシステムによって通常は提供されない。ハードウェア抽象化層411およびイベントおよびデータ管理層412に加えて、ミドルウェアアプリケーション651は、上述した機能性の実装の詳細を抽象化するアプリケーション抽象化層413を含むことができる。いくつかの実施形態では、アプリケーション抽象化層413は、ミドルウェアアプリケーション651と機器ソフトウェアアプリケーション652との間の通信の明確に定義された方法を提供する1組のアプリケーションプログラミングインターフェース(API)を含む。加えて、いくつかの実施形態では、アプリケーション抽象化層は、ネットワークを介したミドルウェアアプリケーション651と機器ソフトウェアアプリケーション652との間の通信に利用される1つまたは複数のソケットを含む。
ソケットは、機器ソフトウェアアプリケーション652およびミドルウェアアプリケーション651がどちらもそれらの間で情報を送信および受信することができるように、双方向通信を可能にする。いくつかの実施形態では、ソケットベースのソフトウェアは、2つの異なるコンピュータデバイス上で走行し、異なるコンピュータ上に常駐するソフトウェアアプリケーション間の通信を可能にする。いくつかの他の実施形態では、ソケットは、単一のコンピュータ上の様々なソフトウェアアプリケーション間の局所通信に使用される。上述したアプリケーション抽象化層413のため、ミドルウェアアプリケーション651は、ごくわずかなカスタマイゼーションで機器ソフトウェアアプリケーション652に容易に統合することができる。
次に機器ソフトウェアアプリケーション652へ進む。典型的には、機器ソフトウェアアプリケーション652は、基板キャリアアセンブリ108および/または研磨パッド32などの提供業者など、研磨システム20および/またはそれとともに使用される処理構成要素の提供業者によって提供される。いくつかの実施形態では、機器ソフトウェアアプリケーション652は、コントローラ612のメモリ618内に常駐する。いくつかの実施形態では、機器ソフトウェアは、別のコンピュータデバイスまたはそのメモリ上に常駐し、通信リンク657を介してミドルウェアアプリケーション651と通信する。
いくつかの実施形態では、機器ソフトウェアアプリケーション652は、RFIDタグの読取りおよび書込み要求を可能にする。たとえば、一実施形態では、機器ソフトウェアアプリケーション652は、ユーザ/オペレータがそれと対話するためのユーザインターフェースを提供する。1つのそのような例では、ユーザ/オペレータは、読取り要求を介して遠隔通信デバイス600にデータを要求し、かつ/または書込み要求を介して遠隔通信デバイス600によって記憶すべき情報を送信する。上述したように、遠隔通信デバイス600とコントローラ612との間で送信および受信されるデータは、処理構成要素の検出、認証、および追跡、ならびに研磨システムの構成の変更または改善を可能にする。
一実施形態では、研磨システム20は、遠隔通信デバイス600が中に埋め込まれ、上に位置し、または結合された研磨パッドまたは基板キャリアアセンブリが設置されているときのみ動作に関与するように構成される。そのような実施形態では、研磨システムが研磨プロセスを開始する前に、処理構成要素を検出および認証する必要がある。一例として、処理システムのユーザ/オペレータによる使用のために、遠隔通信デバイス600が結合された基板キャリアアセンブリ108を設置することができる。遠隔通信デバイス600は、機器供給業者部品の部品番号、部品シリアル番号、部品構成タイプなどを含む部品識別子情報など、基板キャリアアセンブリ108の検出および認証のための記憶されている情報を含む。基板キャリアアセンブリ108が研磨システムのユーザ/オペレータによって設置された後、基板キャリアアセンブリ108に結合された遠隔通信デバイス600は、そのメモリ内に記憶されている部品識別子情報を、カルーセル支持板66の半径方向スロット72の周りに位置決めされた呼掛器601へ、それらの間で通信される1つまたは複数の無線信号を介して送信する。図6の例示的な動作620の活動622に記載するように、遠隔通信デバイス600から1つまたは複数の信号を受信した後、呼掛器601は、1つまたは複数の信号をコントローラ612内のミドルウェアアプリケーション651へ送信する。ミドルウェアアプリケーション651は、図6の例示的な動作620の活動624に記載するように、信号を処理して、基板キャリアアセンブリ108および/またはその処理構成要素を検出および/または認証する。たとえば、一実施形態では、イベントおよびデータ管理層412は、信号から導出される処理構成要素識別子情報を、ミドルウェアアプリケーション651によってアクセス可能なデータベース内に記憶されている複数の処理構成要素(部品)識別子と比較するように構成される。より具体的には、ミドルウェアアプリケーション651は、複数の機器供給業者部品(EPS)の部品番号ならびに所望の機器供給業者によって製造されたすべての部品シリアル番号を含む記憶されているデータベースを構文解析することができる。いくつかの実施形態では、データベースは、コントローラ612のメモリ618内に常駐することができる。いくつかの他の実施形態では、データベースは、別のシステム上に常駐することができ、コントローラ612内の1つまたは複数の構成要素にアクセス可能なネットワークを介して、ミドルウェアアプリケーション651によって、このデータベースにアクセスすることができる。
上記の例では、EPS部品番号および/または部品シリアル番号に対する一致を見つけたことに基づいて、ミドルウェアアプリケーション651は、基板キャリアアセンブリ、たとえば基板キャリアアセンブリ108によって1つまたは複数の信号が伝送されていることを検出することができ、また基板キャリアアセンブリ108が所望の機器供給業者によって製造された基板キャリアアセンブリなどの正規の処理構成要素アセンブリであることを認証することができる。部品識別子情報に加えて、本明細書の遠隔通信デバイス600はまた、基板キャリアアセンブリのサイズ、可撓性ダイアフラムのタイプ、基板保持リングのタイプ、および/またはそれに関連する研磨プロセス情報などの処理構成要素(部品)構成情報を伝送することができる。部品構成情報に基づいて、ミドルウェアアプリケーション651のイベントおよびデータ管理層412は、基板キャリアアセンブリ108の構成を判定し、研磨システムによって実行される1つまたは複数のプロセスの制御での使用のために、この情報を機器ソフトウェアアプリケーション652へ提供する。
図6の例示的な動作620の活動626に記載するように、遠隔通信デバイス600から受信した情報を使用して、コントローラ612は、1つまたは複数の基板処理動作を実行する。たとえば、いくつかの実施形態では、コントローラ612は、ミドルウェアアプリケーション651によって、上述したように判定した基板キャリアアセンブリ108の構成に基づいて研磨システム20の構成を設定または変更するように構成される。たとえば、研磨システム20は、基板キャリアアセンブリ108のサイズが所定の値を下回る場合、構成タイプAに設定する必要があることがあり、基板キャリアアセンブリ108のサイズが所定の値を上回る場合、構成タイプBを使用することができる。したがって、1つのサイズの基板キャリアアセンブリから別のものに変更するときにユーザ/オペレータが研磨システム20の構成を手動で設定および/または調整する代わりに、コントローラ612がそのような機能を自動的に実行する。上記で論じた基板キャリアアセンブリ108のサイズの例と同様に、研磨システム20の構成は、基板キャリアアセンブリ108を構成する可撓性ダイアフラム108Bおよび/または基板保持リング108Aのタイプに基づいてカスタマイズすることができる。いくつかの実施形態では、コントローラ612は、遠隔通信デバイス600から受信した研磨プロセス情報に基づいて、研磨システム20を特定のタイプの基板処理に対して構成する。いくつかの実施形態では、上述したように、遠隔通信デバイス600によって伝送される識別情報は、コントローラ612によって、ウエハ処理および/または取扱いシーケンスを自動的に割り当てるために使用される。
いくつかの実施形態では、呼掛器601によって受信された部品識別子および構成情報を使用した処理構成要素の認証後、コントローラ612は、研磨システム20を「アンロック」し、完全な研磨または処理の機能性に関与する。また、認証段階後、いくつかの実施形態では、機器ソフトウェアアプリケーション652および/またはミドルウェアアプリケーション651のロックされている特定の特徴がアンロックされる。たとえば、いくつかの実施形態では、研磨システム20は、必要とされる処理構成要素を認証する前に特定のタイプの研磨プロセスおよび/または動作を実行するのを防止するようにロックされている。認証後、研磨システム20は、以前はロックされていた研磨プロセスおよび/または動作に関与することができる。これは、いくつかの状況では、無許可のおよび/または不適合な処理構成要素を使用して特定の機能および/または研磨プロセスを実行する結果、安全でない処理状態および/または信頼できない研磨結果を招く可能性があるため、安全および信頼性を確実にするためである。
部品識別子および部品構成情報に加えて、いくつかの実施形態では、遠隔通信デバイス600は、処理構成要素に関連付けられている部品履歴情報またはアセンブリ履歴情報をさらに記憶し、コントローラ612へ伝送する。本明細書の部品履歴情報には、設置日、除去日、部品またはその関連アセンブリが修繕された回数、現在の基板処理数、過去の障害データ、寿命追跡情報、およびその追跡に有用な他の情報が含まれる。いくつかの実施形態では、部品履歴情報は、ミドルウェアアプリケーション651または機器ソフトウェアアプリケーション652によって、処理構成要素がさらなる使用にとって好適であるかどうかを判定するために使用することができる。たとえば、いくつかの実施形態では、処理構成要素に関連付けられている設置日は、処理構成要素が設置されてからどれだけ使用されてきたかを示す。
加えて、いくつかの処理構成要素、特に消耗構成要素の場合、処理された基板の数および/またはそれに関連付けられている処理状態などの基板処理に関する情報は、処理構成要素が受けた摩耗および亀裂の量を示す。たとえば、一実施形態では、コントローラ612は、所定の基板数を超えて研磨に使用された基板キャリアアセンブリ108などの処理構成要素または処理構成要素アセンブリを識別することができ、処理構成要素が識別された後、コントローラ612は、基板保持リングおよび/または可撓性ダイアフラムなどの基板キャリアアセンブリの消耗構成要素を交換する必要があると判定することができる。いくつかの実施形態では、後述するように、使用に関する情報(たとえば、基板数)が、動作ユーザ/オペレータによって、機器ソフトウェアアプリケーション652によって提供されるインターフェースを使用して入力され、次にミドルウェアアプリケーション651を介して遠隔通信デバイス600内に記憶される。
いくつかの実施形態では、遠隔通信デバイス600がセンサを備える場合、追跡情報が感知データによって提供される。そのような実施形態では、センサ604は、その消耗構成要素を含む研磨パッドおよび/または基板キャリアアセンブリなどの消耗構成要素の使用統計を追跡するために使用される。たとえば、一実施形態では、遠隔通信デバイス600を使用して、研磨パッドおよび/または基板キャリアアセンブリを使用して研磨される基板の数が追跡され、追跡データは、同時および/または後に呼掛器601へ通信される。追跡データは次いで、コントローラ612によって解釈され、その結果、研磨パッドおよび/または基板キャリアアセンブリの寿命がより正確に追跡され(本明細書に記載する実施形態を使用しない研磨システムと比較したとき)、適時の部品交換により、異なる処理構成要素の寿命にわたって改善されかつ/または繰返し可能な研磨性能を提供することが確実になる。いくつかの実施形態では、研磨システム20は、伝送されたタグデータ内で受信した研磨パッドなどの消耗構成要素の追跡された使用統計に基づいて、プロセス変数などの1つまたは複数の研磨パラメータを調整する。一例では、基板キャリアアセンブリ108の使用に関するプロセス変数(たとえば、可撓性ダイアフラムの圧力/下方に働く力)が、研磨パッドの寿命にわたって研磨パッドが受けた研磨性能の変化を補償するように調整される。
上述したように、処理構成要素(部品)履歴はまた、寿命追跡データを含み、寿命追跡データは、いくつかの実施形態では、処理構成要素が過去にいつ、どこで、および/またはどのように使用されたか(たとえば、どの製造施設か、どの研磨システムか、および/またはどのタイプの研磨プロセスかなど)を示すために使用される。寿命追跡データはまた、処理構成要素が何時間使用されたかに関する情報を含み、この情報は、何回および/またはどの処理構成要素および処理構成要素アセンブリ(たとえば、基板キャリアアセンブリの場合、処理構成要素が基板保持リング、研磨ダイアフラム、および/または他の消耗構成要素を含む)がサービス寿命の終わりに近づいているかなどを示す。部品またはそれに付随するアセンブリの部品履歴を追跡することで、研磨システムおよびその上で実行されるプロセスの安全および信頼性が確実になる。
部品履歴情報に加えて、いくつかの実施形態では、遠隔通信デバイス600は、ライフサイクル情報を記憶し、コントローラ612へ伝送する。いくつかの処理構成要素の修繕は、その製造に伴う費用のために共通であるが、研磨性能要件および他の考慮すべき点のため、処理構成要素を修繕することができる回数が制限されることが多い。本明細書に記載する実施形態を使用して判定された処理構成要素のライフサイクルデータは、部品が何回修繕されたか、およびその部品がそれを修繕することができる回数の所定の限界に到達したかどうかに関する情報を提供する。1つのそのような例では、コントローラ612は、たとえば、処理構成要素を廃棄する必要があることを、動作ユーザ/オペレータに示す。いくつかの実施形態では、遠隔通信デバイス600によって記憶および伝送される部品履歴情報およびライフサイクルデータは、対応する処理構成要素および/またはそれに伴う研磨システムに対する保守スケジュールを決定および/または展開するために使用される。
いくつかの実施形態では、遠隔通信デバイス600は、処理構成要素および/または処理構成要素アセンブリの障害情報を記憶し、コントローラ612へ伝送するために使用される。いくつかの実施形態では、現在の部品またはアセンブリが所望されるように実行することができないことに関する障害情報が、ユーザ/オペレータによって、機器ソフトウェアアプリケーション652によって提供されるインターフェースを使用して入力される。いくつかの実施形態では、さらに後述するように、障害情報は後に、ミドルウェアアプリケーション651を介して1つまたは複数の遠隔通信デバイス600によって記憶される。また、いくつかの実施形態では、障害情報は、遠隔通信デバイス600または研磨システム20内の他の領域内に配置された1つまたは複数のセンサ(たとえば、センサ604)によって感知される。
さらに、いくつかの実施形態では、顧客/製造名および処理システム識別(ID)情報が、遠隔通信デバイス600によって記憶され、コントローラ612へ伝送される。この情報は、処理構成要素がどこでおよび/または誰に属していたか(たとえば、どの顧客および/または製造施設かなど)を示す。さらに、遠隔通信デバイス600によって伝送される識別および感知データを使用することで、障害分析をより効率的に実行することが可能になる。典型的には、そのような障害分析の結果は、遠隔通信デバイス600内に記憶される。
いくつかの実施形態では、ミドルウェアアプリケーション651は、RFIDリーダの設定を調節するための診断ユーザインターフェースを提供する。加えて、いくつかの実施形態では、ミドルウェアアプリケーション651は、データのセキュリティのために、RFIDリーダとRFIDタグとの間のその通信を暗号化するように構成される。本明細書のミドルウェアアプリケーション651は、複数のRFIDタグを区別し、同時にそれらのすべてとの通信に関与することがさらに可能である。さらに、いくつかの実施形態では、ミドルウェアアプリケーション651は、異なるユーザの異なるアクセス特権を管理することが可能である。
識別および感知情報がミドルウェアアプリケーション651によって受信および処理された後、ミドルウェアアプリケーション651は、その情報を、1つまたは複数のAPIを介して機器ソフトウェアアプリケーション652へ伝送する。機器ソフトウェアアプリケーション652は次いで、そのような情報を処理システムのユーザ/オペレータへのユーザインターフェース内に表示する。図1Bに関連して説明したように、図1Bに示す遠隔通信デバイス600とソフトウェア階層構造の異なる層との間の通信は両方向通信である。
したがって、いくつかの実施形態では、機器ソフトウェアアプリケーション652は、RFIDタグメモリへの読取り/書込み動作に対する要求を受け付ける。いくつかの実施形態では、認証の目的で、機器ソフトウェアアプリケーション652は、それに結合された遠隔通信デバイス600が検出された後、処理構成要素に識別情報を要求するように構成される。いくつかの実施形態では、機器ソフトウェアアプリケーション652は、遠隔通信デバイス600のメモリ603へ書込み動作に対する要求を行うように構成される。そのような実施形態では、図7の例示的な動作700の活動702および704に記載するように、コントローラ612は、処理構成要素(たとえば、基板キャリアアセンブリ108)を研磨システム20から取り外す前に、1つまたは複数の信号を遠隔通信デバイス600へ送達してその中に記憶させる。たとえば、いくつかの実施形態では、システムのユーザ/オペレータによって、機器ソフトウェアアプリケーション652によって提供されるユーザインターフェース内に障害情報が入力される。この情報は次いで、そのメモリ603内に記憶するために、呼掛器601を介して遠隔通信デバイス600へ伝送される。いくつかの実施形態では、上述した他の識別または感知情報が、機器ソフトウェアアプリケーション652から下流へ進み、次の使用中に後に回収するために、遠隔通信デバイス600によって記憶される。
いくつかの実施形態では、ミドルウェアアプリケーション651または機器ソフトウェアアプリケーション652によって遠隔通信デバイス600から収集された識別および感知情報は、典型的には半導体製造プロセスの品質制御に使用される統計方法である統計プロセス制御(SPC)方法に使用される。そのような実施形態では、障害情報または分析(上述)ならびに処理構成要素および/または処理構成要素アセンブリ構成情報を含むデータが、SPC方法、特に自動化されたデータ入力に依拠するSPC方法に特に有用である。いくつかの実施形態では、SPC方法は、ミドルウェアアプリケーション651または機器ソフトウェアアプリケーション652によって実施および実行される。いくつかの他の実施形態では、ミドルウェアアプリケーション651または機器ソフトウェアアプリケーション652によって収集される識別および感知情報は、ファブレベルソフトウェアアプリケーション653へ伝送され、そこでSPC方法が実行される。
典型的には、ファブレベルソフトウェアアプリケーション653は、製造施設内の研磨システムおよび/またはそれらのコントローラのすべてに接続されたサーバ上に常駐する。たとえば、典型的な製造施設では、識別およびセンサ情報が、本明細書に記載する研磨システム20などの複数の異なる研磨システムによって使用されている多数の処理構成要素(たとえば、基板キャリアアセンブリ)から収集される。そのような例では、SPC方法を実行した後、これらの研磨システムによって使用される特有のタイプの基板キャリアアセンブリに関する特定の傾向が、ファブレベルソフトウェアアプリケーション653によって導出される。一例として、処理された識別および感知情報は、記憶デバイスがその上に形成された基板を研磨するために使用される特定の基板キャリアアセンブリが、論理デバイスがその上に形成された基板を研磨するために使用されるときと同じ基板キャリアアセンブリより高い故障率を有することを示すことがある。この情報は次いで、関連する異なる当事者(たとえば、システムのユーザ/オペレータ、部品の製造業者など)が、研磨プロセスおよび/または処理構成要素などに変更を加えるために使用することができる。ファブレベルソフトウェアアプリケーション653に加えて、いくつかの実施形態では、識別および感知データは、処理構成要素の状態に関する更新を提供するために、コントローラ612またはファブレベルコントローラ(図示せず)によって形成される外部通信リンクを介して、研磨システムの製造業者および/または処理構成要素の供給業者へさらに伝送される。この情報は、研磨システムによって設置および検出された後、研磨プロセス中、ならびにプロセスが終了した後、処理構成要素の状態に対する追加の可視性を提供する。
上述したように、本明細書に記載する方法および装置は、研磨システム20以外のツールまたはデバイスによって利用することができる。本明細書に提供する1つまたは複数の研磨構成要素、処理構成要素アセンブリ、および研磨プロセスに関する説明は、本明細書に提供する本開示の範囲を限定することを意図するものではなく、したがって本明細書に提供する開示の実施形態のうちの1つまたは複数は、図5に記載する物理的気相堆積(PVD)チャンバなど、交換可能であり、消耗し、および/または制限された有用寿命時間を有する処理構成要素および/または処理構成要素アセンブリを含む任意のタイプのツールまたはデバイスとともに使用することができる。
図5は、本明細書に記載する実施形態から利益を得るように適合させることができる別の例示的な基板処理システム、本明細書では物理的気相堆積(PVD)処理チャンバの概略横断面図である。本明細書に提供する実施形態から利益を得るように適合させることができる処理チャンバの他の例は、カリフォルニア州サンタクララのApplied Materials,Inc.から入手可能なALPS(登録商標)PlusおよびSIP ENCORE(登録商標)PVD処理チャンバである。しかし、他の製造業者からのものを含む他の処理チャンバも、本明細書に記載する実施形態から利益を得るように適合させることができることが企図される。本明細書に記載する処理チャンバ100は、チタンまたはアルミニウム酸化物または窒化物を基板105上に堆積させるように構成される。他の実施形態では、処理チャンバ100は、たとえばアルミニウム、銅、タンタル、窒化タンタル、炭化タンタル、タングステン、窒化タングステン、ランタン、酸化ランタン、チタン、またはこれらの組合せを堆積させるためなどの他の目的で使用される。
処理チャンバ100は、チャンバ本体101を含み、チャンバ本体101は、内部体積110を画定する1つまたは複数の上部アダプタ102および1つまたは複数の側壁アダプタ104、チャンバ底部106、ならびにリッドアセンブリ808を有する。チャンバ本体101は、典型的には、ステンレス鋼の板を機械加工および溶接すること、または一塊のアルミニウムを機械加工することによって製作される。一実施形態では、側壁アダプタ104はアルミニウムを含み、チャンバ底部106はステンレス鋼を含む。処理チャンバ100のリッドアセンブリ808は、カバーリング170と交互配置された接地シールド160と協働して、内部体積110内に形成されるプラズマを基板105の上の領域に実質上閉じ込める。
処理チャンバ100は、内部体積110内に配置された基板支持アセンブリ120をさらに含み、基板支持アセンブリ120は、接地板125に結合されたベースプレート128に密閉して結合された基板支持体126を含む。基板支持アセンブリ120は、可動に配置された支持シャフト122上に配置され、支持シャフト122は、チャンバ底部106を通って密閉して延びる。支持シャフト122は、基板105の処理および処理チャンバ100に対するその移送を容易にするために、支持シャフト122、したがってその上に配置された基板支持アセンブリ120を上下させるように構成されたアクチュエータ(図示せず)に結合される。ベローズ124が支持シャフト122に外接し、基板支持アセンブリ120およびチャンバ底部106に結合されて、それらの間の柔軟な密閉を提供し、内部体積110の真空の完全性を維持する。
基板105は、ドアまたはバルブ(図示せず)によって従来どおり密閉されたチャンバ本体101を通って形成される開口(図示せず)を通って、処理チャンバ100の内外へ移送される。いくつかの実施形態では、処理チャンバ100は、基板処理システムの移送チャンバおよび/または他のチャンバに結合される。典型的には、基板支持体126の基板受取り面127との間の基板105の移送を容易にするために、基板支持アセンブリ120を通って複数のリフトピン(図示せず)が可動に配置される。基板支持アセンブリ120が下降位置にあるとき、複数のリフトピンは、基板受取り面127の上に延び、それによってロボットハンドラによるアクセスのために基板105を基板支持体126から隔置させる。基板支持アセンブリ120が上昇処理位置にあるとき、複数のリフトピンの頂部は、基板受取り面127と同じ高さまたはその下に位置し、基板105は、処理のために基板受取り面127上に直接位置する。リフトピンの頂部および基板支持体126の基板受取り面127の相対位置は、基板支持体126が処理チャンバ100の内部体積110内で下降しているとき、静止もしくは可動のピンプレート(図示せず)のそれらの下端または処理チャンバ100のチャンバ底部106との接触によって変更することができる。
典型的には、基板支持体126は、アルミニウム、セラミック、またはこれらの組合せから構成される。いくつかの実施形態では、基板支持体126は、静電チャックを備えており、チャック電極138が中に埋め込まれた誘電体材料から形成される。いくつかの実施形態では、基板支持体126および/またはそれに結合されたベースプレート128は、その中に配置された抵抗加熱要素(図示せず)および/または冷却チャネル(図示せず)を使用して基板を加熱および/または冷却するように構成される。典型的には、冷却チャネルは、冷媒源または温度制御流体源などの冷却剤源(図示せず)と流体連結されている。本明細書では、基板支持アセンブリ120は、堆積プロセス中に基板105とともに堆積リング302を支持する。
リッドアセンブリ808は、概して、ターゲットバッキング板130、ターゲット132、およびマグネトロン134を含む。ターゲットバッキング板130は、図5に示すように、リッドアセンブリ808が閉鎖位置にあるとき、上部アダプタ102によって支持される。ターゲットバッキング板130と上部アダプタ102との間には、それらの間の真空漏れを防止するために、セラミックリングシール136が配置される。
ターゲット132は、ターゲットバッキング板130に結合され、処理チャンバ100の内部体積110に露出される。ターゲット132は、PVDプロセス中に基板105上に堆積させるための材料を提供する。ターゲット132と、ターゲットバッキング板130と、ターゲット132をターゲットバッキング板130およびチャンバ本体101の上部アダプタ102から電気的に分離するチャンバ本体101との間には、絶縁リング180が配置される。
ターゲット132は、電源140によって、RFおよび/またはDC電力により接地、たとえばチャンバ本体101に対して付勢される。内部体積110には、ガス源142から導管144を介してアルゴンなどのガスが供給される。ガス源142は、ターゲット132からの材料に強く衝突してスパッタリングすることが可能なアルゴンまたはキセノンなどの非反応ガスを含むことができる。使用済みプロセスガスおよび副生成物は、処理チャンバ100の内部体積110から排気口146を通って排気される。排気口146は、使用済みプロセスガスを受け取り、処理チャンバ100の内部体積110内のガスの圧力を制御するためのスロットルバルブを有する排気導管148へ使用済みプロセスガスを誘導する。排気導管148は、1つまたは複数の排気ポンプ149に流体的に結合される。典型的には、処理チャンバ100の内部体積110内のスパッタリングガスの圧力は、真空環境、たとえば約0.6ミリトル~約400ミリトルのガス圧力などの大気圧以下のレベルに設定される。基板105とターゲット132との間のガスから、プラズマが形成される。プラズマ内のイオンは、ターゲット132の方へ加速され、その表面から材料を押しのける。押しのけられたターゲット材料は、基板上に堆積する。マグネトロン134は、ターゲットバッキング板130を覆うようにターゲット領域815内に配置され、ターゲット領域815は、処理チャンバ100上に位置決めされた誘電体支持体811および誘電体ターゲットリッド812によって密閉される。いくつかの実施形態では、誘電体ターゲットリッド812は、処理中にターゲット領域815内で軸803の周りで動かすことができるようにマグネトロン134に結合されたモータ(図示せず)を含む。
処理チャンバ100で実行されるプロセスは、その中の基板の処理を容易にするように処理チャンバ100の構成要素を動作させる命令セットを有するプログラムコードを備えるコントローラ190によって制御される。たとえば、一実施形態では、コントローラ190は、基板支持アセンブリ120を位置決めするための基板位置決め命令セット、処理チャンバ100の内部体積110へのスパッタリングガスの流量を設定するようにガス流量制御バルブを動作させるためのガス流量制御命令セット、内部体積110内の圧力を維持するようにスロットルバルブを動作させるためのガス圧力制御命令セット、ターゲット132に電力供給するためのプロセススパッタリング電力制御命令セット、基板または側壁アダプタ104の温度をそれぞれ設定するように基板支持アセンブリ120または側壁アダプタ104内の温度制御システム(図示せず)を制御するための温度制御命令セット、および処理チャンバ100内のプロセスを監視するためのプロセス監視命令セットを含むプログラムコードを備える。コントローラ190によって処理チャンバ100へ提供される命令セットは、堆積プロセスレシピをともに構成する1組の堆積プロセスパラメータ、本明細書では堆積プロセス変数を含む。本明細書の堆積プロセス変数の例には、それだけに限定されるものではないが、基板105の表面とターゲット132の表面との間の距離、ターゲット132へ提供されるバイアス電力、基板支持体126および/またはその上に配置される基板105の温度、処理チャンバ100に入るスパッタリングガスおよび/または反応ガスの流量、内部体積110内の圧力、堆積持続時間、軸803の周りのマグネトロン134の速度、ならびにいくつかの実施形態では、基板支持体126内に配置されたバイアス電極(図示せず)へ提供される基板バイアス電力が含まれる。多くの場合、いくつかのタイプの処理構成要素がいくつかの基板堆積プロセスに対して不適合であり、したがってそれらとの使用に対して許可されていないため、特有のタイプの処理構成要素が、いくつかの堆積プロセスレシピとの使用に対して必要とされ、他の堆積プロセスレシピとの使用に対して禁止される。
典型的には、処理チャンバ100は、たとえば、構成要素の表面からのスパッタリング堆積物の洗浄、浸食された構成要素の交換もしくは修理、または他のプロセスおよび/もしくは用途に対する処理チャンバ100の適合のため、処理チャンバ100から容易に取り外すことができる様々な処理構成要素を備えるプロセスキット150を含む。一実施形態では、プロセスキット150は、接地シールド160と、交互配置されたカバーリング170と、一体型の接地シールド160と交互配置されたカバーリング170との間に制御された間隙を提供する中心合わせ機構175とを備える。いくつかの実施形態では、プロセスキット150は、堆積リング302をさらに備える。
本明細書では、1つまたは複数の遠隔通信デバイス600が、処理チャンバ100および/またはその中に配置された処理構成要素の様々な領域上に位置し、それらの中に埋め込まれ、それらの中に配置され、または他の方法でそれらに結合されている。一実施形態では、第1の遠隔通信デバイス600Aが、ターゲット132上に位置し、その中に埋め込まれ、その中に配置され、または他の方法でそれに結合されており、第1の呼掛器601Aと通信しており、第1の呼掛器601Aは、マグネトロン134に隣接して、チャンバ本体101の誘電体支持体811上に位置し、その中に埋め込まれ、その中に配置され、または他の方法でそれに結合されている。別の実施形態では、マグネトロン134の磁石801上に位置し、その中に埋め込まれ、その中に配置され、または他の方法でそれに結合された第2の遠隔通信デバイス600Bが、第2の呼掛器601Bと通信しており、第2の呼掛器601Bは、図5に示すように、ヨークまたはプロセス片上に位置し、その中に埋め込まれ、その中に配置され、または他の方法でそれに結合されている。図5にさらに示すように、呼掛器601Aおよび601Bが、通信リンク655Aおよび655Bをそれぞれ使用して、ミドルウェアアプリケーション651と通信しており、ミドルウェアアプリケーション651は、いくつかの実施形態では、コントローラ190上に常駐する。いくつかの実施形態では、通信リンク655Aおよび655Bは有線接続であり、他の実施形態では、無線通信プロトコルである。
本明細書では、遠隔通信デバイス600Aおよび600Bは、処理構成要素(たとえば、ターゲット132)の検出、認証、および追跡、ならびに処理チャンバ100内の特定の区別された特徴の設定、再構成、またはアンロックを含めて、図1~4記載する研磨システム20に関連して上述したものと同じ機能性を可能にするように動作する。したがって、遠隔通信デバイス600Aおよび600B内に記憶されている識別情報が、信号を介して呼掛器601Aおよび601Bによってそれぞれ受信された後、情報は、図4に関連して説明したものと同じソフトウェアアプリケーション階層構造を通って進むことができる。したがって、遠隔通信デバイス600A~Bと、異なるレベルのソフトウェアアプリケーション(たとえば、651、652、および653)との間の両方向通信により、上述した機能性が可能になり、また遠隔通信デバイス600Aおよび600B内に情報を記憶することが可能になる。
たとえば、ターゲット132および/または磁石801などの処理構成要素に特有の特定の情報が、それぞれ遠隔通信デバイス600Aおよび/または600B内に記憶される。研磨システム20の基板キャリアアセンブリ108と同様に、ターゲット132および/または磁石801もまた、いくつかの実施形態ではRFIDタグであるそれぞれの遠隔通信デバイス600A~B内に記憶されている情報を使用して検出および認証される。一例では、図4に関連して説明した認証後、識別情報によって識別される磁石のタイプおよび/またはターゲットのタイプに基づいて、特定のプロセスまたは動作をアンロックすることができる。たとえば、一実施形態では、処理チャンバ100は、部品を含む遠隔通信デバイスの認証まで、特定のタイプのPVD堆積動作を実行することがロックされる。認証後、たとえば、処理チャンバ100がアンロックされ、以前はロックされていた堆積プロセス変数の方法に関与することができる。一例では、遠隔通信デバイス600Aおよび/または600Bから受信した情報に基づいて、機器ソフトウェアアプリケーション652は、ミドルウェアアプリケーション651により受信した情報に基づいて、ターゲット132に適用されるDCもしくはRF電力レベルまたは基板支持アセンブリ120に適用される温度設定点を増大または低減させることが可能になる。一例では、遠隔通信デバイス600Aおよび/または600Bのうちの1つがシステム内に存在しない場合、機器ソフトウェアアプリケーション652内の1つまたは複数のプロセス変数を変更する能力は可能にされないことがある。部品を含む遠隔通信デバイス600Aおよび/または600Bの存在または状態による様々なプロセス変数の設定点をインタロックする能力を使用して、処理チャンバまたは処理システム内の堆積プロセスの安全および信頼性を確実にすることができる。いくつかの場合、無許可のおよび/または不適合な処理構成要素を使用する結果、安全でない動作条件および/または信頼できない処理結果を招く可能性がある。
いくつかの実施形態では、遠隔通信デバイス600A~B、呼掛器601A~B、および処理チャンバ100のコントローラ190は、図1~4に記載するそれぞれ研磨システム20の遠隔通信デバイス600、呼掛器601、およびコントローラ612と同じ構成要素を含み、同様に動作する。
また、その中に配置された消耗構成要素および非消耗構成要素を含む処理構成要素の検出、認証、および追跡を可能にするために、他のタイプのデバイスが無線通信デバイスを利用することもできるため、上述した実施形態は、CMPデバイスおよびPVD処理チャンバに限定されるものではないことに留意することが重要である。

Claims (15)

  1. 基板処理システム内に配置された処理構成要素を使用して基板を処理する方法であって、
    呼掛器を使用して、前記基板処理システム内に配置された処理構成要素に結合された遠隔通信デバイスから、前記処理構成要素に関する識別子情報を含む1つまたは複数の信号を受信することと、
    コントローラを使用して、データベース内に記憶されている処理構成要素識別子と前記識別子情報を比較し、前記処理構成要素を認証することと、
    前記コントローラを使用して、前記処理構成要素の前記認証に基づいて、1つまたは複数の基板処理動作を実行することとを含む方法。
  2. 前記処理構成要素を認証する前に、前記コントローラを使用して、前記1つまたは複数の信号に基づいて、前記処理構成要素の存在を検出すること
    をさらに含む、請求項1に記載の方法。
  3. 前記コントローラ上に常駐するミドルウェアアプリケーションを使用して、前記1つまたは複数の信号を処理し、1つまたは複数のデータパラメータを生成することと、
    前記ミドルウェアアプリケーションを使用して、前記1つまたは複数のデータパラメータを、1つまたは複数のアプリケーションプログラミングインターフェース(API)を介してユーザアプリケーションへ送信することと
    をさらに含む、請求項1に記載の方法。
  4. 1つまたは複数のアプリケーションプログラミングインターフェース(API)を介して、ユーザインターフェースアプリケーションからユーザ入力を受信することと、
    前記呼掛器を使用して、そのメモリ内に記憶するために、前記ユーザ入力を前記遠隔通信デバイスへ送信することと
    をさらに含む、請求項1に記載の方法。
  5. 前記ユーザ入力が、前記処理構成要素に対応する障害情報を含む、請求項4に記載の方法。
  6. 前記ユーザ入力が、前記処理構成要素に対応する使用情報を含む、請求項4に記載の方法。
  7. 前記1つまたは複数の基板処理動作を実行することが、前記処理構成要素との使用が許可されたプロセスレシピをアンロックすることを含む、請求項1に記載の方法。
  8. 前記1つまたは複数の基板処理動作を実行することが、プロセスレシピのプロセス変数を変更することを含む、請求項1に記載の方法。
  9. 前記1つまたは複数の信号が、処理構成要素の識別子、処理構成要素の構成、処理構成要素の履歴、障害情報、ライフサイクルデータ、顧客名、および処理システムの識別情報からなる1群から選択された情報を含む、請求項1に記載の方法。
  10. 基板処理システム内に配置された処理構成要素を使用して基板を処理する方法であって、
    第1の基板処理システム内の処理構成要素に結合された、RFIDタグを備える遠隔通信デバイスへ1つまたは複数の信号を送達することと、
    前記1つまたは複数の信号内で受信した情報を前記遠隔通信デバイスのメモリ内に記憶してから、前記処理構成要素を前記基板処理システムから取り外すことと、
    前記処理構成要素が前記第1の基板処理システムまたは第2の基板処理システム内に設置された後、前記記憶されている情報の少なくとも一部分を前記遠隔通信デバイスから受信することとを含む方法。
  11. 前記記憶されている情報の前記一部分の受信に基づいて、前記第1または第2の基板処理システム上で1つまたは複数の基板処理動作を実行すること
    をさらに含む、請求項10に記載の方法。
  12. 前記記憶されている情報が、処理構成要素の識別子、処理構成要素の構成、処理構成要素の履歴、障害情報、ライフサイクルデータ、顧客名、および処理システムの識別情報からなる1群から選択された情報を含む、請求項10に記載の方法。
  13. 基板処理システム内に配置された処理構成要素を使用して基板を処理する方法であって、
    呼掛器を介して、前記処理構成要素に結合されたセンサによって検出された1つまたは複数の処理状態に関する情報を含む1つまたは複数の信号をRFIDタグから受信することと、
    前記基板処理システム内で実行されるプロセスを制御するように適合されたコントローラを使用して、前記1つまたは複数の信号を分析することとを含み、前記コントローラが、受信した前記情報に応答して、前記研磨プロセスの変更を開始する、方法。
  14. 前記1つまたは複数の処理状態が、温度データ、圧力データ、導電率データ、弾性係数データ、光学データ、音響データ、およびフィルム厚データのうちの少なくとも1つを含む、請求項18に記載の方法。
  15. 前記センサが、熱センサ、音響センサ、伝導率センサ、および加速度計のうちの1つである、請求項13に記載の方法。
JP2022082744A 2016-12-02 2022-05-20 処理構成要素のrfid部品認証および追跡 Active JP7439164B2 (ja)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201662429726P 2016-12-02 2016-12-02
US62/429,726 2016-12-02
US201762476626P 2017-03-24 2017-03-24
US62/476,626 2017-03-24
JP2019529508A JP7079249B2 (ja) 2016-12-02 2017-11-15 処理構成要素のrfid部品認証および追跡
PCT/US2017/061829 WO2018102134A1 (en) 2016-12-02 2017-11-15 Rfid part authentication and tracking of processing components

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2019529508A Division JP7079249B2 (ja) 2016-12-02 2017-11-15 処理構成要素のrfid部品認証および追跡

Publications (2)

Publication Number Publication Date
JP2022122901A true JP2022122901A (ja) 2022-08-23
JP7439164B2 JP7439164B2 (ja) 2024-02-27

Family

ID=62240606

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2019529508A Active JP7079249B2 (ja) 2016-12-02 2017-11-15 処理構成要素のrfid部品認証および追跡
JP2022082744A Active JP7439164B2 (ja) 2016-12-02 2022-05-20 処理構成要素のrfid部品認証および追跡

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2019529508A Active JP7079249B2 (ja) 2016-12-02 2017-11-15 処理構成要素のrfid部品認証および追跡

Country Status (7)

Country Link
US (2) US10930535B2 (ja)
EP (2) EP3982395A1 (ja)
JP (2) JP7079249B2 (ja)
KR (2) KR20190083373A (ja)
CN (2) CN110024087B (ja)
TW (2) TWI777993B (ja)
WO (1) WO2018102134A1 (ja)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
SG10202002601QA (en) 2014-10-17 2020-05-28 Applied Materials Inc Cmp pad construction with composite material properties using additive manufacturing processes
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
KR20230169424A (ko) 2015-10-30 2023-12-15 어플라이드 머티어리얼스, 인코포레이티드 원하는 제타 전위를 가진 연마 제품을 형성하는 장치 및 방법
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10930535B2 (en) * 2016-12-02 2021-02-23 Applied Materials, Inc. RFID part authentication and tracking of processing components
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
WO2019032286A1 (en) 2017-08-07 2019-02-14 Applied Materials, Inc. ABRASIVE DISTRIBUTION POLISHING PADS AND METHODS OF MAKING SAME
JP7045861B2 (ja) * 2018-01-17 2022-04-01 株式会社ディスコ 支持基台
CN111684571A (zh) * 2018-02-05 2020-09-18 应用材料公司 用于3d打印的cmp垫的压电终点指示
KR102493014B1 (ko) * 2018-07-03 2023-01-31 주식회사 케이씨텍 기판 연마 시스템
IT201800008047A1 (it) 2018-08-10 2020-02-10 Mole Abrasivi Ermoli Srl Sistema di molatura comprendente una molatrice e una mola e metodo per scambiare informazioni tra molatrice e mola
IT201800008045A1 (it) * 2018-08-10 2020-02-10 Mole Abrasivi Ermoli Srl Sistema di molatura comprendente una mola ed una molatrice con sistema di ricetrasmissione dati mobile
JP7299970B2 (ja) 2018-09-04 2023-06-28 アプライド マテリアルズ インコーポレイテッド 改良型研磨パッドのための配合物
CN112912209A (zh) 2018-10-25 2021-06-04 3M创新有限公司 机器人油漆修复系统和方法
KR102629678B1 (ko) * 2018-11-08 2024-01-29 주식회사 케이씨텍 기판 처리 장치
JP7300297B2 (ja) * 2019-04-02 2023-06-29 株式会社荏原製作所 積層メンブレン、積層メンブレンを備える基板保持装置および基板処理装置
JP2021100017A (ja) * 2019-12-20 2021-07-01 株式会社Kokusai Electric 基板処理装置、基板処理システム、半導体装置の製造方法、プログラムおよび記録媒体
CN114603482B (zh) * 2020-12-03 2023-03-21 长鑫存储技术有限公司 压力检测系统及压力检测方法
US11878389B2 (en) 2021-02-10 2024-01-23 Applied Materials, Inc. Structures formed using an additive manufacturing process for regenerating surface texture in situ
US20220285180A1 (en) * 2021-03-08 2022-09-08 Applied Materials, Inc. Enclosure system structure
US20230143307A1 (en) * 2021-10-29 2023-05-11 Brooks Automation US, LLC. Substrate processing apparatus

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008310404A (ja) * 2007-06-12 2008-12-25 Nikon Corp 研磨装置
JP2009221460A (ja) * 2008-02-19 2009-10-01 Toray Ind Inc ポリアミド微粒子、プリプレグ及び炭素繊維強化複合材料
JP2009542449A (ja) * 2006-06-28 2009-12-03 スリーエム イノベイティブ プロパティズ カンパニー 研磨物品、cmpモニタリングシステム及び方法
JP2011194509A (ja) * 2010-03-19 2011-10-06 Nitta Haas Inc 研磨装置、研磨パッドおよび研磨情報管理システム

Family Cites Families (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4421068A (en) 1982-07-06 1983-12-20 Measurex Corporation Optimization of steam distribution
US4544375A (en) 1983-11-25 1985-10-01 Institute Of Gas Technology Apparatus and process for controlling fluidized beds
JPH0660826B2 (ja) 1989-02-07 1994-08-10 動力炉・核燃料開発事業団 プラントの異常診断方法
JP2533942B2 (ja) 1989-03-13 1996-09-11 株式会社日立製作所 知識抽出方法およびプロセス運転支援システム
US4995430A (en) 1989-05-19 1991-02-26 Asyst Technologies, Inc. Sealable transportable container having improved latch mechanism
JPH05121521A (ja) 1991-10-29 1993-05-18 Komatsu Electron Metals Co Ltd 半導体ウエハ製造装置および製造方法
US5499733A (en) 1992-09-17 1996-03-19 Luxtron Corporation Optical techniques of measuring endpoint during the processing of material layers in an optically hostile environment
US5740033A (en) 1992-10-13 1998-04-14 The Dow Chemical Company Model predictive controller
CA2118885C (en) 1993-04-29 2005-05-24 Conrad K. Teran Process control system
DE69520097T2 (de) 1994-03-17 2001-07-19 Dow Benelux System zur echtzeit optimierung und darstellung des gewinns
US5486995A (en) 1994-03-17 1996-01-23 Dow Benelux N.V. System for real time optimization
JP3313505B2 (ja) 1994-04-14 2002-08-12 株式会社日立製作所 研磨加工法
US5682309A (en) 1995-04-28 1997-10-28 Exxon Chemical Patents Inc. Feedback method for controlling non-linear processes
US5799286A (en) 1995-06-07 1998-08-25 Electronic Data Systems Corporation Automated activity-based management system
US5609517A (en) 1995-11-20 1997-03-11 International Business Machines Corporation Composite polishing pad
US5691895A (en) 1995-12-18 1997-11-25 International Business Machines Corporation Mechanism and architecture for manufacturing control and optimization
US5667629A (en) 1996-06-21 1997-09-16 Chartered Semiconductor Manufactuing Pte, Ltd. Method and apparatus for determination of the end point in chemical mechanical polishing
US5954997A (en) 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US5957751A (en) * 1997-05-23 1999-09-28 Applied Materials, Inc. Carrier head with a substrate detection mechanism for a chemical mechanical polishing system
US5967661A (en) * 1997-06-02 1999-10-19 Sensarray Corporation Temperature calibration substrate
US5972793A (en) 1997-06-09 1999-10-26 Vanguard International Semiconductor Corporation Photolithography alignment mark manufacturing process in tungsten CMP metallization
US5969639A (en) * 1997-07-28 1999-10-19 Lockheed Martin Energy Research Corporation Temperature measuring device
US6195593B1 (en) 1997-09-03 2001-02-27 Seiko Epson Corporation Reusable modules for complex integrated circuit devices
US6768415B1 (en) * 1997-10-03 2004-07-27 Micron Technology, Inc. Wireless identification device, RFID device with push-on/push-off switch, method of manufacturing wireless identification device
US6249227B1 (en) * 1998-01-05 2001-06-19 Intermec Ip Corp. RFID integrated in electronic assets
US6128540A (en) 1998-02-20 2000-10-03 Hagen Method Pty. Ltd. Method and computer system for controlling an industrial process using financial analysis
US6268641B1 (en) 1998-03-30 2001-07-31 Kabushiki Kaisha Toshiba Semiconductor wafer having identification indication and method of manufacturing the same
US6047579A (en) 1998-04-17 2000-04-11 The Minster Machine Company RF tag attached to die assembly for use in press machine
US5987398A (en) 1998-04-30 1999-11-16 Sony Corporation Method and apparatus for statistical process control of machines and processes having non-constant mean of a response variable
US6337577B1 (en) * 1998-05-11 2002-01-08 Micron Technology, Inc. Interconnect and system for testing bumped semiconductor components with on-board multiplex circuitry for expanding tester resources
US6677776B2 (en) * 1998-05-11 2004-01-13 Micron Technology, Inc. Method and system having switching network for testing semiconductor components on a substrate
US6263255B1 (en) 1998-05-18 2001-07-17 Advanced Micro Devices, Inc. Advanced process control for semiconductor manufacturing
US6157916A (en) 1998-06-17 2000-12-05 The Hoffman Group Method and apparatus to control the operating speed of a papermaking facility
WO2000002236A2 (en) * 1998-07-07 2000-01-13 Memc Electronic Materials, Inc. Radio frequency identification system and method for tracking silicon wafers
US6502869B1 (en) 1998-07-14 2003-01-07 Asyst Technologies, Inc. Pod door to port door retention system
US6197604B1 (en) 1998-10-01 2001-03-06 Advanced Micro Devices, Inc. Method for providing cooperative run-to-run control for multi-product and multi-process semiconductor fabrication
US7131890B1 (en) 1998-11-06 2006-11-07 Beaver Creek Concepts, Inc. In situ finishing control
US7008300B1 (en) 2000-10-10 2006-03-07 Beaver Creek Concepts Inc Advanced wafer refining
US6568989B1 (en) 1999-04-01 2003-05-27 Beaver Creek Concepts Inc Semiconductor wafer finishing control
US6986698B1 (en) 1999-04-01 2006-01-17 Beaver Creek Concepts Inc Wafer refining
US7037172B1 (en) 1999-04-01 2006-05-02 Beaver Creek Concepts Inc Advanced wafer planarizing
US6739947B1 (en) 1998-11-06 2004-05-25 Beaver Creek Concepts Inc In situ friction detector method and apparatus
US7878882B2 (en) * 1999-04-01 2011-02-01 Charles J. Molnar Advanced workpiece finishing
US7575501B1 (en) * 1999-04-01 2009-08-18 Beaver Creek Concepts Inc Advanced workpiece finishing
US6240335B1 (en) 1998-12-14 2001-05-29 Palo Alto Technologies, Inc. Distributed control system architecture and method for a material transport system
US6242935B1 (en) * 1999-01-21 2001-06-05 Micron Technology, Inc. Interconnect for testing semiconductor components and method of fabrication
US7206646B2 (en) 1999-02-22 2007-04-17 Fisher-Rosemount Systems, Inc. Method and apparatus for performing a function in a plant using process performance monitoring with process equipment monitoring and control
US6298470B1 (en) 1999-04-15 2001-10-02 Micron Technology, Inc. Method for efficient manufacturing of integrated circuits
US20020010563A1 (en) 1999-06-15 2002-01-24 S. Michael Ratteree Method for achieving and verifying increased productivity in an industrial process
US6328802B1 (en) * 1999-09-14 2001-12-11 Lsi Logic Corporation Method and apparatus for determining temperature of a semiconductor wafer during fabrication thereof
US6408227B1 (en) 1999-09-29 2002-06-18 The University Of Iowa Research Foundation System and method for controlling effluents in treatment systems
ATE390655T1 (de) * 2000-04-20 2008-04-15 Cogiscan Inc Automatisches herstellungssteuerungssystem
US6546304B2 (en) 2000-05-30 2003-04-08 Marel Hf. Integrated meat processing and information handling method
US6567718B1 (en) 2000-07-28 2003-05-20 Advanced Micro Devices, Inc. Method and apparatus for monitoring consumable performance
US6351684B1 (en) 2000-09-19 2002-02-26 Advanced Micro Devices, Inc. Mask identification database server
US6883710B2 (en) 2000-10-11 2005-04-26 Amerasia International Technology, Inc. Article tracking system and method
JP3847568B2 (ja) 2001-03-01 2006-11-22 ファブソリューション株式会社 半導体装置製造方法
US7143016B1 (en) 2001-03-02 2006-11-28 Rockwell Automation Technologies, Inc. System and method for dynamic multi-objective optimization of pumping system operation and diagnostics
US6738731B1 (en) * 2001-03-22 2004-05-18 Advanced Micro Devices, Inc. Method and apparatus for using tool state information to identify faulty wafers
TW594455B (en) * 2001-04-19 2004-06-21 Onwafer Technologies Inc Methods and apparatus for obtaining data for process operation, optimization, monitoring, and control
US20020192966A1 (en) * 2001-06-19 2002-12-19 Shanmugasundram Arulkumar P. In situ sensor based control of semiconductor processing procedure
US7082345B2 (en) 2001-06-19 2006-07-25 Applied Materials, Inc. Method, system and medium for process control for the matching of tools, chambers and/or other semiconductor-related entities
US6936842B2 (en) * 2001-06-27 2005-08-30 Applied Materials, Inc. Method and apparatus for process monitoring
US6847854B2 (en) 2001-08-10 2005-01-25 Rockwell Automation Technologies, Inc. System and method for dynamic multi-objective optimization of machine selection, integration and utilization
US7797062B2 (en) 2001-08-10 2010-09-14 Rockwell Automation Technologies, Inc. System and method for dynamic multi-objective optimization of machine selection, integration and utilization
US20030046130A1 (en) 2001-08-24 2003-03-06 Golightly Robert S. System and method for real-time enterprise optimization
WO2003025689A2 (en) 2001-09-14 2003-03-27 Ibex Process Technology, Inc. Large scale process control by driving factor identification
WO2003025685A1 (en) 2001-09-14 2003-03-27 Ibex Process Technology, Inc. Scalable, hierarchical control for complex processes
US7156717B2 (en) 2001-09-20 2007-01-02 Molnar Charles J situ finishing aid control
WO2003049168A1 (fr) * 2001-12-06 2003-06-12 Ebara Corporation Dispositif de support de substrat et dispositif de polissage
US6941184B2 (en) 2002-01-11 2005-09-06 Sap Aktiengesellschaft Exchange of article-based information between multiple enterprises
US6901304B2 (en) 2002-01-11 2005-05-31 Sap Aktiengesellschaft Item tracking system architectures providing real-time visibility to supply chain
US7969306B2 (en) 2002-01-11 2011-06-28 Sap Aktiengesellschaft Context-aware and real-time item tracking system architecture and scenarios
US7840803B2 (en) * 2002-04-16 2010-11-23 Massachusetts Institute Of Technology Authentication of integrated circuits
US6828542B2 (en) * 2002-06-07 2004-12-07 Brion Technologies, Inc. System and method for lithography process monitoring and control
US7158850B2 (en) 2002-06-14 2007-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Wireless wafer carrier identification and enterprise data synchronization
US6834212B1 (en) 2002-07-03 2004-12-21 Blue Control Technologies, Inc. Method and apparatus for APC solver engine and heuristic
US20040008123A1 (en) 2002-07-15 2004-01-15 Battelle Memorial Institute System and method for tracking medical devices
US6970857B2 (en) 2002-09-05 2005-11-29 Ibex Process Technology, Inc. Intelligent control for process optimization and parts maintenance
US7573370B2 (en) 2002-09-05 2009-08-11 Honeywell International Inc. Method and device for storing and distributing information in an RFID tag
US7050863B2 (en) 2002-09-11 2006-05-23 Fisher-Rosemount Systems, Inc. Integrated model predictive control and optimization within a process control system
US6807503B2 (en) * 2002-11-04 2004-10-19 Brion Technologies, Inc. Method and apparatus for monitoring integrated circuit fabrication
US7333001B2 (en) * 2002-11-23 2008-02-19 Kathleen Lane Secure personal RFID documents and method of use
US6985787B2 (en) * 2002-12-31 2006-01-10 Tokyo Electron Limited Method and apparatus for monitoring parts in a material processing system
US6822582B2 (en) 2003-02-25 2004-11-23 Hunter Engineering Company Radio frequency identification automotive service systems
US7020569B2 (en) 2003-03-13 2006-03-28 Ibex Process Technology, Inc. Intelligent modelling of process and tool health
US20070282480A1 (en) * 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US7840439B2 (en) 2003-11-10 2010-11-23 Nintendo Of America, Inc. RF-ID product tracking system with privacy enhancement
US20050193222A1 (en) 2004-03-01 2005-09-01 Greene William S. Providing secure data and policy exchange between domains in a multi-domain grid by use of a service ecosystem facilitating uses such as supply-chain integration with RIFD tagged items and barcodes
EP1745416B1 (en) 2004-04-22 2017-07-05 Sensitech Inc. Recording of location event information in rfid tags
JP4020396B2 (ja) 2004-05-11 2007-12-12 インターナショナル・ビジネス・マシーンズ・コーポレーション 製品を追跡するための装置及び方法
DE102004037347A1 (de) 2004-08-02 2006-02-23 Infineon Technologies Ag Identifikationsdatenträger-Anordnung, Lese-Vorrichtung und Identifikations-System
US7152011B2 (en) * 2004-08-25 2006-12-19 Lam Research Corporation Smart component-based management techniques in a substrate processing system
US20060043197A1 (en) * 2004-08-31 2006-03-02 Chang Yung C Carrier facilitating radio-frequency identification (RFID) operation in a semiconductor fabrication system
US20060075968A1 (en) * 2004-10-12 2006-04-13 Applied Materials, Inc. Leak detector and process gas monitor
US7243547B2 (en) * 2004-10-13 2007-07-17 Honeywell International Inc. MEMS SAW sensor
US7441320B2 (en) 2004-10-15 2008-10-28 Lsi Corporation Method of validating manufacturing configurations during hardware assembly
US7316347B2 (en) * 2005-01-07 2008-01-08 Ctb Mcgraw-Hill Linking articles to content via RFID
US7239242B2 (en) 2005-01-26 2007-07-03 Axcelis Technologies, Inc. Parts authentication employing radio frequency identification
US7712674B1 (en) * 2005-02-22 2010-05-11 Eigent Technologies Llc RFID devices for verification of correctness, reliability, functionality and security
US7551086B2 (en) 2005-09-20 2009-06-23 The Boeing Company System and methods for tracking aircraft components
US7423547B2 (en) 2005-09-29 2008-09-09 Lear Corporation System and method for verifying assembly of manufactured parts using RFID tags
JP4676324B2 (ja) * 2005-11-29 2011-04-27 株式会社日本コンラックス 非接触icメディアとの間で通信を行う端末装置及びその通信方法
WO2007068002A2 (en) 2005-12-09 2007-06-14 Tego Inc. Multiple radio frequency network node rfid tag
US20070146141A1 (en) * 2005-12-22 2007-06-28 Popplewell Lewis M Method for authenticating product
US7893833B2 (en) * 2006-01-20 2011-02-22 Sanmina-Sci Corporation Inline system for collecting stage-by-stage manufacturing metrics
US7587812B2 (en) * 2006-02-07 2009-09-15 Applied Materials, Inc. Electronic device manufacturing component with an embedded chip and methods of using the same
US7493181B2 (en) * 2006-02-23 2009-02-17 International Business Machines Corporation Utilizing an RFID tag in manufacturing for enhanced lifecycle management
US20070280605A1 (en) * 2006-05-31 2007-12-06 Mendoza Edgar A Fiber bragg grating sensor interrogator and manufacture thereof
US7512291B2 (en) * 2006-05-31 2009-03-31 Mendoza Edgar A Fiber bragg crating sensor interrogation method
JP2008093735A (ja) 2006-10-05 2008-04-24 Disco Abrasive Syst Ltd 加工装置
US20080126414A1 (en) 2006-11-27 2008-05-29 International Business Machines Corporation Method, system, and computer program product for providing a program interface for communications between a manufacturing execution system and a transport system
DE102007011880A1 (de) 2007-03-13 2008-09-18 Peter Wolters Gmbh Bearbeitungsmaschine mit Mitteln zur Erfassung von Bearbeitungsparametern
US7629184B2 (en) * 2007-03-20 2009-12-08 Tokyo Electron Limited RFID temperature sensing wafer, system and method
US20090327715A1 (en) * 2007-05-04 2009-12-31 Smith Kevin W System and Method for Cryptographic Identification of Interchangeable Parts
US8388410B2 (en) 2007-11-05 2013-03-05 P.R. Hoffman Machine Products, Inc. RFID-containing carriers used for silicon wafer quality
JP5442950B2 (ja) * 2008-01-29 2014-03-19 ルネサスエレクトロニクス株式会社 半導体装置、その製造方法、当該半導体装置を用いた信号送受信方法、およびテスタ装置
US8229587B2 (en) * 2008-02-22 2012-07-24 Muratec Automation Co., Ltd. Semiconductor fabrication facility visualization system with performance optimization
TW201006609A (en) 2008-06-09 2010-02-16 Applied Materials Inc CMP pad identification and layer ratio modeling
US20100001862A1 (en) * 2008-07-03 2010-01-07 James Charles Wilson Method for authenticating radio frequency identification
JP5475999B2 (ja) * 2009-01-15 2014-04-16 株式会社国際電気セミコンダクターサービス 基板処理装置、半導体装置の製造方法、基板処理システム及び識別プログラム
US8285414B2 (en) * 2009-03-31 2012-10-09 International Business Machines Corporation Method and system for evaluating a machine tool operating characteristics
US20100279438A1 (en) 2009-05-01 2010-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method of in-situ identification for contamination control in semiconductor fabrication
SG176601A1 (en) * 2009-05-29 2012-01-30 Univ Arizona Method of providing a flexible semiconductor device at high temperatures and flexible semiconductor device thereof
JP5271816B2 (ja) * 2009-06-10 2013-08-21 株式会社日立製作所 Icタグへのデータ書き込みシステム及び方法
SG177597A1 (en) * 2009-07-10 2012-03-29 Certicom Corp System and method for performing serialization of devices
US20110010770A1 (en) * 2009-07-10 2011-01-13 Certicom Corp. System and method for performing key injection to devices
EP2977941B1 (en) * 2009-07-10 2022-11-16 BlackBerry Limited System and method for managing electronic assets
US8712571B2 (en) 2009-08-07 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for wireless transmission of diagnostic information
US8870080B2 (en) * 2010-08-12 2014-10-28 Féinics Amatech Teoranta RFID antenna modules and methods
JP5088517B2 (ja) 2010-09-30 2012-12-05 日本電気株式会社 検疫装置、検疫システム、検疫方法、及びプログラム
US8273610B2 (en) * 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
MY193783A (en) * 2011-06-06 2022-10-27 Paramit Corp System and method for managing tool calibration in computer directed assembly and manufacturing
WO2013044037A1 (en) * 2011-09-23 2013-03-28 Thomson Licensing Method and system for disc authentication and security
US20130086385A1 (en) * 2011-09-30 2013-04-04 Yuri Poeluev System and Method for Providing Hardware-Based Security
US9419146B2 (en) * 2012-01-26 2016-08-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
JP6220526B2 (ja) * 2012-02-29 2017-10-25 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP2013236068A (ja) * 2012-04-12 2013-11-21 Semiconductor Energy Lab Co Ltd 半導体装置及び半導体装置の作製方法
KR102330543B1 (ko) * 2012-04-13 2021-11-23 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
US9029863B2 (en) * 2012-04-20 2015-05-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9006024B2 (en) * 2012-04-25 2015-04-14 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US9048323B2 (en) * 2012-04-30 2015-06-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US9064195B2 (en) 2012-06-29 2015-06-23 Dynamics Inc. Multiple layer card circuit boards
US20140032378A1 (en) * 2012-07-30 2014-01-30 Budimir Damnjanovic Product authentication process and system for preventing counterfeiting and piracy in market distribution channels
TWI581404B (zh) * 2012-08-10 2017-05-01 半導體能源研究所股份有限公司 半導體裝置以及該半導體裝置的驅動方法
US8881297B2 (en) * 2012-09-06 2014-11-04 Brooks Automation, Inc. Access arbitration module and system for semiconductor fabrication equipment and methods for using and operating the same
US20140297545A1 (en) * 2012-09-12 2014-10-02 Chachi Prasad System and Method for Verifying and Communicating about OEM Products
US20160012498A1 (en) * 2012-09-12 2016-01-14 Chachi Prasad System and method for identifying, verifying and communicating about oem products using unique identifiers
WO2014078151A1 (en) * 2012-11-16 2014-05-22 Applied Materials, Inc. Recording measurements by sensors for a carrier head
US20140149296A1 (en) * 2012-11-29 2014-05-29 Applied Materials, Inc. Enhanced preventative maintenance utilizing direct part marking
US9429247B2 (en) * 2013-03-13 2016-08-30 Applied Materials, Inc. Acoustically-monitored semiconductor substrate processing systems and methods
KR102124129B1 (ko) 2013-09-24 2020-06-18 삼성디스플레이 주식회사 유기물 증착 장치 및 유기 발광 표시 장치
US9904734B2 (en) * 2013-10-07 2018-02-27 Apdn (B.V.I.) Inc. Multimode image and spectral reader
JP6568879B2 (ja) * 2014-03-14 2019-08-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated スマートチャンバおよびスマートチャンバ構成要素
US9831238B2 (en) * 2014-05-30 2017-11-28 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device including insulating film having opening portion and conductive film in the opening portion
US9878421B2 (en) 2014-06-16 2018-01-30 Applied Materials, Inc. Chemical mechanical polishing retaining ring with integrated sensor
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10621594B2 (en) * 2016-02-19 2020-04-14 Alitheon, Inc. Multi-level authentication
US10930535B2 (en) * 2016-12-02 2021-02-23 Applied Materials, Inc. RFID part authentication and tracking of processing components
US11405189B1 (en) * 2021-11-18 2022-08-02 James E. Bennison Systems and methods for trustworthy electronic authentication using a computing device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009542449A (ja) * 2006-06-28 2009-12-03 スリーエム イノベイティブ プロパティズ カンパニー 研磨物品、cmpモニタリングシステム及び方法
JP2008310404A (ja) * 2007-06-12 2008-12-25 Nikon Corp 研磨装置
JP2009221460A (ja) * 2008-02-19 2009-10-01 Toray Ind Inc ポリアミド微粒子、プリプレグ及び炭素繊維強化複合材料
JP2011194509A (ja) * 2010-03-19 2011-10-06 Nitta Haas Inc 研磨装置、研磨パッドおよび研磨情報管理システム

Also Published As

Publication number Publication date
JP7079249B2 (ja) 2022-06-01
CN112908842A (zh) 2021-06-04
EP3549156B1 (en) 2021-12-29
TWI777993B (zh) 2022-09-21
US20210175106A1 (en) 2021-06-10
EP3549156A4 (en) 2020-07-08
KR20190083373A (ko) 2019-07-11
JP2020512681A (ja) 2020-04-23
TW201828388A (zh) 2018-08-01
US10930535B2 (en) 2021-02-23
US20180158707A1 (en) 2018-06-07
EP3982395A1 (en) 2022-04-13
JP7439164B2 (ja) 2024-02-27
US11848220B2 (en) 2023-12-19
EP3549156A1 (en) 2019-10-09
KR20230026520A (ko) 2023-02-24
CN110024087B (zh) 2023-08-08
CN110024087A (zh) 2019-07-16
WO2018102134A1 (en) 2018-06-07
TW202249156A (zh) 2022-12-16

Similar Documents

Publication Publication Date Title
JP7439164B2 (ja) 処理構成要素のrfid部品認証および追跡
JP7182653B2 (ja) 研磨装置、及び研磨方法
US6567718B1 (en) Method and apparatus for monitoring consumable performance
US7980922B2 (en) Method and system for controlling chemical mechanical polishing by controllably moving a slurry outlet
US6540591B1 (en) Method and apparatus for post-polish thickness and uniformity control
TWI780114B (zh) 為cmp位置特定研磨設計的螺旋及同心圓移動
KR102564376B1 (ko) 화학 기계적 폴리싱 스마트 링
EP1399960A1 (en) In situ sensor based control of semiconductor processing procedure
WO2021029264A1 (ja) 終点検知装置、終点検知方法
CN115697631A (zh) 自适应浆料分配系统
EP1354343B1 (en) Use of endpoint system to match individual processing stations within a tool
US20020087229A1 (en) Use of endpoint system to match individual processing stations wirhin a tool
KR102276869B1 (ko) 화학적 기계적 연마 자동화된 레시피 생성
US20040149690A1 (en) Computer integrated manufacturing control system for oxide chemical mechanical polishing
JP2023507093A (ja) 消耗チャンバ部品におけるカプセル化rfid
WO2023234973A1 (en) Acoustic monitoring of cmp retaining ring

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220620

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220620

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230721

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230727

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20231026

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231227

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240115

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240214

R150 Certificate of patent or registration of utility model

Ref document number: 7439164

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150