TW201828388A - Rfid部件認證及處理組件的追蹤 - Google Patents

Rfid部件認證及處理組件的追蹤 Download PDF

Info

Publication number
TW201828388A
TW201828388A TW106142097A TW106142097A TW201828388A TW 201828388 A TW201828388 A TW 201828388A TW 106142097 A TW106142097 A TW 106142097A TW 106142097 A TW106142097 A TW 106142097A TW 201828388 A TW201828388 A TW 201828388A
Authority
TW
Taiwan
Prior art keywords
processing
substrate
processing component
communication device
remote communication
Prior art date
Application number
TW106142097A
Other languages
English (en)
Other versions
TWI777993B (zh
Inventor
艾爾 杭特
羅素 杜克
阿米塔布 普立
史帝芬M 瑞迪
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201828388A publication Critical patent/TW201828388A/zh
Application granted granted Critical
Publication of TWI777993B publication Critical patent/TWI777993B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67294Apparatus for monitoring, sorting or marking using identification means, e.g. labels on substrates or labels on containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/04Programme control other than numerical control, i.e. in sequence controllers or logic controllers
    • G05B19/042Programme control other than numerical control, i.e. in sequence controllers or logic controllers using digital processors
    • G05B19/0423Input/output
    • G05B19/0425Safety, monitoring
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/4183Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by data acquisition, e.g. workpiece identification
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06KGRAPHICAL DATA READING; PRESENTATION OF DATA; RECORD CARRIERS; HANDLING RECORD CARRIERS
    • G06K7/00Methods or arrangements for sensing record carriers, e.g. for reading patterns
    • G06K7/10Methods or arrangements for sensing record carriers, e.g. for reading patterns by electromagnetic radiation, e.g. optical sensing; by corpuscular radiation
    • G06K7/10009Methods or arrangements for sensing record carriers, e.g. for reading patterns by electromagnetic radiation, e.g. optical sensing; by corpuscular radiation sensing by radiation using wavelengths larger than 0.1 mm, e.g. radio-waves or microwaves
    • G06K7/10366Methods or arrangements for sensing record carriers, e.g. for reading patterns by electromagnetic radiation, e.g. optical sensing; by corpuscular radiation sensing by radiation using wavelengths larger than 0.1 mm, e.g. radio-waves or microwaves the interrogation device being adapted for miscellaneous applications
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L63/00Network architectures or network communication protocols for network security
    • H04L63/04Network architectures or network communication protocols for network security for providing a confidential data exchange among entities communicating through data packet networks
    • H04L63/0428Network architectures or network communication protocols for network security for providing a confidential data exchange among entities communicating through data packet networks wherein the data content is protected, e.g. by encrypting or encapsulating the payload
    • H04L63/0492Network architectures or network communication protocols for network security for providing a confidential data exchange among entities communicating through data packet networks wherein the data content is protected, e.g. by encrypting or encapsulating the payload by using a location-limited connection, e.g. near-field communication or limited proximity of entities
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L9/00Cryptographic mechanisms or cryptographic arrangements for secret or secure communications; Network security protocols
    • H04L9/32Cryptographic mechanisms or cryptographic arrangements for secret or secure communications; Network security protocols including means for verifying the identity or authority of a user of the system or for message authentication, e.g. authorization, entity authentication, data integrity or data verification, non-repudiation, key authentication or verification of credentials
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04WWIRELESS COMMUNICATION NETWORKS
    • H04W12/00Security arrangements; Authentication; Protecting privacy or anonymity
    • H04W12/06Authentication
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B1/00Processes of grinding or polishing; Use of auxiliary equipment in connection with such processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/27Work carriers
    • B24B37/30Work carriers for single side lapping of plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B7/00Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor
    • B24B7/20Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor characterised by a special design with respect to properties of the material of non-metallic articles to be ground
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31322Work still to be done on workpiece
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers

Abstract

本案提供的實施例乃供於用於偵測、認證、及追蹤處理組件的方法及設備,該等處理組件包括用在電子裝置製造(像是半導體晶片製造)之基板處理系統上的可消耗組件或非可消耗組件。本案中的半導體處理系統及/或其處理組件包括一遠端通訊裝置(像是無線通訊設備),例如射頻識別(RFID)裝置或其他裝置,該裝置經嵌入一或更多處理組件或處理組件總成中、設置於一或更多處理組件或處理組件總成中、設置於其上、位在一或更多處理組件或處理組件總成上、或耦合至一或更多處理組件或處理組件總成,及/或該裝置整合在該半導體處理系統本身內。該處理組件可包括單一組件(部件)或是經使用在該半導體處理工具內的組件(部件)的一組合件。

Description

RFID部件認證及處理組件的追蹤
本揭示案的實施例概略關於在基板處理系統中認證、追蹤、及使用處理組件(像是可消耗組件)的設備及方法。本說明書中所述實施例進一步關於在電子裝置製程中所使用之基板處理系統中及/或從該基板處理系統收集資料的系統及技術,以及該基板處理系統中的處理組件。
半導體晶片製造需要多種類型的基板處理系統。常見地,基板處理系統針對其操作需要數個處理組件,像是可消耗組件(隨著使用而磨損或用盡且因此需要經常替換及/或補給的組件)和非可消耗組件(典型為不會隨使用而用盡或消耗的處理組件/部件)。經常,該等處理組件將有一組特定特性,了解該組特定特性對於在該基板處理系統中達成該等處理組建的最佳利用是重要的。
本文中的處理系統的一例是化學機械研磨(CMP)系統。CMP常見用於高密度積體電路的製造中,藉由以安裝在研磨平臺上的研磨墊接觸基板上沉積的所要平坦化的一材料層,並在研磨流體或研磨性粒子之存在下移動研磨墊及/或基板(因此還有該基板上的該材料層表面),以將該材料層平坦化或研磨。CMP系統需要數個可消耗組件,像是研磨墊、基板載器組合件及其個別組件、鑽石調節盤、及隨著使用而磨損並需要經常替換及/或補給的其他組件。在研磨程序中使用經認證可消耗組件(例如,來自合格供應商及/或授權供應商的可消耗組件)是重要的,因為使用未經認證可消耗組件(例如,偽造組件、來自不合格供應商及/或未經授權供應商的可消耗組件或是不相容於特定程序的可消耗組件)可能導致不安全的處理條件及/或不可靠的研磨結果。此外,在CMP系統上使用及/或連同CMP系統使用的個別可消耗組件經常有特定的特性,該CMP系統可能需要針對該些特性來經配置以最佳地及/或安全地使用該可消耗組件及/或與其相關的對應CMP系統部件。
研磨墊、基板載器組合件及其個別組件、和其他習用的CMP系統處理組件經常缺少裝置及/或方法使能進行像是以下的功能:偵測故障、認證真正的及/或經授權組件、追蹤有關系統或組件部件的有用資料、感測程序條件或有用資料、及監控CMP程序的態樣或其他有用的程序資訊。
因此,在本領域中需要有裝置及方法提供處理組件認證及/或追蹤,以確保程序可重複性及可靠性,並藉以改善裝置產能且確保處理系統的安全操作。也需要有能夠偵測及認證工具供應商之裝備處理組件/部件的系統、可消耗部件、及其他設備,以確保部件品質及系統可靠性。需要用於電子裝置製造的基板處理系統和處理組件(包括可消耗組件),其提供改善的研磨效能及可預期的程序感測功能。此外,需要有製造此種裝置的方法。
本揭示案的實施例概略有關電子裝置製程中使用的基板處理系統。更特定地,本文所述實施例有關對於一電子裝置製程中所使用之基板處理系統之中、之上、或一起使用的處理組件的遠端追蹤及認證。像是化學機械研磨(CMP)系統、化學氣相沉積(CVD)腔室、物理氣相沉積(PVD)腔室、離子佈植腔室、蝕刻處理系統及/或腔室、光蝕刻處理系統、基板薄化系統(例如背研磨(backgrind))、相關的處理系統、以及在電子裝置(像是半導體裝置)之製造中所使用的其他處理系統。
在一實施例中提供了一種利用設置在基板處理系統內之處理組件來處理基板的方法。該方法包含,利用一詢問器,接收來自一遠端通訊裝置的一或更多信號,該遠端通訊裝置耦合至設置在該基板處理系統中的一處理組件。在本說明書中,該一或更多信號包含有關於該處理組件的資訊。該方法進一步包含利用一控制器,將該識別符資訊與儲存在一資料庫中的處理組件識別符做比較,以認證該處理組件,以及利用該控制器,根據該處理組件的該認證來進行一或更多基板處理操作。
在另一實施例中,一種利用設置在基板處理系統內之處理組件來處理基板的方法包含傳遞一或更多信號至一遠端通訊裝置,該遠端通訊裝置包含一RFID標籤。在本說明書中,該遠端通訊裝置經設置在該基板處理系統內的一處理組件上。該方法進一步包含在從該基板處理系統移出該處理組件之前,將在該一或更多信號中接收的資訊儲存在該遠端通訊裝置的一記憶體內,以及在該處理組件已被再安裝在該基板處理系統內後,從該遠端通訊裝置接收該經儲存資訊的至少一部分。
在另一實施例中,一種利用設置在基板處理系統內之處理組件來處理基板的方法包含經由一詢問器接收來自一RFID標籤的一或更多信號,其中該一或更多信號包括有關由一感測器所偵測之一或更多處理參數的資訊,該感測器耦合至該處理組件,以及利用一控制器分析該一或更多信號,該控制器經調適以控制在該基板處理系統內進行的一製程,其中該控制器回應於所接收的該一或更多信號來起始該研磨程序中的一改變。
在一實施例中,該基板處理系統包括一旋轉料架支撐板,其具有一插槽圍繞耦合至一基板載器組合件的一載器驅動桿。該基板載器組合件包括經設置在其中的一RFID標籤,以藉繞著該旋轉料架支撐板的插槽繞圈的詢問器來通訊。該詢問器及該RFID標籤經配置以利用無線通訊技術來互相通訊。
在另一實施例中,該基板處理系統包含一處理室,該處理室包括一靶材及一詢問器,該靶材具有一RFID標籤經設置在其內或其上,該詢問器經嵌入一介電支撐件內,該介電支撐件經設置在該處理室的內部容積中。該詢問器及該RFID標籤經配置以利用無線通訊技術來互相通訊。
在另一實施例中,該基板處理系統包含包括一磁控管的一處理室,該磁控管具有一磁鐵及一詢問器,該磁鐵有一RFID標籤嵌入其中,該詢問器經嵌入一軛或一程序部件內。該詢問器及該RFID標籤經配置以利用無線通訊技術來互相通訊。
特定實施例提供了一種利用設置在基板處理系統內之處理組件來處理基板的方法。該方法包括在處理期間利用一詢問器接收來自一RFID標籤的一或更多信號,該RFID標籤耦合至一處理組件,其中該一或更多信號包含有關該處理組件的資訊,基於該一或更多信號以利用該控制器認證該處理組件,以及基於該一或更多信號以利用該控制器進行一或更多基板處理操作。
特定實施例提供了一種利用設置在基板處理系統內之處理組件來處理基板的方法。該方法包括傳遞一或更多信號至一遠端通訊裝置,該遠端通訊裝置包含一RFID標籤,其中該遠端通訊裝置經設置在該基板處理系統內的一處理組件上,在從該基板處理系統移除該處理組件之前將在該一或更多信號中接收的資訊儲存在該遠端通訊裝置的一記憶體內,以及在該處理組件已被再安裝在該基板處理系統內之後從該遠端通訊裝置接收該經儲存資訊的至少一部分。
特定實施例提供了一種利用設置在基板處理系統內之處理組件來處理基板的方法。該方法包括經由一詢問器來從RFID標籤接收一或更多信號,其中該一或更多信號包括有關一感測器所偵測之一或更多處理參數的資訊,該感測器耦合至該處理組件,以及利用一控制器來分析該一或更多信號,該控制器經調適以控制在該基板處理系統內進行的一程序,其中該控制器回應於接收該一或更多信號的步驟而起始該研磨程序中的一改變。
本揭示案的實施例概略有關電子裝置製程中使用的基板處理系統。更特定地,本文所述實施例有關對於一電子裝置製程中所使用之基板處理系統之中、之上、或一起使用的處理組件的遠端追蹤及認證,像是化學機械研磨(CMP)系統、化學氣相沉積(CVD)腔室、物理氣相沉積(PVD)腔室、離子佈植腔室、蝕刻處理系統、光蝕刻處理系統、及在電子裝置之製造中所使用的其他處理系統。
本說明書中所述範例基板處理系統包括化學機械研磨(CMP)系統及物理氣相沉積(PVD)系統,然而,本說明書中所述實施例可與任何可得益於對基板處理系統中所用之處理組件的遠端追蹤及認證的基板處理系統一起使用,像是化學氣相沉積系統(CVD)、物理氣相沉積(PVD)系統、離子佈植系統、蝕刻處理系統、光蝕刻處理系統、及基板薄化系統(例如背研磨)。本說明書中的範例基板處理系統包括被使用在該基板處理系統之中、與該基板處理系統一起使用、或被使用在該基板處理系統之上的處理組件(包括非可消耗組件及可消耗組件),該處理組件具有一或更多遠端通訊裝置,像是無線通訊裝置(包括射頻識別(RFID)裝置及/或其他適當的無線通訊裝置),該些通訊裝置經設置在該處理組件上、設置在該處理組件內、嵌入該處理組件內、位在該處理組件上、或以其他方式耦合至該處理組件,以使能認證及追蹤該處理組件。
本說明書中的處理組件包括被使用在基板處理系統之中、之上、及/或連同基板處理系統一起使用的單一非可消耗組件、單一可消耗組件、以及非可消耗組件及/或可消耗組件的總成。本說明書中的方法包括利用一詢問器從一遠端通訊裝置(像是RFID標籤)接收一或更多信號,該遠端通訊裝置在基板處理步驟之前、期間、及/或之後被設置在半導體處理系統的處理組件上、設置在該處理組件內、嵌入該處理組件內、位在該處理組件上、或其他方式耦合至該處理組件。該一或更多信號包括有關該處理組件的資訊。本說明書中的方法進一步包括基於該一或更多信號,利用該控制器來認證該處理組件,以及基於該一或更多信號,利用該控制器來進行一或更多基板處理操作。在一些其他實施例中,方法包括了傳遞一或更多信號給一遠端通訊裝置,該遠端通訊裝置包含一RFID標籤,其中該遠端通訊裝置經設置在基板處理系統內的一處理組件上、經設置在該處理組件內、經嵌入該處理組件內、位在該處理組件上、或以其他方式耦合至該處理組件,在將該處理組件從該基板處理系統移除之前將在該一或更多信號中接收的資訊儲存在該遠端通訊裝置的一記憶體內,以及在該處理組件已被再安裝在該基板處理系統內之後從該遠端通訊裝置接收該經儲存資訊的至少一部分。還有一些其他實施例中,方法包括了以下步驟:經由一詢問器接收來自該RFID標籤的一或更多信號,其中該一或更多信號包括有關一或更多處理狀態的資訊,該一或更多處理狀態由耦合至該處理組件的一感測器偵測,及利用一控制器分析該一或更多信號,該控制器經調適以控制在該基板處理系統內進行的一程序,其中該控制器回應於接收該一或更多信號的步驟而起始該研磨程序中的一改變。
第1A圖是按照一實施例之範例研磨系統的分解示意透視圖。第1B圖是第1A圖之研磨系統20的一部分的截面圖。研磨系統20包括一機器底座22,該機器底座具有一桌頂部23安裝於其上及一可移除上外蓋(未圖示)。桌頂部23支撐複數個研磨站25a、25b及25c、以及一傳送站27,傳送站27用於將複數個基板10之各者裝載至複數個基板載器組合件108之各者及將複數個基板10之各者從複數個基板載器組合件108之各者卸載。在本說明書中,傳送站27與複數個研磨站25a、25b及25c形成一概略方形的排列方式。
本說明書中的研磨站25a~c各者包括一研磨平臺30,該研磨平臺具有一研磨墊32安裝於其上及/或利用黏著劑(像是壓力敏感黏著劑)固定至該研磨平臺。本案中的研磨平臺30之各者乃操作耦合至設置在機器底座22中的一個別平臺驅動馬達(未圖示),該平臺驅動馬達圍繞經設置穿過其中的一軸線(像是第1B圖中顯示的平臺軸線30a)來旋轉研磨平臺30。本案中,研磨站25a~c之各者進一步包括一襯墊調節組合件40,該襯墊調節組合件包含一襯墊調節器(像是研磨盤或刷子),其被用以維護研磨墊32的所欲表面質地,及/或清潔來自研磨墊32的研磨副產品,而藉以在整個研磨墊32的壽命期間提供一致的研磨結果。在本案中,複數個研磨平臺30之各者及設置於其上的研磨墊32有比將要研磨之基板10表面面積更大的表面面積,然而,在一些研磨系統中,研磨平臺30及/或設置在其上的研磨墊32所具有的表面面積小於將要研磨之基板10的表面面積。
在研磨期間,一研磨流體50透過定位在研磨平臺30上方的一流體分配器52被導向研磨墊32。典型地,研磨流體50是包含研磨粒子、清潔流體、水、或以上之組合的研磨漿。在一些實施例中,研磨流體50包含一pH調節劑及/或化學活性成分(像是氧化劑),以致能連同研磨流體50中懸浮的(及/或嵌入在研磨墊32中的)研磨粒子進行基板10之材料表面的化學機械研磨。在一些實施例中流體分配器52包括一或更多噴灑噴嘴(未圖示),其於各基板研磨及/或襯墊調節週期(cycle)最後提供研磨墊32的高壓沖洗。
研磨系統20進一步包括定位在機器底座22上方的一旋轉料架60。旋轉料架60包括一旋轉料架支撐板66及一蓋68。本案中,旋轉料架支撐板66由一中央柱62支撐並由一旋轉料架馬達組合件(未圖示)圍繞旋轉料架支撐板66的一旋轉料架軸線64移動,該旋轉料架馬達組合件經設置在機器底座22中。旋轉料架60包括複數個基板載器系統70a、70b、70c、及70d,該複數個基板載器系統圍繞旋轉料架軸線64以相等的角間隔安裝在旋轉料架支撐板66上。在操作研磨系統20期間,基板10被載至該等基板載器系統中之一者(像是基板載器系統70d)及/或從該一基板載器系統卸載,同時其餘的複數個基板載器系統(像是70a~c)被用以研磨對應的複數個基板10。旋轉料架藉由移動圍繞旋轉料架軸線64而耦合至該旋轉料架的基板載器系統70a~70d,來將基板載器系統70a~d(以及被設置在其中的基板)在所欲的研磨站25a~c及/或傳送站27之間移動。
本案中的基板載器系統70a~d之各者包括一基板載器組合件108、一載器驅動桿74、以及一基板載器組合件旋轉馬達76,載器驅動桿74耦合至基板載器組合件108並穿過形成在旋轉料架支撐板66中的一徑向插槽72延伸,基板載器組合件旋轉馬達76操作耦合至載器驅動桿74。各個基板載器組合件108獨立地圍繞一載器軸線114旋轉,該載器軸線經設置為穿過一個別的載器驅動桿74。在本案中,各個基板載器組合件旋轉馬達76以及與其操作耦合的載器驅動桿74被支撐在一滑件(未圖示)上,該滑件由一徑向驅動馬達(未圖示)直線地沿著徑向插槽72驅動,以側向地振盪個別的基板載器組合件108。
在本案中,基板載器組合件108包括一載器外殼108C、一基板固定環108A、及一彈性隔膜108B(像是彈性膜),該基板固定環耦合至載器外殼108C且圍繞基板10,該彈性隔膜經設置在載器外殼108C及基板載器組合件108中所設置的基板10之間。在研磨期間,各個被定位於個別研磨站25a~c之處的基板載器組合件108放下基板10而與個別的研磨墊32接觸。在基板固定環108A上的往下施力促使基板固定環108A抵著個別的研磨墊32,藉以防止基板10從基板載器組合件108滑落。基板載器組合件108圍繞一個別的載器軸線114旋轉,同時彈性隔膜108B促使基板10將被研磨的表面抵著研磨墊32的研磨表面。在本案的實施例中,彈性隔膜108B經配置以對基板10之不同區域施加不同壓力,同時促使基板10將被研磨的表面抵著研磨墊32的研磨表面。常見地, 各個研磨平臺30圍繞一個別的平臺軸線30a旋轉的旋轉方向與基板載器組合件108的旋轉方向相反,同時基板載器組合件108從研磨平臺30的內直徑擺盪到研磨平臺30的外直徑,以部分地減少研磨墊32的不均勻磨損。典型地,基板10是利用一組預先決定的研磨程序參數(本案中稱研磨程序變數)而被研磨,該組研磨程序參數乃針對將研磨之基板10的類型所選擇,其整體包含一研磨製程配方。如在本案中所用的,程序參數(包括程序變數)為被用以控制研磨程序的設定點(set point),而處理狀態是從研磨系統20、在研磨系統中的感測器、及/或研磨系統的組件接收的所量測數值。本案中的研磨程序變數例子包括(但不限於)研磨平臺30的轉速、基板載器組合件108的轉速、研磨流體50的流率、研磨平臺30的溫度、基板固定環108A上的向下力、基板10上的向下力(包括由彈性隔膜108B施加在基板10上及/或在其區域上的壓力)、基板載器組合件108的掃速、襯墊調節組合件40的掃速、在襯墊調節器上的向下力(由襯墊調節器在研磨墊上施加的力)、襯墊調節器的轉速、調節週期的次數(掃動)或調節的持續時間(秒),有時還有研磨時間。經常,需要特定類型的處理組件以供與一些研磨製程配方一起使用而被禁止與其他研磨製程配方一起使用,原因是某些類型的處理組件不相容於某些基板研磨程序,因此未被授權與其一起使用。在其他實施例中,一些處理組件(或其組合件)是基於使用歷史紀錄而被禁止與一些基板研磨程序一起使用。例如,曾被用在金屬研磨程序(像是銅研磨程序)中的基板載器組合件108及/或其個別組件,可能未被授權供以淺溝槽隔離程序(STI)使用,因為來自銅研磨程序的汙染物若在STI研磨程序期間被引到基板,將導致基板上電子裝置的故障。
研磨墊32、基板載器組合件108及其處理組件、及由習用技術製造的其他處理組件經常缺乏裝置及/或方法來使能有藉由研磨系統20(及/或其外部的其他自動化控制系統)的像是偵測、認證、追蹤、感測、及監控該些處理組件的功能。因此,本案中描述的特定實施例提供一或更多設備及方法以供在研磨系統20(及/或其外部的控制系統)和其一或更多處理組件之間的資料通訊,該一或更多處理組件設備及方法致能了本文中所述的功能。資訊收集系統之配置方式範例
如第1B圖中顯示,基板載器組合件108耦合至載器驅動桿74,載器驅動桿74透過徑向插槽72延伸以將基板載器組合件108耦合至基板載器組合件旋轉馬達76。基板載器組合件108圍繞載器軸線114旋轉並以掃動動作振盪,以在基板10的材料表面及研磨墊32之間提供相對運動。如上所述,基板載器組合件108包括數個處理組件,包括直接地或間接地耦合至載器驅動桿74的載器外殼108C、基板固定環108A、及彈性隔膜108B。典型地,基板載器組合件108之處理組件的一或更多者是可消耗組件,其隨使用變得磨損且需要經常性替換,為了提供一致且可預期的研磨效能。
在本案中,第1B圖進一步圖示複數個遠端通訊裝置600(像是RFID裝置)及複數個詢問器601,其等經設置於研磨系統20的複數個處理組件上、設置於研磨系統20的複數個處理組件內、嵌入於研磨系統20的複數個處理組件內、位於研磨系統20的複數個處理組件上、或以其他方式耦合至研磨系統20的複數個處理組件。在一實施例中,複數個遠端通訊裝置600經設置在研磨墊32中(及/或研磨墊32上),且複數個遠端通訊裝置600經設置在基板載器組合件108上、設置在基板載器組合件108內、嵌入基板載器組合件108內、位在基板載器組合件108上、或以其他方式耦合至基板載器組合件108,基板載器組合件108包括非可消耗及可消耗組件,像是載器外殼108C、基板固定環108A、及彈性隔膜108B。在本案中,複數個詢問器601被設置在研磨系統20的不同處理組件上、設置在研磨系統20的不同處理組件內、嵌入研磨系統20的不同處理組件內、位在研磨系統20的不同處理組件上、或以其他方式耦合至研磨系統20的不同處理組件,包括在旋轉料架支撐板66上、基板載器系統70的支撐結構、及複數個研磨平臺30。
在本案中,複數個遠端通訊裝置600之各者(像是RFID裝置)經配置以與複數個詢問器601的一或更多者無線通訊。無線通訊協定的實例包括近場通訊技術、藍芽(Bluetooth®)、光學信號傳送技術、聲學信號傳送技術、射頻通訊技術、及其他適當的無線通訊技術。在其他實施例中,通訊裝置(未圖示)經固線連接至詢問器601以促進兩者之間的通訊。類似遠端通訊裝置600,詢問器601被定位在研磨系統20的不同區域或部件內及/或之上。在一些實施例中詢問器601的位置與個別的遠端通訊裝置600的位置無關,在其他實施例中各詢問器601的位置是至少部分地由個別的遠端通訊裝置600的位置所決定,以促進其兩者間的通訊。
如上所述,複數個遠端通訊裝置600之一或更多者被設置在一或更多處理組件上、設置在一或更多處理組件內、嵌入一或更多處理組件內、位在一或更多處理組件上、或以其他方式耦合至一或更多處理組件,本案中的該一或更多處理組件包括上述由研磨系統20使用之處理組件中至少一或更多者,像是基板載器組合件108、其可消耗組件、及研磨墊32。在一實施例中,一或更多遠端通訊裝置600被設置在研磨墊32內、嵌入研磨墊32內、及/或以其他方式耦合至研磨墊32,同時一或更多詢問器601被設置在個別的研磨平臺30內或以其他方式耦合至個別的研磨平臺30,該研磨平臺30具有研磨墊32設置於其上。在本案中,遠端通訊裝置600耦合至研磨墊32而被嵌入在研磨平臺30中的遠端通訊裝置600之個別詢問器601經配置以經由通訊鏈結607通訊。在一些實施例中,通訊鏈結607是一無線通訊協定。在另一實施例中,通訊鏈結607是固線連接。常見地,詢問器601之各者通訊耦合至研磨系統20的控制器612,控制器612透過通訊鏈結609經由個別的詢問器601接收來自遠端通訊裝置600的信號輸入。透過詢問器601、從遠端通訊裝置600接收的輸入由控制器612利用一或更多軟體應用程式來處理及運用,像是中介軟體應用程式651、軟體應用程式、及/或晶圓廠級軟體應用程式653。在其他實施例中,外部控制器(未圖示)接收並處理來自詢問器601的輸入。
第1B圖進一步圖示軟體應用程式階層的邏輯圖,本案中的軟體應用程式階層包括一中介軟體應用程式651、一裝備軟體應用程式652、及一晶圓廠級軟體應用程式653。在一些實施例中,從一或更多詢問器601接收信號輸入之後,控制器612利用中介軟體應用程式651處理該輸入並導出資料,中介軟體應用程式651透過通訊鏈結657發送該資料至裝備軟體應用程式652。本文中的通訊鏈結657包含固線連接(例如乙太網路)及/或無線通訊協定。在一些實施例中,裝備軟體應用程式652進一步將接收自中介軟體應用程式651的資料透過通訊鏈結658發送至晶圓廠級軟體應用程式653。在本案中,通訊鏈結658是固線連接。在其他實施例中,通訊鏈結658是無線通訊協定。
在一些實施例中,資訊以相反方向發送,使得來自控制器612的資訊被接收並儲存在一或更多遠端通訊裝置600中。例如,在第4圖中所述實施例中,晶圓廠級軟體應用程式653、裝備軟體應用程式652、及中介軟體應用程式651的每一者經配置以發送將被儲存在一或更多遠端通訊裝置600中的資訊。因此,在一些實施例中,在遠端通訊裝置600、詢問器601、及控制器612還有軟體應用程式階層(例如651、652、及653)的全部不同層之間的通訊包含雙向通訊。
在一些實施例中,遠端通訊裝置600被設置在基板載器組合件108及/或其處理組件上、設置在基板載器組合件108及/或其處理組件內、嵌入在基板載器組合件108及/或其處理組件內、位在基板載器組合件108及/或其處理組件上、或以其他方式耦合至基板載器組合件108及/或其處理組件。在一實施例中,如第1B圖中顯示,一或更多遠端通訊裝置600位在基板載器組合件108的一表面上,該表面遠離設置在基板載器組合件108中之基板10的將被研磨表面。在另一實施例中,一或更多遠端通訊裝置600(未圖示)嵌入基板載器組合件108的載器外殼108C內,其中載器外殼108C可固定至載器驅動桿74,且載器外殼108C從載器驅動桿74可移動地懸吊。為與設置在基板載器組合件108中、設置在基板載器組合件108上、或以其他方式耦合至基板載器組合件108的遠端通訊裝置600通訊,詢問器601(顯示在第2A~B圖中)被設置在旋轉料架支撐板66的一或更多部件內或位在旋轉料架支撐板66的一或更多部件上。例如,在一些實施例中,詢問器601接近形成在旋轉料架支撐板66中的徑向插槽72,以下將關聯於第2A~B圖進一步說明。
如第1B圖中顯示,設置在基板載器組合件108之載器外殼108C上的遠端通訊裝置600及與其通訊的個別的詢問器601經配置以經由通訊鏈結655通訊。在一些實施例中,通訊鏈結655包含無線通訊協定。在其他實施例中,通訊鏈結655包含固線連接。通常希望在遠端通訊裝置600設置在處理組件上、而該處理組件相對於其上設置有對應的詢問器601的另一處理組件及/或研磨系統20之部分移動的配置方式中使用無線通訊技術(例如NFC、RF、藍芽等)。詢問器601經進一步通訊耦合至一控制器612,該控制器經由詢問器601接收來自遠端通訊裝置600的輸入。在本案中,詢問器601及控制器612之間的通訊鏈結656包含固線連接、無線通訊協定、或以上的組合。
在接收來自耦合至基板載器組合件108之遠端通訊裝置600的輸入之後,詢問器601傳送該輸入至控制器612,在一實施例中控制器612利用中介軟體應用程式651處理該輸入,將關於第4圖進一步說明。如圖示,從詢問器601到中介軟體應用程式651的該輸入之傳輸是透過通訊鏈結656進行的。在一些實施例中,中介軟體應用程式651所接收的該輸入接著被轉譯及透過通訊鏈結657發送至裝備軟體應用程式652。在本案中,通訊鏈結657是固線連接或無線通訊協定。進一步,在一些實施例中,在接收來自中介軟體應用程式651的資訊之後,裝備軟體應用程式652經配置以發送該資訊至晶圓廠級軟體應用程式653。在其他實施例中,來自詢問器601的資訊透過固線連接或無線通訊鏈結(未圖示)被直接傳達給該晶圓廠級軟體應用程式。在本案的實施例中,遠端通訊裝置600、詢問器601、控制器612之間還有全部不同層級的軟體應用程式(例如651、652、及653)之間的通訊包含雙向通訊路徑,代表資訊同時被透過通訊鏈結607、609、655、656、657、及/或658經由詢問器601由晶圓廠級軟體658、控制器612、裝備軟體應用程式652、及/或中介軟體應用程式651發送給遠端通訊裝置600,也由晶圓廠級軟體658、控制器61、裝備軟體應用程式652、及/或中介軟體應用程式651經由詢問器601透過通訊鏈結607、609、655、656、657、及/或658從遠端通訊裝置600接收。在其他實施例中,遠端通訊裝置600、詢問器601、控制器612之間還有全部不同層級的軟體應用程式(例如651、652、及653)之間的通訊包含單向通訊路徑,代表資訊被經由詢問器601且透過通訊鏈結607、609、655、656、657、及/或658由晶圓廠級軟體658、控制器612、裝備軟體應用程式652、及/或中介軟體應用程式651從遠端通訊裝置600接收,但未被發送給遠端通訊裝置600。
第2A圖是按照一實施例之旋轉料架支撐板(像是第1A~B圖所述研磨系統20的旋轉料架支撐板66)的示意平面圖。第2B圖是第2A圖中所述旋轉料架支撐板66之一部分的特寫圖。為了促進與耦合至基板載器組合件108(及/或其組件)之遠端通訊裝置600的通訊,常見有詢問器601設置在旋轉料架支撐板66的一或更多部件內或位於其上。在本案中,旋轉料架支撐板66包括形成在其中的四個徑向插槽72,該等徑向插槽允許各個基板載器組合件108相對於旋轉料架支撐板66獨立地旋轉及振盪。經設置在旋轉料架支撐板66上的各個詢問器601經定位於靠近旋轉料架支撐板66中形成的徑向插槽72且在其中一個徑向插槽72附近。如此使定位在各徑向插槽72周圍的各詢問器601能夠接近耦合至基板載器組合件108的對應的遠端通訊裝置600。因此,個別的遠端通訊裝置600及詢問器601可無線地通訊,如相關於第3圖所進一步說明者。
第3圖圖示按照本文所述實施例的例示性遠端通訊裝置600、詢問器601、及控制器612在其間進行通訊的局部示意圖。第3圖中顯示的遠端通訊裝置600是無線通訊RFID裝置。如上所述,在一些實施例中一或更多遠端通訊裝置600被設置在研磨墊32內,而一或更多對應的詢問器601被設置在各個個別研磨平臺30之內。在一些實施例中,一或更多遠端通訊裝置600位在基板載器組合件108(及/或其處理組件)上、嵌入基板載器組合件108(及/或其處理組件)內、或以其他方式耦合至基板載器組合件108(及/或處理組件),同時一或更多對應的詢問器601經定位於旋轉料架支撐板66中形成之各徑向插槽72周圍。
儘管第3圖中描繪了單一遠端通訊裝置600及單一詢問器601,但可設想到與其等一起使用的研磨系統20及處理組件將包含複數個遠端通訊裝置600及對應的詢問器601,像是被設置在複數個研磨墊32與其個別研磨平臺30之內、嵌入複數個研磨墊32與其個別研磨平臺30之內、位在複數個研磨墊32與其個別研磨平臺30上、或以其他方式耦合至複數個研磨墊32與其個別研磨平臺30者,和複數個基板載器組合件108及形成在旋轉料架支撐板66中的個別的徑向插槽72。在一些實施例中,由單一的對應詢問器601可感應超過一個遠端通訊裝置600。
如第3圖中顯示,複數個詢問器601之各者包括一讀取器608及一天線610。典型地,讀取器608包括一電源(及/或耦合至一電源),像是RF電源,且讀取器608經配置以經由天線610傳送將由遠端通訊裝置600接收的信號。在一些實施例中,天線610包含同軸纜線,該等同軸纜線經定位在旋轉料架支撐板66中形成的各徑向插槽72周圍,如第3圖中顯示。在此種實施例中,以圓周方式將纜線定位在徑向插槽周圍使得能從不同角度傳播RF能量給遠端通訊裝置600的標籤602(像是RFID標籤),藉以增加標籤602接收到所傳輸RF能量的可能性。在本案中,各天線610終止於CMP研磨頭支撐結構的各端蓋處。於另一端處,天線610的同軸纜線包括一印刷電路板610A,其包含經配置以促進天線610及遠端通訊裝置600之間通訊的一或更多電組件。
此外,旋轉料架支撐板66(顯示在第2A圖中)支撐複數個基板載器系統70a~d,該複數個基板載器系統獨立地在複數個徑向插槽72中旋轉及振盪。因此,在一些實施例中,環繞四個徑向插槽72之各者有相同個數的遠端通訊裝置600(在基板載器組合件108及/或其處理組件之上及/或之中)還有對應個數的詢問器601(包括其天線610)。在一些實施例中,為避免串音使得詢問器601所傳播的RF能量僅由所欲遠端通訊裝置600(而非其他遠端通訊裝置600)所拾取,針對各個詢問器601以及與其個別通訊中的遠端通訊裝置600運用一特定範圍的RF能量。在此種實施例中,RF能量具有的RSSI值在-30到-60dB的範圍中。另外,在一些實施例中,詢問器601可發射在856到960 MHz之範圍中的超高頻率(UHF)。在一些配置方式中,各遠端通訊裝置600具有一唯一識別碼,其經儲存在遠端通訊裝置600的記憶體內。該唯一識別碼被傳送至詢問器601並由控制器612內的中介軟體應用程式651利用,以決定資料是從二或更多個遠端通訊裝置中之何者接收、及/或資訊在本案所述程序中一或更多者期間將被傳輸給哪個遠端通訊裝置600。
在一些實施例中,讀取器608包括一RF調制器及一詢問器控制器(還有其他組件),其經配置以管理讀取器608的信號傳輸及接收。在一實施例中,RF調制器經配置以產生及/或調制所具波長為大約13.56 MHz的RF信號。在被動式標籤之實施例中,詢問器601及遠端通訊裝置600被定位的空間關係有小於大約十二英吋的距離,像是小於大約二英吋,或小於大約一英吋。在主動式標籤之實施例中,詢問器601及遠端通訊裝置600之間的空間關係可大於該被動式標籤之實施例而依可用於信號傳輸之功率而異。
第3圖中也顯示了遠端通訊裝置600,其一般包括標籤602、記憶體603及天線606,該天線耦合至標籤602或整體地製成在標籤602中。在一些實施例中,感測器604經通訊耦合至標籤602。本案中標籤602是主動式標籤或被動式標籤,依所欲的實施方式而異。在主動式標籤之實施例中,一電源(像是電池)經電耦合至標籤602以對標籤602提供適當的電力,因此標籤602能經由形成在該等裝置之間的通訊鏈結(例如607、655、等等)傳送信號給詢問器601。可設想到在電力經耦合至標籤的實施例中可實施主動式標籤。額外地,在標籤所傳送之資料意圖被詢問器601感測、而該詢問器601所位之處的距離大於使用被動式標籤時可得之距離的配置方式中,可運用主動式標籤。然而,可想到在近場通訊實施例中(在其中被動式標籤將尋得適當的運用)運用主動式標籤。
在一被動式標籤實施例中,標籤602經配置以從詢問器601接收信號(像是射頻信號)並運用所接收信號的電磁能經由通訊鏈結(例如607、655、等等)傳送(或反射)一信號回至詢問器601,該信號包含某數量的資料,該資料對標籤602而言是唯一的。在詢問器601經定位於距離標籤602小於一臨界通訊距離之處的實施例中可運用被動式標籤。該臨界通訊距離一般被界定為,超過該距離的話由被動式標籤反射的電磁信號將無法由詢問器601可靠地接收。該臨界通訊距離可能按照實施例而變化,依關聯於詢問器601產生之信號的電量及標籤發送器的尺寸及功率而異。
如上所述,一感測器604(或多個感測器)也可通訊耦合至標籤602。在此種實施例中,除了運用遠端通訊裝置600以供偵測、認證、及資料儲存等等以外,遠端通訊裝置600也可利用感測器604來提供一套感測及計量數據以監控及/或改善研磨系統的研磨效能。
例如,在一些實施例中,感測器604(或者在特定實施例中為多個感測器)經配置以偵測一或更多研磨狀態。在一例中,感測器604是一溫度感測器(例如RTD、熱電耦),其包括經配置以偵測研磨墊32、研磨流體50、基板10、或以上之任意組合的溫度的組件。另一範例中,感測器604是經配置以決定在研磨程序期間之聲音震動變化的聲音感測器(未圖示)。
按照另一實施例,導電性感測器是可運用在遠端通訊裝置600中的另一類型感測器604。在此種實施例中,導電性感測器(未圖示)經配置以偵測研磨流體50 (例如金屬密度的增加(研磨漿的金屬載量))的導電性、或是由於研磨流體50從研磨墊32的不同區域清洗所致的在整個研磨墊32之表面上的導電性變化。在一些實施例中,導電性感測器包括與標籤602及遠端通訊裝置600通訊的兩電極(未圖示),其中該等電極之各者於研磨墊32的表面露出。藉著利用標籤602中可見的組件,露出的電極被用以藉著跨於該等電極施加一電壓來直接量測研磨流體50、基板10的材料表面及/或研磨墊32之表面的導電性。
另一感測器604的範例是加速度計(例如MEMS裝置),其經配置以感測角動量、動力、離相對於旋轉角方向之平面的震動移動、及/或轉矩中的改變。 感測器604的額外範例是摩擦感測器(像是應變計),用以感測在研磨基板10之期間研磨墊32對著基板10之一材料表面的剪切應力。感測器604的又另一實施例是壓力感測器,像是荷重元件(load cell)(例如MEMS荷重元件),其經配置以量測施加至研磨墊32的力及各區域的壓力(像是基板載器組合件之彈性隔膜108B施加給基板10之各區域的壓力)。
前述感測器之實施例可單獨運用或互相結合以更有效地量測在研磨期間的處理狀態。在一些實施例中,如第8圖中範例操作800的活動802及804所述,在接收及分析來自一或更多感測器的感測器資訊後,控制器612藉由對研磨程序進行原位處理及/或即時調整而在研磨程序中起始一改變。可實施此種調整以改善(例如)研磨的均勻度及研磨終點偵測。例如,在一實施例中,遠端通訊裝置600所決定的研磨效能是在原位進行(也就是在研磨期間)且程序變數在原位被調整以改善基板研磨效能。在本案中,可被感測的處理狀態包括溫度資料、壓力資料、導電性資料、彈性係數資料、光學資料、聲學資料、膜厚度資料、及其他經配置以量測在基板研磨程序期間之處理狀態的資料類型。
一般,由感測器604回應於一或更多經偵測處理狀態而產生的信號是由標籤602編碼並由天線606傳送。如以下關於第4圖所描述,在從遠端通訊裝置600接收感測性信號或資訊(由以上描述的各式各樣感測器所感應)之後,一詢問器601發送該感測資料至控制器612以供研磨系統20使用以基於該感測性資訊在原位調整一或更多研磨參數(像是製程配方變數)。
除了上述組件以外,本案中所述遠端通訊裝置600可包括耦合至標籤602(或整體製成在標籤602內)的記憶體603。利用記憶體603,在一些實施例中,遠端通訊裝置600可被用於處理組件的追蹤、偵測、及認證,還有改變或改善研磨系統20的配置方式。在一些實施例中,記憶體603包含一電腦可讀取儲存媒體,其包括非揮發性記憶體。例如,在一些實施例中,耦合至一處理組件的遠端通訊裝置600會在其記憶體603中儲存有特定於該處理組件的特定識別資訊。常見地,該識別資訊包括處理組件識別符資訊、部件配置資訊、歷史資訊、故障資訊、生命週期資料、客戶/晶圓廠名稱、處理系統資訊、及相關的任何可預期資訊。如第4圖中進一步描述的,傳送此資訊至控制器612,使能夠基於傳送中所包含的資訊來追蹤、偵測、及認證處理組件,還有改變或改善研磨系統的配置方式。
常見地,從遠端通訊裝置600接收感測性及/或識別資料之後,詢問器601透過無線或與基於處理器的系統控制器(像是控制器612)的固線連接通訊來轉送該資訊至該控制器。例如,在一實施例中,控制器612經配置以致使由讀取器608產生信號。在一些實施例中,控制器612進一步經配置以經由詢問器601從遠端通訊裝置600接收資料並分析。本案中的控制器612包括一可程式化中央處理單元(CPU)614,其可與耦合至研磨系統20之各不同組件的記憶體618(例如非揮發性記憶體)及大量儲存裝置、輸入控制單元、及顯示單元(未圖示)(像是電源供應、時脈、快取、輸入/輸出(I/O)電路,及類似者)操作,以促進基板研磨程序的控制。在一些實施例中,控制器612包括用於透過研磨系統20之系統級感測器來監控基板處理的硬體。
為了如上所述地促進研磨系統20的控制,以及更特定地遠端通訊裝置600及對應的詢問器601的控制,CPU 614可為任意形式的一般用途電腦處理器,其能被用在工業設定中,像是可程式化邏輯控制器(PLC),以供控制各種腔室及次處理器。記憶體618耦合至CPU 614且記憶體618為非暫態的,及可為一或更多種容易取得的記憶體,像是隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟機、硬碟、或任何其他形式的數位儲存,無論本端或遠端。支援電路616耦合至CPU 614以供以習用方式支援該處理器。經由詢問器601來自遠端通訊裝置600的信號產生指令、資料接收、及分析可由記憶體618進行並儲存在記憶體618中,常見為以軟體常式(routine)的方式。軟體常式也可被一第二CPU(未圖示)儲存及/或執行,該第二CPU位於CPU 614所控制之硬體的遠端。
在本案中,記憶體618是內含指令之電腦可讀取儲存媒體(例如非揮發性記憶體)的形式,當由CPU 614執行時其促進研磨系統20的操作,包括遠端通訊裝置600及詢問器601的操作。記憶體618中的指令是程式產品的形式,像是實施本揭示案之方法的程式(例如中介軟體應用程式、裝備軟體應用程式等等)。程式碼可符合數種不同程式語言中的任一者。在一例中,本揭示案可經實施成儲存在電腦可讀取儲存媒體上的程式產品供以電腦系統所用。該程式產品的程式界定了實施例的功能(包括本說明書所述的方法)。在一些實施例中,裝備軟體應用程式652及中介軟體應用程式651是藉使用在控制器612內發現的CPU 614及記憶體618所執行的。
例示性電腦可讀取儲存媒體包括(但不限於):(i) 非可寫入儲存媒體(例如電腦內的唯讀記憶體裝置,像是可由CD-ROM光碟機讀取的CD-ROM碟片、快閃記憶體、ROM晶片或任何類型的固態非揮發性半導體記憶體),在該非可寫入儲存媒體上資訊被永久儲存;及(ii)可寫入儲存媒體(例如軟碟機內的軟碟片或硬碟機或任何類型的固態隨機存取半導體記憶體),在該可寫入儲存媒體上儲存有可更動的資訊。此種電腦可讀取儲存媒體,當載有指導了本案中所述方法的功能的電腦可讀取指令時,屬於本揭示案的實施例。
第4圖圖示數個程式產品的示意邏輯圖,該些程式產品被控制器612用於與詢問器601及遠端通訊裝置600互動。第4圖於底部顯示複數個RFID標籤(例如標籤6021 ~602N )與複數個RFID讀取器(例如讀取器6081 ~608N )通訊。在中間,第4圖進一步顯示中介軟體應用程式651,其在一些實施例中可駐存在控制器612的記憶體618中。一般,中介軟體應用程式是能夠將平常作業系統一般不提供的服務提供給高階軟體應用程式的軟體子系統。如第4圖中顯示,中介軟體應用程式651將裝備軟體應用程式652與底下的硬體(例如RFID讀取器及標籤)隔絕。
從中介軟體應用程式651的底層開始,硬體抽象化層411提供邏輯分碼以供將硬體層與中介軟體應用程式651內的其他層分離。硬體抽象化層411提供一RFID讀取器驅動器介面,其允許事件及資料管理層與RFID讀取器及標籤溝通。在一些實施例中,RFID讀取器驅動器也提供軟體開發套組(SDK),其為一組軟體開發工具,允許針對該硬體層新增應用程式。在一些實施例中,硬體抽象化層411進一步使中介軟體應用程式651能夠與由各種不同供應商提供的硬體(像是RFID讀取器6081 ~608N 或標籤6011 ~601N )介接。
現在移向事件及資料管理層412,事件及資料管理層412包括提供服務的軟體碼及指令,該些服務像是認證、配置、密碼(用於加密/解密)、編碼、日誌(log)檢視器、授權及使用者管理。此類服務或功能性一般不被平常的作業系統(控制器612儲存在記憶體618中)提供。除了硬體抽象化層411及事件及資料管理層412以外,中介軟體應用程式651可包括一應用抽象化層413,其用於將上述功能性的細節抽象化。在一些實施例中,應用抽象化層413包括一組應用程式介面(API),其提供在中介軟體應用程式651及裝備軟體應用程式652之間經清楚界定的通訊方法。此外,在一些實施例中,該應用抽象化層包括一或更多插座(socket),其被運用於透過網路在中介軟體應用程式651及裝備軟體應用程式652之間的通訊。
插座(socket)允許雙向通訊,使得裝備軟體應用程式652及中介軟體應用程式651可都在其中間發送及接收資訊。在一些實施例中,基於插座(socket)之軟體在兩不同電腦裝置上執行,允許駐存在該等不同電腦上之軟體應用程式的通訊。在一些其他實施例中,插座(socket)被用於單一電腦上不同軟體應用程式之間的區域性通訊。由於上述的應用抽象化層413,中介軟體應用程式651可輕易地整合有非常少的針對裝備軟體應用程式652的自訂(customization)。
現移向裝備軟體應用程式652。常見地,裝備軟體應用程式652是由研磨系統20及/或與其一起使用之處理組件的供應商所提供,像是基板載器組合件108及/或研磨墊32(及其他)的供應商。在一些實施例中,裝備軟體應用程式652駐存在控制器612的記憶體618中。在一些實施例中,該裝備軟體駐存在另一電腦裝置(或其記憶體)上而透過通訊鏈結657與中介軟體應用程式651溝通。
在一些實施例中,裝備軟體應用程式652使RFID標籤能夠讀取及寫入請求。例如,在一實施例中,裝備軟體應用程式652提供一使用者介面供使用者/操作者與裝備軟體應用程式652互動。在此類範例中,該使用者/操作者透過讀取請求從遠端通訊裝置600請求資料,及/或透過寫入請求發送將由遠端通訊裝置600儲存的資訊。如上所述,在遠端通訊裝置600及控制器612之間發送及接收的資料使能夠偵測、認證、及追蹤處理組件,還有改變或改善研磨系統的配置方式。
在一實施例中,研磨系統20經配置以參與操作僅當有研磨墊或基板載器組合件安裝至研磨系統20時而該研磨墊或基板載器組合件具有遠端通訊裝置600嵌入其中、位於其上、或與其耦合。在此種實施例中,處理組件需要在研磨系統開始研磨程序之前被偵測及認證。作為一例,具有遠端通訊裝置600與其耦合的基板載器組合件108可被安裝以供處理系統使用者/操作者使用。遠端通訊裝置600包括用於基板載器組合件108之偵測及認證的已儲存資訊,像是部件識別符資訊(包括裝備供應商的部件之部件編號)、部件序號、部件配置類型、等等。在研磨系統使用者/操作者已安裝基板載器組合件108之後,耦合至基板載器組合件108的遠端通訊裝置600,透過在遠端通訊裝置600及詢問器601(經定位在旋轉料架支撐板66之徑向插槽72周圍)之間傳達的一或更多無線信號,來發送儲存在其記憶體中的部件識別符資訊至詢問器601。如第6圖中之範例操作620的活動622中所述,從遠端通訊裝置600接收了一或更多信號之後,詢問器601將該一或更多信號發送至控制器612中的中介軟體應用程式651。中介軟體應用程式651處理該等信號以偵測及/或認證基板載器組合件108(及/或其處理組件),如第6圖中之範例操作620的活動624中所述。例如,在一實施例中,事件及資料管理層412經配置以將處理組件識別符資訊(從該等信號導出)對比於儲存在一資料庫中的數個處理組件(部件)識別符,該資料庫可由中介軟體應用程式651存取。更特定地,中介軟體應用程式651可解析一已儲存資料庫,該資料庫內含數個裝備供應商之部件(EPS)的部件編號還有由一所欲裝備供應商所製造的全部部件序號。在一些實施例中,該資料庫可駐存在控制器612的記憶體618中。在一些其他實施例中,該資料庫可駐存在另一系統上並可由中介軟體應用程式651透過網路存取,該網路可及於控制器612內的一或更多組件。
基於找到符合的EPS部件編號及/或部件序號,在以上範例中,中介軟體應用程式651可偵測到基板載器組合件(例如基板載器組合件108)正在傳送一或更多信號,且也將基板載器組合件108認證為經授權的處理組件總成,像是由所欲裝備供應商所製造的基板載器組合件。除了部件識別符資訊以外,本案中的遠端通訊裝置600可也傳送處理組件(部件)配置資訊,像是基板載器組合件大小、彈性隔膜類型、基板固定環類型、及/或相關的研磨程序資訊。基於部件配置資訊,中介軟體應用程式651的事件及資料管理層412決定基板載器組合件108的配置方式並將此資訊提供給裝備軟體應用程式652,以供使用於控制研磨系統所進行的一或更多程序。
如第6圖中之範例操作620的活動626中所述,利用接收自遠端通訊裝置600的資訊,控制器612進行一或更多基板處理操作。例如,在一些實施例中,控制器612由中介軟體應用程式651配置以基於如上所決定之基板載器組合件108的配置方式,來設定或改變研磨系統20的配置方式。例如,若基板載器組合件108的大小在一預定值以下,研磨系統20可能需要被設為配置方式類型A,而若基板載器組合件108的大小在該預定值之上,則可能使用配置方式類型B。因此,當從某一大小的基板載器組合件換成另一個時,與其由使用者/操作者手動地設定及/或調整研磨系統20的配置方式,控制器612將代之以自動地進行此功能。類似於上述的基板載器組合件108大小之範例,能基於組成基板載器組合件108之彈性隔膜108B及/或基板固定環108A的類型來自訂研磨系統20的配置方式。在一些實施例中,控制器612基於從遠端通訊裝置600接收的研磨程序資訊來針對特定類型之基板處理配置研磨系統20。在一些實施例中,遠端通訊裝置600所傳送的識別資訊(如上所述)由控制器612用以自動地指派晶圓處理及/或搬運(handle)順序。
在一些實施例中,利用詢問器601所接收之部件識別符及配置資訊來認證處理組件之後,控制器612將「解鎖」研磨系統20並參與完整的研磨或處理功能。另外,在認證階段後,在一些實施例中,裝備軟體應用程式652及/或中介軟體應用程式651的某些被鎖上的特性被解鎖。例如,在一些實施例中,研磨系統20被鎖上以避免其在認證了一必要處理組件之前進行特定類型之研磨程序及/或操作。認證之後,研磨系統20可參與先前被鎖上的研磨程序及/或操作。這是為了確保安全及可靠性,因為在某些情況中,使用未經授權及/或不相容處理組件進行特定功能及/或研磨程序可能導致不安全的處理狀態及/或不可靠的研磨結果。
除了部件識別符及部件配置資訊以外,在一些實施例中遠端通訊裝置600進一步儲存及向控制器612傳送關聯於處理組件的部件歷史資訊或組合件歷史資訊。本說明書中的部件歷史資訊包括:安裝日期、移除日期、該部件或其相關組合件已被報修(refurbish)的次數、目前基板處理計數、過去故障資料、壽命追蹤資訊、及其他有用於部件之追蹤的資訊。在一些實施例中,部件歷史資訊可被中介軟體應用程式651或裝備軟體應用程式652用於決定是否該處理組件適合供進一步使用。例如,在一些實施例中關聯於一處理組件的安裝日期將指示出自從安裝該處理組件之後該處理組件已被使用多久。
此外,對於某些處理組件(尤其可消耗組件),有關基板處理的資訊(像是被處理的基板個數及/或與其關聯的處理狀態)指示出該處理組件已蒙受的磨損量。例如,在一實施例中,控制器612可識別出一處理組件或處理組件總成(像是基板載器組合件108)已被用於研磨超過預定個數的基板,一旦識別出該處理組件則控制器612可決定該基板載器組合件的一可消耗組件(像是基板固定環及/或彈性隔膜)需要被替換。在一些實施例中,有關使用量(例如基板計數)的資訊是由操作中使用者/操作者利用由裝備軟體應用程式652提供的介面所輸入,並接著透過中介軟體應用程式651被儲存在遠端通訊裝置600中(如下所述)。
在一些實施例中,其中遠端通訊裝置600包含感測器,追蹤資訊是由感測資料所提供。在此種實施例中,感測器604被用以追蹤可消耗組件(像是研磨墊)及/或包括該可消耗組件的基板載器組合件的使用量統計。例如,在一實施例中,利用遠端通訊裝置600來追蹤用研磨墊及/或基板載器組合件所研磨的基板個數,且該追蹤資料被同時地及/或接續地傳達給詢問器601。該追蹤資料接著由控制器612解譯,使得該研磨墊及/或基板載器組合件的壽命被更準確地追蹤(當與未使用本文所述實施例的研磨系統比較時),以確保及時的部件替換而在不同處理組件的整個壽命期間提供改善的及/或可再製的研磨效能。在一些實施例中,研磨系統20將基於可消耗組件(像是研磨墊)的經追蹤使用量統計來調整一或更多研磨參數(像是程序變數),該經追蹤使用量統計是在被傳送之標籤資料中接收。在一例中,有關基板載器組合件108之使用的程序變數(例如彈性隔膜壓力/向下力)經調整以補償超過研磨墊壽命的研磨墊所經歷的研磨效能改變。
如上所述,處理組件(部件)歷史也包括壽命追蹤資料,該資料在一些實施例中被用以指示該處理組件過去在何時、何處、及/或如何被使用(例如哪個製造設施、什麼研磨系統、及/或哪種類型的研磨程序、等等)。壽命追蹤資料也包括有關該處理組件已被使用多少小時的資訊,此資訊提供有關多少個(及/或哪個)處理組件及處理組件總成(例如以基板載器組合件的例子,處理組件包括基板固定環、研磨隔膜、及/或其他可消耗組件)正接近其服務壽命之終點、及其他的指示。追蹤一部件(或其相關聯組合件)的部件歷史確保研磨系統及其上進行之程序的安全性及可靠性。
除了部件歷史資訊以外,在一些實施例中,遠端通訊裝置600儲存生命週期資訊並傳送給控制器612。因為製造處理組件所連帶的花費,報修一些處理組件是常見的,然而,研磨效能要求及其他考量往往限制了一處理組件可被報修的次數。處理組件的生命週期資料(其乃利用本案中所述實施例所決定)提供了有關該部件已被報修多少次,以及是否該部件已達到能被報修次數的預定限制的資訊。在此類一個例子中,控制器612向操作中使用者/操作者指示出(例如)該處理組件需要被丟棄。在一些實施例中,遠端通訊裝置600所儲存及傳送的部件歷史資訊及生命週期資料被用以決定及/或開發針對該對應的處理組件及/或與之相關聯的研磨系統的維修計畫表。
在一些實施例中,遠端通訊裝置600被用以儲存及傳送處理組件及/或處理組件總成故障資訊給控制器612。在一些實施例中,有關於當前部件或組合件之無力如預期般表現的故障資訊由使用者/操作者利用裝備軟體應用程式652所提供的介面輸入。在一些實施例中,該故障資訊後續地由一或更多遠端通訊裝置600透過中介軟體應用程式651所儲存,如以下進一步說明。還有,在一些實施例中,該故障資訊由設置在遠端通訊裝置600中或在研磨系統20內其他區域的一或更多感測器(例如感測器604)所感測。
進一步,在一些實施例中,客戶/晶圓廠名稱及處理系統識別(ID)資訊被遠端通訊裝置600儲存並傳送給控制器612。此資訊指示出該處理組件在何處及/或屬於誰(例如哪個客戶及/或製造設施、等等)。進一步,利用由遠端通訊裝置600傳送的識別及感測資料使能以更有效率的方式進行故障分析。此種故障分析的結果常見被儲存在遠端通訊裝置600中。
在一些實施例中,中介軟體應用程式651提供一診斷使用者介面以供調校RFID讀取器的設定。此外,在一些實施例中,中介軟體應用程式651經配置以為了資料保全而將其與RFID讀取器及RFID標籤之間的通訊加密。本案中的中介軟體應用程式651能進一步在多個RFID標籤中辨別以及同時參加與該等RFID標籤之全部的通訊。此外,在一些實施例中,中介軟體應用程式651能夠管理不同使用者的不同存取權限。
在中介軟體應用程式651已接收及處理該識別及感測性資訊之後,中介軟體應用程式651透過一或更多API傳送該資訊給裝備軟體應用程式652。裝備軟體應用程式652接著在使用者介面中向處理系統使用者/操作者顯示此資訊。如相關於第1B圖所述,遠端通訊裝置600與軟體階層中的不同層(顯示在第1B圖中)的通訊是雙向通訊。
因此,在一些實施例中,裝備軟體應用程式652接受針對對RFID標籤記憶體之讀取/寫入操作的請求。在一些實施例中,為了認證之目的,一旦與一處理組件耦合的遠端通訊裝置600已被偵測到,則裝備軟體應用程式652經配置以請求來自該處理組件的識別資訊。在一些實施例中,裝備軟體應用程式652經配置以做出針對對遠端通訊裝置600之記憶體603之寫入操作的請求。在此種實施例中,如第7圖中範例操作700的活動702及704中所述,在從研磨系統20移除該處理組件(例如基板載器組合件108)之前,控制器612傳遞一或更多信號給遠端通訊裝置600以讓該一或更多信號被儲存在遠端通訊裝置600中。例如,在一些實施例中,由系統使用者/操作者在裝備軟體應用程式652所提供的使用者介面中輸入故障資訊。該資訊接著被透過詢問器601傳送至遠端通訊裝置600以供儲存在遠端通訊裝置600的記憶體603中。在一些實施例中,其他的識別或感測性資訊(如上述)從裝備軟體應用程式652往下游行進以被遠端通訊裝置600儲存供之後後續使用期間的擷取。
在一些實施例中,該識別及感測性資訊(由中介軟體應用程式651或裝備軟體應用程式652從遠端通訊裝置600所收集)被用於統計程序控制(SPC)方法,該些方法乃常見用於半導體製程之品質控管的統計方法。在此種實施例中,包括了故障資訊或分析的資料(如上所述)以及處理組件(及/或處理組件總成)配置資訊特別對SPC方法有用,尤其是仰賴自動化資料輸入的SPC方法。在一些實施例中,SPC方法由中介軟體應用程式651或裝備軟體應用程式652實施及執行。在一些其他實施例中,該識別及感測性資訊(由中介軟體應用程式651或裝備軟體應用程式652所收集)被傳送給晶圓廠級軟體應用程式653,而該些SPC方法在晶圓廠級軟體應用程式653上執行。
常見地,晶圓廠級軟體應用程式653駐存在連接至該製造設施中全部的研磨系統(及/或其控制器)的一伺服器上。例如,在一典型的製造設施處,從正由數個不同研磨系統(像是本案中所述研磨系統20)使用的龐大個數的處理組件(例如基板載器組合件)收集識別及感測器資訊。在此類例子中,進行了SPC方法之後,晶圓廠級軟體應用程式653推導出有關這些研磨系統所使用之特定類型的基板載器組合件的某些趨勢。作為一例,經處理的識別及感測性資訊可指示出,被用來研磨具有記憶體裝置形成於其上之基板的某些基板載器組合件,比起當相同基板載器組合件被用來研磨具有邏輯裝置形成於其上之基板時有更高的故障率。此資訊可接著被涉及的多方(例如系統使用者/操作者、部件製造商、等等)用以對研磨程序及/或處理組件等等做出改變。除了晶圓廠級軟體應用程式653以外,在一些實施例中,該識別及感測資料進一步被經由與控制器612或晶圓廠級控制器(未圖示)形成的一外部通訊鏈結傳送給研磨系統製造商及/或處理組件供應商,以提供有關該處理組件之狀態的更新。此資訊提供在處理組件已安裝並被研磨系統偵測之後,在研磨程序期間、以及在程序已結束之後對該處理組件之狀態的額外可見度。
如上所述,本文中所述方法及設備可被研磨系統20以外的工具或裝置所運用。本文中提供的有關一或更多研磨組件、處理組件總成、及研磨程序之說明不意圖為本文所提供本揭示案之範疇設限,而本文所提供本揭示案之實施例中一或更多者能因此被任何包含可替換、可消耗、及/或具有有限可用壽命的處理組件及/或處理組件總成的其他類型工具或裝置所用,像是第5圖中描述的物理氣相沉積(PVD)腔室。
第5圖另一範例基板處理系統(本文中為物理氣相沉積(PVD)處理室)的示意截面圖,該基板處理系統可經調適而得益於本文中所述實施例。可經調適而得益於本文中所述實施例的其他處理室例子有可自美國加州聖塔克拉拉美商應用材料公司購得的ALPS® Plus及SIP ENCORE® PVD 處理室。然而,可設想到其他處理室(包括來自其他製造商的)可經調適而得益於本文中所述實施例。本文中所述處理室100經配置以在基板105上沉積鈦或氧化鋁或氮化物。在其他實施例中,處理室100被用於其他目的,像是(例如)沉積鋁、銅、鉭、氮化鉭、碳化鉭、鎢、氮化鎢、鑭、氧化鑭、鈦、或以上之組合。
處理室100包括界定了一內部容積110的一腔室主體101、一腔室底部106、及一蓋組合件808,腔室主體101具有一或更多上調節器102及一或更多側壁調節器104。腔室主體101常見為藉由機械加工及焊接不鏽鋼板或藉由機械加工單一鋁塊所製造。在一實施例中,側壁調節器104包含鋁而腔室底部106包含不鏽鋼。處理室100的蓋組合件808(與一接地屏蔽160協作,該接地屏蔽與覆蓋環170交織)實質地將內部容積110中形成的電漿侷限在基板105上方的一範圍。
處理室100進一步包括設置在內部容積110中的一基板支撐組合件120,其包括無縫地耦合至底板128的基板支撐件126,底板128耦合至接地板125。基板支撐組合件120經設置在一支撐桿122上,該支撐桿可移動地設置並無縫地經過腔室底部106延伸。支撐桿122經耦合至一致動器(未圖示),該致動器經配置以抬起及降下支撐桿122(因此還有其上設置的基板支撐組合件120)以促進基板105的處理以及基板105與處理室100之間的來回傳輸。波紋管124圍繞支撐桿122且經耦合至基板支撐組合件120及腔室底部106以在其中間提供有彈性的密封並維持內部容積110的真空完整度。
基板105被透過穿過腔室主體101所形成的一開口(未圖示)傳送進出處理室100,該開口習知地以門或閥(未圖示)所密封。在一些實施例中,處理室100耦合至一傳送腔室及/或基板處理系統的其他腔室。常見地,複數個升舉銷(未圖示)經可移動地穿過基板支撐組合件120設置,以促進將基板105與基板支撐件126的接收基板之表面127之間來回輸送。當基板支撐組合件120在降下位置中時,複數個升舉銷伸出接收基板之表面127上方藉以將基板105與基板支撐件126隔開以供機械搬運器(robot handler)的及取。當基板支撐組合件120在升高的處理位置中時,該複數個升舉銷的頂端與接收基板之表面127齊平(或在其之下)而基板105直接擱置在接收基板之表面127上供處理。當基板支撐件126在處理室100的內部容積110中下降時,升舉銷之頂端與基板支撐件126之接收基板之表面127的相對位置能藉著以一靜止或可移動銷板(未圖示)或是藉由處理室100的腔室底部106接觸它們的下端而被改變。
常見地,基板支撐件126包含鋁、陶瓷、或其組合。在一些實施例中,基板支撐件126包含一靜電夾頭且是由具有夾頭電極138嵌入其中的介電材質形成。在一些實施例中,基板支撐件126及/或與其耦合的底板128經配置以利用設置於其中的電阻加熱元件(未圖示)及/或冷卻通道(未圖示)來加熱及/或冷卻基板。常見地,該等冷卻通道與冷卻劑源(未圖示)(像是製冷劑源或溫度控制流體源)流體連通。在本案中,基板支撐組合件120在沉積程序期間支撐沉積環302連同基板105。
蓋組合件808一般包括一靶材背板130、一靶材132、及一磁控管134。當蓋組合件808在關閉位置中時(如第5圖中所示)靶材背板130由上調節器102支撐。一陶瓷環密封136被設置在靶材背板130及上調節器102之間以防止其中間的真空外洩。
靶材132耦合至靶材背板130且向處理室100的內部容積110露出。靶材132提供了將在PVD程序期間被沉積在基板105上的材料。一絕緣環180經設置在靶材132、靶材背板130、及腔室主體101之間以將靶材132與靶材背板130和腔室主體101之上調節器102電絕緣。
靶材132被電源140偏壓而具有相對於接地(例如腔室主體101)的RF及/或DC電力。從氣源142經由管道144供應氣體(像是氬)至內部容積110。氣源142可包含像是氬或氙之非活性氣體,其能夠大力撞擊在靶材132上並從其濺射材料。透過排氣口146從處理室100之內部容積110排放經耗費的程序氣體及副產品,排氣口146接收經耗費的程序氣體並將該經耗費的程序氣體導至排氣管148,該排氣管具有一節流閥以控制處理室100之內部容積110中的氣體壓力。排氣管148流體耦合至一或更多排氣泵149。常見地,處理室100之內部容積110中的濺射氣體壓力被設為低於大氣壓的水平(像是真空環境),例如,大約0.6 mTorr到大約400 mTorr的氣體壓力。從基板105及靶材132之間的氣體形成電漿。電漿內的離子經朝向靶材132加速而使材料逐漸從靶材132的表面脫落。脫落的靶材材料經沉積在基板上。磁控管134經設置在靶材背板130之上且在靶材區域815內,該靶材區域由位在處理室100上的介電支撐件811及介電靶材蓋812封閉。在一些實施例中,介電靶材蓋812包括耦合至磁控管134的一馬達(未圖示),因此介電靶材蓋812能在處理期間在靶材區域815內圍繞軸線803被移動。
處理室100中進行的程序被控制器190所控制,控制器190包含的程式碼具有指令集以操作處理室100的組件,來促進處理室100中的基板的處理。例如,在一實施例中,控制器190包含的程式碼包括一基板定位 指令集以定位基板支撐組合件120;一氣流控制指令集以操作氣流控制閥來設定對處理室100之內部容積110的濺射氣體流;一氣體壓力控制指令集以操作節流閥來維持內部容積110中的壓力;一程序濺射電力控制指令集以供電給靶材132;一溫度控制指令集以控制基板支撐組合件120或側壁調節器104中的溫度控制系統(未圖示)來分別設定基板或側壁調節器104的溫度;及一程序監控指令集以監控處理室100中的程序。控制器190所提供給處理室100的指令集包含一組沉積程序參數(本文中的沉積程序變數),其全部組成一沉積製程配方。本案中沉積程序變數的例子包括(但不限於)基板105之一表面及靶材132之表面之間的距離、提供給靶材132的偏功率、基板支撐件126及/或設置於其上之基板105的溫度、進入處理室100之濺射氣體及/或反應氣體的流率、內部容積110中的壓力、沉積持續時間(時間)、磁控管134圍繞軸線803的速度、以及在一些實施例中經提供給基板支撐件126中所設置之偏壓電極(未圖示)的基板偏功率。經常地,特定類型的處理組件對於某些沉積製程配方之使用是必要的而禁止以其他沉積製程配方來使用,因為某些類型的處理組件不相容於某些基板沉積程序而因此未被授權用於該些基板沉積程序。
常見地,處理室100包括包含不同處理組件的一程序套件150,其能從處理室100被輕易地移除,以(例如)從組件表面清除濺射沉積物、替換或修理被侵蝕的組件、或針對其他程序及/或應用來調適處理室100。在一實施例中,程序套件150包含一接地屏蔽160、一交織覆蓋環170、及一定心機構175,該定心機構用於在一件式接地屏蔽160及交織覆蓋環170之間提供一受控的間隙。在一些實施例中,程序套件150進一步包含沉積環302。
在本案中,一或更多遠端通訊裝置600位在處理室100(及/或設置在其中的處理組件)的不同區域之上、嵌入處理室100的不同區域中、設置在處理室100的不同區域內、或以其他方式耦合至處理室100的不同區域。在一實施例中,第一遠端通訊裝置600A位在靶材132上、嵌入靶材132中、設置在靶材132內、或以其他方式耦合至靶材132,並與第一詢問器601A通訊,第一詢問器601A位在腔室主體101之介電支撐件811上、嵌入腔室主體101之介電支撐件811中、設置在腔室主體101之介電支撐件811內、或以其他方式耦合至腔室主體101之介電支撐件811,且第一詢問器601A鄰接磁控管134。在另一實施例中,第二遠端通訊裝置600B位在磁控管134的磁鐵801上、嵌入磁控管134的磁鐵801中、設置在磁控管134的磁鐵801內、或以其他方式耦合至磁控管134的磁鐵801,該磁鐵801與第二詢問器601B通訊,第二詢問器601B位在一軛(或一程序部件)上、經嵌入軛中、設置在軛內、或以其他方式耦合至軛,如第5圖中顯示。如進一步顯示在第5圖中,詢問器601A及601B分別利用通訊鏈結655A及655B來與中介軟體應用程式651溝通,在一些實施例中中介軟體應用程式651駐存在控制器190上。在一些實施例中,通訊鏈結655A及655B為固線連接,而在其他實施例中為無線通訊協定。
本案中遠端通訊裝置600A及600B操作以致能與如上有關第1~4圖中所述研磨系統20的相同功能,包括偵測、認證、及追蹤處理組件(例如靶材132)還有對處理室100內之若干區別性特徵的設定、重配置、或解鎖。因此,一旦遠端通訊裝置600A及600B中儲存的識別資訊被詢問器601A及601B分別透過信號所接收,該資訊可透過相關於第4圖所述的相同軟體應用程式階層來行進。遠端通訊裝置600A~B及不同階層的軟體應用程式(例如651、652、及653)之間的雙向通訊因此致能了上述功能性,也允許儲存資訊在遠端通訊裝置600A及600B中。
例如,特定於像是靶材132及/或磁鐵801之處理組件的某些資訊被分別儲存在遠端通訊裝置600A及/或600B中。類似於研磨系統20的基板載器組合件108,靶材132及/或磁鐵801也被利用儲存在其個別的遠端通訊裝置600A~B(在一些實施例中為RFID標籤)中的資訊所偵測及經認證。在一例中,在如關於第4圖所述之認證之後,特定程序或操作可基於透過該識別資訊所識別出的磁鐵類型及/或靶材類型而被解鎖。例如,在一實施例中,處理室100被上鎖而無法進行特定類型的PVD沉積操作,直到認證了內含部件之遠端通訊裝置為止。認證之後,例如,處理室100被解鎖而可參與先前被上鎖的沉積程序變數制度(regime)。在一例中,基於從遠端通訊裝置600A及/或600B接收的資訊,裝備軟體應用程式652將允許施加至靶材132的DC或RF電力水平或是套用至基板支撐組合件120的溫度設定點被基於中介軟體應用程式651所接收的資訊來增加或減少。在一情況中,若遠端通訊裝置600A及/或600B中之一者不存在於系統內,則不一定會允許在裝備軟體應用程式652內改變一或更多程序變數的功能。由於內含部件之遠端通訊裝置600A及/或600B的存在或狀態而能夠互相鎖定各不同程序變數之設定點的能力,能被用以確保處理室或處理系統中之沉積程序的安全性及可靠度,而在某些情況中使用未授權及/或不相容處理組件可導致不安全的操作狀態及/或不可靠的處理結果。
在一些實施例中,遠端通訊裝置600A~B、詢問器601A~B、及處理室100的控制器190分別與第1~4圖中所述之研磨系統20中的遠端通訊裝置600、詢問器601、及控制器612包括相同組件並以類似方式操作。
注意到上述實施例不一定限於CMP裝置及PVD處理室也是重要的,因為其他類型的裝置可能也運用無線通訊裝置來使能夠偵測、認證、及追蹤其中設置有可消耗組件及非可消耗組件的處理組件。
10‧‧‧基板
20‧‧‧研磨系統
22‧‧‧機器底座
23‧‧‧桌頂部
25a、25b、25c‧‧‧研磨站
27‧‧‧傳送站
30‧‧‧研磨平臺
30a‧‧‧平臺軸線
32‧‧‧研磨墊
40‧‧‧襯墊調節組合件
50‧‧‧研磨流體
52‧‧‧流體分配器
60‧‧‧旋轉料架
62‧‧‧中央柱
64‧‧‧旋轉料架軸線
66‧‧‧旋轉料架支撐板
68‧‧‧蓋
70a~d‧‧‧基板載器系統
72‧‧‧徑向插槽
74‧‧‧載器驅動桿
76‧‧‧基板載器組合件旋轉馬達
100‧‧‧處理室
101‧‧‧腔室主體
102‧‧‧上調節器
104‧‧‧側壁調節器
105‧‧‧基板
106‧‧‧腔室底部
108‧‧‧基板載器組合件
108A‧‧‧基板固定環
108B‧‧‧彈性隔膜
108C‧‧‧載器外殼
110‧‧‧內部容積
114‧‧‧載器軸線
120‧‧‧基板支撐組合件
122‧‧‧支撐桿
124‧‧‧波紋管
125‧‧‧接地板
126‧‧‧基板支撐件
127‧‧‧接收基板之表面
128‧‧‧底板
130‧‧‧靶材背板
132‧‧‧靶材
134‧‧‧磁控管
136‧‧‧陶瓷環密封
138‧‧‧夾頭電極
140‧‧‧電源
142‧‧‧氣源
144‧‧‧管道
146‧‧‧排氣口
148‧‧‧排氣管
149‧‧‧排氣泵
150‧‧‧程序套件
160‧‧‧接地屏蔽
170‧‧‧覆蓋環
175‧‧‧定心機構
180‧‧‧絕緣環
190‧‧‧控制器
302‧‧‧沉積環
411‧‧‧硬體抽象化層
412‧‧‧事件及資料管理層
413‧‧‧應用抽象化層
600‧‧‧遠端通訊裝置
600A‧‧‧第一遠端通訊裝置
600B‧‧‧第二遠端通訊裝置
601‧‧‧詢問器
6011~601N‧‧‧標籤
601A‧‧‧第一詢問器
601B‧‧‧第二詢問器
602‧‧‧標籤
603‧‧‧記憶體
604‧‧‧感測器
606‧‧‧天線
607、609‧‧‧通訊鏈結
608‧‧‧RFID讀取器
6081~608N‧‧‧RFID讀取器
610‧‧‧天線
612‧‧‧控制器
614‧‧‧CPU
616‧‧‧支援電路
618‧‧‧記憶體
620‧‧‧操作
622、624、628‧‧‧活動
651‧‧‧中介軟體應用程式
652‧‧‧配備軟體應用程式
653‧‧‧晶圓廠級軟體應用程式
655~658‧‧‧通訊鏈結
655A、655B‧‧‧通訊鏈結
700、800‧‧‧操作
702、704、708、802、804‧‧‧活動
803‧‧‧軸線
808‧‧‧蓋組合件
811‧‧‧介電支撐件
812‧‧‧介電靶材蓋
815‧‧‧靶材區域
因此,以上記載之本揭示案的特徵能被詳細地理解,而藉由參照實施例可得到以上簡短摘要的本揭示案之更詳細說明,有些實施例經圖示在隨附圖式中。然而,將注意到隨附圖式僅描繪例示性實施例,而因此該些隨附圖式不應被認為限制其範疇,可承認其他相等地有效的實施例。
第1A圖是一範例基板處理系統(本文中為基板研磨系統)的分解示意透視圖,該基板處理系統經調適以受益於本文中所述實施例。
第1B圖是第1A圖之研磨系統的一部分的截面圖。
第2A圖是第1B圖的旋轉料架支撐板的示意平面圖,該圖圖示了按照一實施例經定位在該旋轉料架支撐板的徑向插槽周圍的詢問器。
第2B圖是第2A圖中描繪之旋轉料架支撐板66的一部分的特寫圖。
第3圖圖示了按照本說明書所述的一些實施例第1B圖之無線通訊設備、詢問器、及控制器的局部及示意圖。
第4圖圖示了按照本說明書所述的一些實施例第1B圖之軟體應用程式階層的邏輯圖。
第5圖是另一範例基板處理系統(本文中為物理氣相沉積(PVD)處理室)的示意透視圖,該基板處理系統經調適以受益於本文中所述實施例。
第6圖圖示按照本揭示案的態樣用於由一基板處理系統(像是第1A圖及第5圖中所圖示的範例基板處理系統)使用的範例操作。
第7圖圖示按照本揭示案的態樣用於由一基板處理系統(像是第1A圖及第5圖中所圖示的範例基板處理系統)使用的範例操作。
第8圖圖示按照本揭示案的態樣用於由一基板處理系統(像是第1A圖及第5圖中所圖示的範例基板處理系統)使用的範例操作。
為了促進了解,在可行之處已使用相同的參考元件符號來指稱各圖中共有的相同元件。可以設想到一實施例的元件及特徵可有益地被併入其他實施例中,無需進一步載明。

Claims (20)

  1. 一種處理一基板的方法,該方法利用經設置在一基板處理系統內的一處理組件,該方法包含下列步驟: 利用一詢問器,接收來自一遠端通訊裝置的一或更多信號,該遠端通訊裝置耦合至經設置在該基板處理系統中的一處理組件,其中該一或更多信號包含有關該處理組件的識別符資訊;利用一控制器,將該識別符資訊與儲存在一資料庫中的處理組件識別符做比較,以認證該處理組件;及利用該控制器,根據該處理組件的該認證來進行一或更多基板處理操作。
  2. 如請求項1所述之方法,進一步包含下列步驟: 在認證該處理組件的該步驟之前,利用該控制器,基於該一或更多信號來偵測該處理組件的存在。
  3. 如請求項1所述之方法,進一步包含下列步驟: 利用存在該控制器上的一中介軟體應用程式,處理該一或更多信號以產生一或更多資料參數;及利用該中介軟體應用程式,通過一或更多應用程式介面(API)將該一或更多資料參數傳送至一使用者應用程式。
  4. 如請求項1所述之方法,進一步包含下列步驟: 通過一或更多應用程式介面(API)從一使用者介面應用程式接收使用者輸入;及利用該詢問器,將該使用者輸入傳送至該遠端通訊裝置以供儲存在該遠端通訊裝置的一記憶體中。
  5. 如請求項4所述之方法,其中該使用者輸入包括對應於該處理組件的故障資訊。
  6. 如請求項4所述之方法,其中該使用者輸入包括對應於該處理組件的使用量資訊。
  7. 如請求項1所述之方法,其中進行該一或更多處理操作的步驟包括下列步驟:解除鎖定經授權以供藉該經認證處理組件所使用的製程配方。
  8. 如請求項1所述之方法,其中進行該一或更多處理操作的步驟包括下列步驟:改變一製程配方的一製程變數。
  9. 如請求項7所述之方法,其中該一或更多操作包括根據該一或更多信號來配置該處理系統。
  10. 如請求項1所述之方法,其中該一或更多信號包括選自以下群組中的資訊:處理組件識別符、處理組件配置方式、處理組件歷史紀錄、故障資訊、生命週期資料、客戶名稱、及處理系統識別資訊。
  11. 如請求項8所述之方法,其中該處理組件是下列中之一者:一研磨墊、一墊調節器、或一基板載器組合件組件,該基板載器組合件組件包括一載器外殼、一基板固定環、或一彈性隔膜。
  12. 如請求項7所述之方法,其中該基板處理系統是以下之一者:一化學機械研磨(CMP)系統、一化學氣相沉積(CVD)腔室、一物理氣相沉積(PVD)腔室、一離子佈植腔室、一蝕刻處理室或系統、一光蝕刻處理系統、或一基板薄化系統。
  13. 如請求項1所述之方法,其中該遠端通訊裝置包含一RFID標籤。
  14. 一種處理一基板的方法,該方法利用經設置在一基板處理系統內的一處理組件,該方法包含下列步驟: 傳遞一或更多信號至一遠端通訊裝置,該遠端通訊裝置包含一RFID標籤,其中該遠端通訊裝置耦合至一第一基板處理系統內的一處理組件;在從該基板處理系統移出該處理組件之前,將在該一或更多信號中接收的資訊儲存在該遠端通訊裝置的一記憶體內;及在該處理組件已被安裝在該第一基板處理系統或一第二基板處理系統內後,從該遠端通訊裝置接收該經儲存資訊的至少一部分。
  15. 如請求項14所述之方法,進一步包含下列步驟: 基於該經儲存資訊的該部分的接收,在該第一或第二基板處理系統上進行一或更多基板處理操作。
  16. 如請求項14所述之方法,其中該經儲存資訊包括選自以下群組中的資訊:處理組件識別符、處理組件配置方式、處理組件歷史紀錄、故障資訊、生命週期資料、客戶名稱、及處理系統識別資訊。
  17. 如請求項15所述之方法,其中該基板處理系統是下列中之一者:一化學機械研磨(CMP)系統、一化學氣相沉積(CVD)腔室、一物理氣相沉積(PVD)腔室、一離子佈植腔室、一蝕刻處理室或系統、一光蝕刻處理系統、或一基板薄化系統。
  18. 一種處理一基板的方法,該方法利用經設置在一基板處理系統內的一處理組件,該方法包含下列步驟: 經由一詢問器,接收來自一RFID標籤的一或更多信號,其中該一或更多信號包括有關由一感測器所偵測之一或更多處理狀態的資訊,該感測器耦合至該處理組件;及利用一控制器分析該一或更多信號,該控制器經調適以控制在該基板處理系統內進行的一程序,其中該控制器回應於所接收的該資訊來起始該研磨程序中的一改變。
  19. 如請求項18所述之方法,其中該一或更多處理狀態包含下列中至少一者:溫度資料、壓力資料、電導性資料、彈性係數資料、光學資料、聲學資料、及膜厚度資料。
  20. 如請求項18所述之方法,其中該感測器是下列中之一者:一溫度感測器、一聲音感測器、一導電性感測器、及一加速度計。
TW106142097A 2016-12-02 2017-12-01 Rfid部件認證及處理組件的追蹤 TWI777993B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201662429726P 2016-12-02 2016-12-02
US62/429,726 2016-12-02
US201762476626P 2017-03-24 2017-03-24
US62/476,626 2017-03-24
US15/810,628 2017-11-13
US15/810,628 US10930535B2 (en) 2016-12-02 2017-11-13 RFID part authentication and tracking of processing components

Publications (2)

Publication Number Publication Date
TW201828388A true TW201828388A (zh) 2018-08-01
TWI777993B TWI777993B (zh) 2022-09-21

Family

ID=62240606

Family Applications (2)

Application Number Title Priority Date Filing Date
TW111131634A TW202249156A (zh) 2016-12-02 2017-12-01 Rfid部件認證及處理組件的追蹤
TW106142097A TWI777993B (zh) 2016-12-02 2017-12-01 Rfid部件認證及處理組件的追蹤

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW111131634A TW202249156A (zh) 2016-12-02 2017-12-01 Rfid部件認證及處理組件的追蹤

Country Status (7)

Country Link
US (2) US10930535B2 (zh)
EP (2) EP3549156B1 (zh)
JP (2) JP7079249B2 (zh)
KR (2) KR20190083373A (zh)
CN (2) CN112908842A (zh)
TW (2) TW202249156A (zh)
WO (1) WO2018102134A1 (zh)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
KR102630261B1 (ko) 2014-10-17 2024-01-29 어플라이드 머티어리얼스, 인코포레이티드 애디티브 제조 프로세스들을 이용한 복합 재료 특성들을 갖는 cmp 패드 구성
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
JP6940495B2 (ja) 2015-10-30 2021-09-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 所望のゼータ電位を有する研磨用物品を形成するための装置及び方法
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10930535B2 (en) * 2016-12-02 2021-02-23 Applied Materials, Inc. RFID part authentication and tracking of processing components
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
WO2019032286A1 (en) 2017-08-07 2019-02-14 Applied Materials, Inc. ABRASIVE DISTRIBUTION POLISHING PADS AND METHODS OF MAKING SAME
JP7045861B2 (ja) * 2018-01-17 2022-04-01 株式会社ディスコ 支持基台
CN111684571A (zh) * 2018-02-05 2020-09-18 应用材料公司 用于3d打印的cmp垫的压电终点指示
KR102493014B1 (ko) * 2018-07-03 2023-01-31 주식회사 케이씨텍 기판 연마 시스템
IT201800008045A1 (it) * 2018-08-10 2020-02-10 Mole Abrasivi Ermoli Srl Sistema di molatura comprendente una mola ed una molatrice con sistema di ricetrasmissione dati mobile
IT201800008047A1 (it) 2018-08-10 2020-02-10 Mole Abrasivi Ermoli Srl Sistema di molatura comprendente una molatrice e una mola e metodo per scambiare informazioni tra molatrice e mola
CN112654655A (zh) 2018-09-04 2021-04-13 应用材料公司 先进抛光垫配方
CN112912209A (zh) * 2018-10-25 2021-06-04 3M创新有限公司 机器人油漆修复系统和方法
KR102629678B1 (ko) * 2018-11-08 2024-01-29 주식회사 케이씨텍 기판 처리 장치
JP7300297B2 (ja) * 2019-04-02 2023-06-29 株式会社荏原製作所 積層メンブレン、積層メンブレンを備える基板保持装置および基板処理装置
JP2021100017A (ja) * 2019-12-20 2021-07-01 株式会社Kokusai Electric 基板処理装置、基板処理システム、半導体装置の製造方法、プログラムおよび記録媒体
CN114603482B (zh) * 2020-12-03 2023-03-21 长鑫存储技术有限公司 压力检测系统及压力检测方法
US11878389B2 (en) 2021-02-10 2024-01-23 Applied Materials, Inc. Structures formed using an additive manufacturing process for regenerating surface texture in situ
US20220285180A1 (en) * 2021-03-08 2022-09-08 Applied Materials, Inc. Enclosure system structure
US20230143307A1 (en) * 2021-10-29 2023-05-11 Brooks Automation US, LLC. Substrate processing apparatus

Family Cites Families (164)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4421068A (en) 1982-07-06 1983-12-20 Measurex Corporation Optimization of steam distribution
US4544375A (en) 1983-11-25 1985-10-01 Institute Of Gas Technology Apparatus and process for controlling fluidized beds
JPH0660826B2 (ja) 1989-02-07 1994-08-10 動力炉・核燃料開発事業団 プラントの異常診断方法
JP2533942B2 (ja) 1989-03-13 1996-09-11 株式会社日立製作所 知識抽出方法およびプロセス運転支援システム
US4995430A (en) 1989-05-19 1991-02-26 Asyst Technologies, Inc. Sealable transportable container having improved latch mechanism
JPH05121521A (ja) 1991-10-29 1993-05-18 Komatsu Electron Metals Co Ltd 半導体ウエハ製造装置および製造方法
US5499733A (en) 1992-09-17 1996-03-19 Luxtron Corporation Optical techniques of measuring endpoint during the processing of material layers in an optically hostile environment
US5740033A (en) 1992-10-13 1998-04-14 The Dow Chemical Company Model predictive controller
CA2118885C (en) 1993-04-29 2005-05-24 Conrad K. Teran Process control system
US5486995A (en) 1994-03-17 1996-01-23 Dow Benelux N.V. System for real time optimization
ATE199188T1 (de) 1994-03-17 2001-02-15 Dow Benelux System zur echtzeit optimierung und darstellung des gewinns
JP3313505B2 (ja) 1994-04-14 2002-08-12 株式会社日立製作所 研磨加工法
US5682309A (en) 1995-04-28 1997-10-28 Exxon Chemical Patents Inc. Feedback method for controlling non-linear processes
US5799286A (en) 1995-06-07 1998-08-25 Electronic Data Systems Corporation Automated activity-based management system
US5609517A (en) 1995-11-20 1997-03-11 International Business Machines Corporation Composite polishing pad
US5691895A (en) 1995-12-18 1997-11-25 International Business Machines Corporation Mechanism and architecture for manufacturing control and optimization
US5667629A (en) 1996-06-21 1997-09-16 Chartered Semiconductor Manufactuing Pte, Ltd. Method and apparatus for determination of the end point in chemical mechanical polishing
US5954997A (en) 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US5957751A (en) * 1997-05-23 1999-09-28 Applied Materials, Inc. Carrier head with a substrate detection mechanism for a chemical mechanical polishing system
US5967661A (en) * 1997-06-02 1999-10-19 Sensarray Corporation Temperature calibration substrate
US5972793A (en) 1997-06-09 1999-10-26 Vanguard International Semiconductor Corporation Photolithography alignment mark manufacturing process in tungsten CMP metallization
US5969639A (en) * 1997-07-28 1999-10-19 Lockheed Martin Energy Research Corporation Temperature measuring device
US6195593B1 (en) 1997-09-03 2001-02-27 Seiko Epson Corporation Reusable modules for complex integrated circuit devices
US6768415B1 (en) * 1997-10-03 2004-07-27 Micron Technology, Inc. Wireless identification device, RFID device with push-on/push-off switch, method of manufacturing wireless identification device
US6249227B1 (en) * 1998-01-05 2001-06-19 Intermec Ip Corp. RFID integrated in electronic assets
US6128540A (en) 1998-02-20 2000-10-03 Hagen Method Pty. Ltd. Method and computer system for controlling an industrial process using financial analysis
US6268641B1 (en) 1998-03-30 2001-07-31 Kabushiki Kaisha Toshiba Semiconductor wafer having identification indication and method of manufacturing the same
US6047579A (en) 1998-04-17 2000-04-11 The Minster Machine Company RF tag attached to die assembly for use in press machine
US5987398A (en) 1998-04-30 1999-11-16 Sony Corporation Method and apparatus for statistical process control of machines and processes having non-constant mean of a response variable
US6677776B2 (en) * 1998-05-11 2004-01-13 Micron Technology, Inc. Method and system having switching network for testing semiconductor components on a substrate
US6337577B1 (en) * 1998-05-11 2002-01-08 Micron Technology, Inc. Interconnect and system for testing bumped semiconductor components with on-board multiplex circuitry for expanding tester resources
US6263255B1 (en) 1998-05-18 2001-07-17 Advanced Micro Devices, Inc. Advanced process control for semiconductor manufacturing
US6157916A (en) 1998-06-17 2000-12-05 The Hoffman Group Method and apparatus to control the operating speed of a papermaking facility
WO2000002236A2 (en) * 1998-07-07 2000-01-13 Memc Electronic Materials, Inc. Radio frequency identification system and method for tracking silicon wafers
US6502869B1 (en) 1998-07-14 2003-01-07 Asyst Technologies, Inc. Pod door to port door retention system
US6197604B1 (en) 1998-10-01 2001-03-06 Advanced Micro Devices, Inc. Method for providing cooperative run-to-run control for multi-product and multi-process semiconductor fabrication
US7037172B1 (en) 1999-04-01 2006-05-02 Beaver Creek Concepts Inc Advanced wafer planarizing
US6739947B1 (en) 1998-11-06 2004-05-25 Beaver Creek Concepts Inc In situ friction detector method and apparatus
US6986698B1 (en) 1999-04-01 2006-01-17 Beaver Creek Concepts Inc Wafer refining
US7008300B1 (en) 2000-10-10 2006-03-07 Beaver Creek Concepts Inc Advanced wafer refining
US7575501B1 (en) * 1999-04-01 2009-08-18 Beaver Creek Concepts Inc Advanced workpiece finishing
US7131890B1 (en) 1998-11-06 2006-11-07 Beaver Creek Concepts, Inc. In situ finishing control
US7878882B2 (en) * 1999-04-01 2011-02-01 Charles J. Molnar Advanced workpiece finishing
US6568989B1 (en) 1999-04-01 2003-05-27 Beaver Creek Concepts Inc Semiconductor wafer finishing control
US6240335B1 (en) 1998-12-14 2001-05-29 Palo Alto Technologies, Inc. Distributed control system architecture and method for a material transport system
US6242935B1 (en) * 1999-01-21 2001-06-05 Micron Technology, Inc. Interconnect for testing semiconductor components and method of fabrication
US7206646B2 (en) 1999-02-22 2007-04-17 Fisher-Rosemount Systems, Inc. Method and apparatus for performing a function in a plant using process performance monitoring with process equipment monitoring and control
US6298470B1 (en) 1999-04-15 2001-10-02 Micron Technology, Inc. Method for efficient manufacturing of integrated circuits
US20020010563A1 (en) 1999-06-15 2002-01-24 S. Michael Ratteree Method for achieving and verifying increased productivity in an industrial process
US6328802B1 (en) * 1999-09-14 2001-12-11 Lsi Logic Corporation Method and apparatus for determining temperature of a semiconductor wafer during fabrication thereof
US6408227B1 (en) 1999-09-29 2002-06-18 The University Of Iowa Research Foundation System and method for controlling effluents in treatment systems
CN100454195C (zh) * 2000-04-20 2009-01-21 蔻杰斯堪公司 自动制造控制系统
US6546304B2 (en) 2000-05-30 2003-04-08 Marel Hf. Integrated meat processing and information handling method
US6567718B1 (en) 2000-07-28 2003-05-20 Advanced Micro Devices, Inc. Method and apparatus for monitoring consumable performance
US6351684B1 (en) 2000-09-19 2002-02-26 Advanced Micro Devices, Inc. Mask identification database server
US6883710B2 (en) 2000-10-11 2005-04-26 Amerasia International Technology, Inc. Article tracking system and method
JP3847568B2 (ja) 2001-03-01 2006-11-22 ファブソリューション株式会社 半導体装置製造方法
US7143016B1 (en) 2001-03-02 2006-11-28 Rockwell Automation Technologies, Inc. System and method for dynamic multi-objective optimization of pumping system operation and diagnostics
US6738731B1 (en) * 2001-03-22 2004-05-18 Advanced Micro Devices, Inc. Method and apparatus for using tool state information to identify faulty wafers
TW594455B (en) * 2001-04-19 2004-06-21 Onwafer Technologies Inc Methods and apparatus for obtaining data for process operation, optimization, monitoring, and control
US7082345B2 (en) 2001-06-19 2006-07-25 Applied Materials, Inc. Method, system and medium for process control for the matching of tools, chambers and/or other semiconductor-related entities
US20020192966A1 (en) * 2001-06-19 2002-12-19 Shanmugasundram Arulkumar P. In situ sensor based control of semiconductor processing procedure
US6936842B2 (en) * 2001-06-27 2005-08-30 Applied Materials, Inc. Method and apparatus for process monitoring
US6847854B2 (en) 2001-08-10 2005-01-25 Rockwell Automation Technologies, Inc. System and method for dynamic multi-objective optimization of machine selection, integration and utilization
US7797062B2 (en) 2001-08-10 2010-09-14 Rockwell Automation Technologies, Inc. System and method for dynamic multi-objective optimization of machine selection, integration and utilization
US20030046130A1 (en) 2001-08-24 2003-03-06 Golightly Robert S. System and method for real-time enterprise optimization
WO2003025685A1 (en) 2001-09-14 2003-03-27 Ibex Process Technology, Inc. Scalable, hierarchical control for complex processes
WO2003025689A2 (en) 2001-09-14 2003-03-27 Ibex Process Technology, Inc. Large scale process control by driving factor identification
US7156717B2 (en) 2001-09-20 2007-01-02 Molnar Charles J situ finishing aid control
TWI266674B (en) * 2001-12-06 2006-11-21 Ebara Corp Substrate holding device and polishing apparatus
US6941184B2 (en) 2002-01-11 2005-09-06 Sap Aktiengesellschaft Exchange of article-based information between multiple enterprises
US6901304B2 (en) 2002-01-11 2005-05-31 Sap Aktiengesellschaft Item tracking system architectures providing real-time visibility to supply chain
US7969306B2 (en) 2002-01-11 2011-06-28 Sap Aktiengesellschaft Context-aware and real-time item tracking system architecture and scenarios
US7840803B2 (en) * 2002-04-16 2010-11-23 Massachusetts Institute Of Technology Authentication of integrated circuits
US6828542B2 (en) * 2002-06-07 2004-12-07 Brion Technologies, Inc. System and method for lithography process monitoring and control
US7158850B2 (en) 2002-06-14 2007-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Wireless wafer carrier identification and enterprise data synchronization
US6834212B1 (en) 2002-07-03 2004-12-21 Blue Control Technologies, Inc. Method and apparatus for APC solver engine and heuristic
US20040008123A1 (en) 2002-07-15 2004-01-15 Battelle Memorial Institute System and method for tracking medical devices
US7573370B2 (en) 2002-09-05 2009-08-11 Honeywell International Inc. Method and device for storing and distributing information in an RFID tag
US6970857B2 (en) 2002-09-05 2005-11-29 Ibex Process Technology, Inc. Intelligent control for process optimization and parts maintenance
US7050863B2 (en) 2002-09-11 2006-05-23 Fisher-Rosemount Systems, Inc. Integrated model predictive control and optimization within a process control system
US6807503B2 (en) * 2002-11-04 2004-10-19 Brion Technologies, Inc. Method and apparatus for monitoring integrated circuit fabrication
US7333001B2 (en) * 2002-11-23 2008-02-19 Kathleen Lane Secure personal RFID documents and method of use
US6985787B2 (en) * 2002-12-31 2006-01-10 Tokyo Electron Limited Method and apparatus for monitoring parts in a material processing system
US6822582B2 (en) 2003-02-25 2004-11-23 Hunter Engineering Company Radio frequency identification automotive service systems
US7020569B2 (en) 2003-03-13 2006-03-28 Ibex Process Technology, Inc. Intelligent modelling of process and tool health
US7840439B2 (en) 2003-11-10 2010-11-23 Nintendo Of America, Inc. RF-ID product tracking system with privacy enhancement
US20070282480A1 (en) * 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US20050193222A1 (en) 2004-03-01 2005-09-01 Greene William S. Providing secure data and policy exchange between domains in a multi-domain grid by use of a service ecosystem facilitating uses such as supply-chain integration with RIFD tagged items and barcodes
WO2005103984A2 (en) 2004-04-22 2005-11-03 Sensitech Inc. Recording of location event information in rfid tags
JP4020396B2 (ja) 2004-05-11 2007-12-12 インターナショナル・ビジネス・マシーンズ・コーポレーション 製品を追跡するための装置及び方法
DE102004037347A1 (de) 2004-08-02 2006-02-23 Infineon Technologies Ag Identifikationsdatenträger-Anordnung, Lese-Vorrichtung und Identifikations-System
US7152011B2 (en) * 2004-08-25 2006-12-19 Lam Research Corporation Smart component-based management techniques in a substrate processing system
US20060043197A1 (en) * 2004-08-31 2006-03-02 Chang Yung C Carrier facilitating radio-frequency identification (RFID) operation in a semiconductor fabrication system
US20060075968A1 (en) * 2004-10-12 2006-04-13 Applied Materials, Inc. Leak detector and process gas monitor
US7243547B2 (en) * 2004-10-13 2007-07-17 Honeywell International Inc. MEMS SAW sensor
US7441320B2 (en) 2004-10-15 2008-10-28 Lsi Corporation Method of validating manufacturing configurations during hardware assembly
US7316347B2 (en) * 2005-01-07 2008-01-08 Ctb Mcgraw-Hill Linking articles to content via RFID
US7239242B2 (en) 2005-01-26 2007-07-03 Axcelis Technologies, Inc. Parts authentication employing radio frequency identification
US7712674B1 (en) * 2005-02-22 2010-05-11 Eigent Technologies Llc RFID devices for verification of correctness, reliability, functionality and security
US7551086B2 (en) 2005-09-20 2009-06-23 The Boeing Company System and methods for tracking aircraft components
US7423547B2 (en) 2005-09-29 2008-09-09 Lear Corporation System and method for verifying assembly of manufactured parts using RFID tags
JP4676324B2 (ja) * 2005-11-29 2011-04-27 株式会社日本コンラックス 非接触icメディアとの間で通信を行う端末装置及びその通信方法
EP1958172B1 (en) 2005-12-09 2014-11-12 Tego Inc. Multiple radio frequency network node rfid tag
US20070146141A1 (en) * 2005-12-22 2007-06-28 Popplewell Lewis M Method for authenticating product
US7893833B2 (en) * 2006-01-20 2011-02-22 Sanmina-Sci Corporation Inline system for collecting stage-by-stage manufacturing metrics
US7587812B2 (en) * 2006-02-07 2009-09-15 Applied Materials, Inc. Electronic device manufacturing component with an embedded chip and methods of using the same
US7493181B2 (en) * 2006-02-23 2009-02-17 International Business Machines Corporation Utilizing an RFID tag in manufacturing for enhanced lifecycle management
US7512291B2 (en) * 2006-05-31 2009-03-31 Mendoza Edgar A Fiber bragg crating sensor interrogation method
US20070280605A1 (en) * 2006-05-31 2007-12-06 Mendoza Edgar A Fiber bragg grating sensor interrogator and manufacture thereof
US7840305B2 (en) * 2006-06-28 2010-11-23 3M Innovative Properties Company Abrasive articles, CMP monitoring system and method
JP2008093735A (ja) * 2006-10-05 2008-04-24 Disco Abrasive Syst Ltd 加工装置
US20080126414A1 (en) 2006-11-27 2008-05-29 International Business Machines Corporation Method, system, and computer program product for providing a program interface for communications between a manufacturing execution system and a transport system
DE102007011880A1 (de) 2007-03-13 2008-09-18 Peter Wolters Gmbh Bearbeitungsmaschine mit Mitteln zur Erfassung von Bearbeitungsparametern
US7629184B2 (en) * 2007-03-20 2009-12-08 Tokyo Electron Limited RFID temperature sensing wafer, system and method
US20090327715A1 (en) * 2007-05-04 2009-12-31 Smith Kevin W System and Method for Cryptographic Identification of Interchangeable Parts
JP5093652B2 (ja) 2007-06-12 2012-12-12 株式会社ニコン 研磨装置
US8388410B2 (en) 2007-11-05 2013-03-05 P.R. Hoffman Machine Products, Inc. RFID-containing carriers used for silicon wafer quality
JP5442950B2 (ja) * 2008-01-29 2014-03-19 ルネサスエレクトロニクス株式会社 半導体装置、その製造方法、当該半導体装置を用いた信号送受信方法、およびテスタ装置
JP5369655B2 (ja) 2008-02-19 2013-12-18 東レ株式会社 ポリアミド微粒子、プリプレグ及び炭素繊維強化複合材料
WO2009105724A2 (en) * 2008-02-22 2009-08-27 Asyst Technologies Inc . Vao productivity suite
TW201006609A (en) 2008-06-09 2010-02-16 Applied Materials Inc CMP pad identification and layer ratio modeling
US20100001862A1 (en) * 2008-07-03 2010-01-07 James Charles Wilson Method for authenticating radio frequency identification
JP5475999B2 (ja) 2009-01-15 2014-04-16 株式会社国際電気セミコンダクターサービス 基板処理装置、半導体装置の製造方法、基板処理システム及び識別プログラム
US8285414B2 (en) * 2009-03-31 2012-10-09 International Business Machines Corporation Method and system for evaluating a machine tool operating characteristics
US20100279438A1 (en) 2009-05-01 2010-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method of in-situ identification for contamination control in semiconductor fabrication
TW201117262A (en) * 2009-05-29 2011-05-16 Univ Arizona Method of providing a flexible semiconductor device at high temperatures and flexible semiconductor device thereof
JP5271816B2 (ja) 2009-06-10 2013-08-21 株式会社日立製作所 Icタグへのデータ書き込みシステム及び方法
WO2011003200A1 (en) * 2009-07-10 2011-01-13 Certicom Corp. System and method for performing key injection to devices
JP5502198B2 (ja) * 2009-07-10 2014-05-28 サーティコム コーポレーション デバイスのシリアライゼーションを実行するためのシステムおよび方法
WO2011003199A1 (en) * 2009-07-10 2011-01-13 Certicom Corp. System and method for managing electronic assets
US8712571B2 (en) 2009-08-07 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for wireless transmission of diagnostic information
JP5551479B2 (ja) 2010-03-19 2014-07-16 ニッタ・ハース株式会社 研磨装置、研磨パッドおよび研磨情報管理システム
US8870080B2 (en) * 2010-08-12 2014-10-28 Féinics Amatech Teoranta RFID antenna modules and methods
JP5088517B2 (ja) 2010-09-30 2012-12-05 日本電気株式会社 検疫装置、検疫システム、検疫方法、及びプログラム
US8273610B2 (en) * 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
MY185001A (en) * 2011-06-06 2021-04-30 Paramit Corp Computer directed assembly method and system for manufacturing
EP2758959A1 (en) * 2011-09-23 2014-07-30 Thomson Licensing Method and system for disc authentication and security
US20130086385A1 (en) * 2011-09-30 2013-04-04 Yuri Poeluev System and Method for Providing Hardware-Based Security
US9419146B2 (en) * 2012-01-26 2016-08-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9553200B2 (en) * 2012-02-29 2017-01-24 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9276121B2 (en) * 2012-04-12 2016-03-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
WO2013154195A1 (en) * 2012-04-13 2013-10-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US9029863B2 (en) * 2012-04-20 2015-05-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9006024B2 (en) * 2012-04-25 2015-04-14 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US9048323B2 (en) * 2012-04-30 2015-06-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US9064195B2 (en) 2012-06-29 2015-06-23 Dynamics Inc. Multiple layer card circuit boards
US20140032378A1 (en) * 2012-07-30 2014-01-30 Budimir Damnjanovic Product authentication process and system for preventing counterfeiting and piracy in market distribution channels
TWI581404B (zh) * 2012-08-10 2017-05-01 半導體能源研究所股份有限公司 半導體裝置以及該半導體裝置的驅動方法
US8881297B2 (en) * 2012-09-06 2014-11-04 Brooks Automation, Inc. Access arbitration module and system for semiconductor fabrication equipment and methods for using and operating the same
US20160012498A1 (en) * 2012-09-12 2016-01-14 Chachi Prasad System and method for identifying, verifying and communicating about oem products using unique identifiers
US20140297545A1 (en) * 2012-09-12 2014-10-02 Chachi Prasad System and Method for Verifying and Communicating about OEM Products
WO2014078151A1 (en) * 2012-11-16 2014-05-22 Applied Materials, Inc. Recording measurements by sensors for a carrier head
US20140149296A1 (en) * 2012-11-29 2014-05-29 Applied Materials, Inc. Enhanced preventative maintenance utilizing direct part marking
WO2014158410A1 (en) * 2013-03-13 2014-10-02 Applied Materials, Inc Acoustically-monitored semiconductor substrate processing systems and methods
KR102124129B1 (ko) 2013-09-24 2020-06-18 삼성디스플레이 주식회사 유기물 증착 장치 및 유기 발광 표시 장치
CA2926436A1 (en) * 2013-10-07 2015-04-16 Judith Murrah Multimode image and spectral reader
EP3117449B1 (en) * 2014-03-14 2020-08-12 Applied Materials, Inc. Smart chamber and smart chamber components
US9831238B2 (en) * 2014-05-30 2017-11-28 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device including insulating film having opening portion and conductive film in the opening portion
US9878421B2 (en) 2014-06-16 2018-01-30 Applied Materials, Inc. Chemical mechanical polishing retaining ring with integrated sensor
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10572883B2 (en) * 2016-02-19 2020-02-25 Alitheon, Inc. Preserving a level of confidence of authenticity of an object
US10930535B2 (en) * 2016-12-02 2021-02-23 Applied Materials, Inc. RFID part authentication and tracking of processing components
US11405189B1 (en) * 2021-11-18 2022-08-02 James E. Bennison Systems and methods for trustworthy electronic authentication using a computing device

Also Published As

Publication number Publication date
JP7079249B2 (ja) 2022-06-01
KR20230026520A (ko) 2023-02-24
CN110024087A (zh) 2019-07-16
EP3549156B1 (en) 2021-12-29
EP3549156A4 (en) 2020-07-08
EP3982395A1 (en) 2022-04-13
US10930535B2 (en) 2021-02-23
JP2020512681A (ja) 2020-04-23
US20180158707A1 (en) 2018-06-07
TWI777993B (zh) 2022-09-21
JP7439164B2 (ja) 2024-02-27
US20210175106A1 (en) 2021-06-10
CN110024087B (zh) 2023-08-08
WO2018102134A1 (en) 2018-06-07
EP3549156A1 (en) 2019-10-09
KR20190083373A (ko) 2019-07-11
JP2022122901A (ja) 2022-08-23
TW202249156A (zh) 2022-12-16
US11848220B2 (en) 2023-12-19
CN112908842A (zh) 2021-06-04

Similar Documents

Publication Publication Date Title
JP7439164B2 (ja) 処理構成要素のrfid部品認証および追跡
JP7182653B2 (ja) 研磨装置、及び研磨方法
JP7312103B2 (ja) 化学機械研磨スマートリング
WO2021029264A1 (ja) 終点検知装置、終点検知方法
US6588007B1 (en) Use of endpoint system to match individual processing stations within a tool
US20020087229A1 (en) Use of endpoint system to match individual processing stations wirhin a tool
JP2023507093A (ja) 消耗チャンバ部品におけるカプセル化rfid
US20230390883A1 (en) Acoustic monitoring of cmp retaining ring
WO2008001969A1 (en) Loading unit of chemical mechanical polishing apparatus and method of detecting proper position of wafer using the same

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent