JP2021119395A - リソグラフィパターニングプロセスおよびそれに用いるレジスト - Google Patents

リソグラフィパターニングプロセスおよびそれに用いるレジスト Download PDF

Info

Publication number
JP2021119395A
JP2021119395A JP2021070432A JP2021070432A JP2021119395A JP 2021119395 A JP2021119395 A JP 2021119395A JP 2021070432 A JP2021070432 A JP 2021070432A JP 2021070432 A JP2021070432 A JP 2021070432A JP 2021119395 A JP2021119395 A JP 2021119395A
Authority
JP
Japan
Prior art keywords
resist composition
group
resist
self
nanoparticles
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021070432A
Other languages
English (en)
Other versions
JP7309776B2 (ja
Inventor
ワイスター、サンダー、フレデリック
Frederik Wuister Sander
ユルドゥルム、オクタイ
Yildirim Oktay
リスペンス、ガイスベルト
Rispens Gijsbert
ポリャコフ、アレクセイ、オレゴヴィチ
Olegovich Polyakov Alexey
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2021119395A publication Critical patent/JP2021119395A/ja
Application granted granted Critical
Publication of JP7309776B2 publication Critical patent/JP7309776B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0047Photosensitive materials characterised by additives for obtaining a metallic or ceramic pattern, e.g. by firing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

【課題】EUV光学系により投影される高解像度パターンを耐エッチ材料で実現する放射感受性レジスト組成物を提供する。【解決手段】レジスト組成物が開示される。レジスト組成物は、ABX3、A2BX4またはABX4から選択される化学式を有する構造を持つペロブスカイト材料を備える。AはNH3基を含む化合物であってもよく、Bは金属であってもよく、Xはハロゲン成分であってもよい。ペロブスカイト材料は、以下の化合物、ハロゲン混合ペロブスカイト材料、金属混合ペロブスカイト材料および有機リガンド混合ペロブスカイト材料の一以上を備えてもよい。【選択図】図1

Description

[関連出願へのクロスリファレンス]
本出願は、2014年12月23日に出願された欧州出願14200085.0号および2015年4月24日に出願された欧州出願15165023.1号の利益を主張し、その全体が参照により本書に援用される。
[技術分野]
本発明は、リソグラフィ目的のペロブスカイト材料を含む特定のレジスト組成物の使用に関し、このようなレジスト組成物を用いたパターニング方法またはプロセスに関する。本発明は、コア−シェルナノ粒子のような無機粒子を含むレジスト組成物にも関する。 本発明は、さらにポジ型レジスト組成物に関する。
フォトリソグラフィにおいて、所望のパターンが基板上に付与され、通常基板の目標部分に付与される。リソグラフィ装置は、例えば集積回路(IC)の製造に用いることができる。その場合、マスクまたはレチクルとも称されるパターニングデバイスがICの個々の層に対応する回路パターンを生成するために使用されうる。このパターンは、基板(例えばシリコンウエハ)に転写できる。パターンの転写は、典型的に基板上に設けられる放射感受性材料(通常レジストと称される)の層への結像を介する。一般に、単一の基板は、連続的にパターン化される隣接する目標部分のネットワークを含むであろう。
リソグラフィは、ICおよび他のデバイスおよび/または構造の製造における重要なステップの一つして広く認識される。しかしながら、リソグラフィを使用して作られるフィーチャの寸法が小さくなるにつれて、リソグラフィは小型のICまたは他のデバイスおよび/または構造を製造することを可能にするためにより重要な要素になっている。パターン印刷の限界の理論的推定値は、式(1)に示すように解像度のレイリー基準によって与えられる。
Figure 2021119395
ここで、λは使用される放射の波長であり、NAはパターンを印刷するために使用される投影システムの開口数であり、kはレイリー定数とも呼ばれるプロセス依存調整係数であり、CDは印刷されるフィーチャのフィーチャサイズ(または限界寸法)である。式(1)から、露光波長λを短くすること、開口数NAを大きくすること、またはkの値を小さくすることにより、最小の印刷可能なフィーチャサイズの縮小を得ることができる。
露光波長を短くし、したがって印刷可能な最小サイズを縮小するために、極端紫外(EUV)光源を使用することが提案されている。EUV放射は、5−20nmの範囲内、例えば13−14nmの範囲内の波長を有する電磁放射である。そのような放射は、軟X線放射と呼ばれることがある。EUV放射は、プラズマを用いて生成できる。EUV放射を生成するための放射システムは、プラズマを供給するために燃料を励起するレーザと、プラズマを収容するためのソースコレクタモジュールとを含むことができる。プラズマは、例えば、適切な材料(例えばスズ)の粒子、またはXeガスまたはLi蒸気などの適切なガスまたは蒸気の流れといった燃料にレーザビームを向けることによって生成されてもよい。そのような放射システムは、典型的にはレーザ生成プラズマ(LPP)源と呼ばれる。代替的な放射源は、放電プラズマ源、または電子貯蔵リングにより提供されるシンクロトロン放射に基づく放射源を含む。
商業用EUVリソグラフィの開発における具体的な課題は、EUV光学系により投影される高解像度パターンを耐エッチ材料で実現する放射感受性レジスト組成物の配合にある。フォトレジストは、典型的に光学コントラストを、現像してレジストにパターンを転写できる化学コントラストに変換する。EUVリソグラフィにおいて、最先端のフォトレジストは、解像度、線幅粗さおよび感度の間にトレードオフを有する化学増幅フォトレジスト(CAR)である。これらの特性の相互依存性のために、これらの特性の一つを改善するためにフォトレジスト組成物を改変すると、通常、他の特性の一方または双方が悪化する。別の課題は、このようなレジスト組成物の製造が高温での合成工程を必要としうること、または、電子−リガンド効率を低下させるために非放射性プロセスの使用が必要となりうることである。
露光中、EUV光子はフォトレジストによって吸収される。EUV光子の高いエネルギーに起因して、原子の内殻準位による吸収が主な過程であり、したがってフォトレジストの原子組成および密度がEUV吸収の主な要因である。現行の有機フォトレジストは全て同様のEUV吸収係数を有し、これはEUV吸収の約25%をもたらし、ウェハ上に入射する残りの光子はほとんど無駄になる。全吸収は、吸収率およびレジスト層の厚さに関連する側壁角度の考慮により制限される。
露光中、光酸発生剤(PAG)から光酸が生成され、フォトレジストに適用された露光後ベーク工程での脱保護反応をさらに触媒する。ある量の脱保護比率に達すると、フォトレジストの一部を現像工程で溶解除去できる。このようにして、ある寸法および粗さを持つレジストパターンが形成される。
露光後ベーク中の拡散は、一般に全方向にランダムであり、二次電子ぼけとともに全体ぼけの重要な部分を形成する。酸の水平拡散を制限し、(z方向の)垂直の酸拡散を促進することは有益であろう。レジストは、理想的にはx−y方向に制限されたぼけ及びz方向に支配的なぼけを示し、レジスト高さ(z方向)に沿って拡散する光酸は、それらが等方的に拡散する場合より大きな体積を脱保護するであろう。
現行の有機ベースの化学増幅レジストは、EUVリソグラフィ用の16nmより小さいフィーチャ用の線量、粗さ、および解像度の要件といった全ての要件を一般に満たさない。CARの一般的に低い吸収率は、レジストの感度を制限させるため、小さなピッチで光子ショットノイズの影響を緩和するために高線量が必要となるであろう。
全吸収の増加は、EUV光子をより効率的に使用するために有益であろう。しかしながら、レジスト形状を約90度に維持しながら全吸収を増加させることは依然として課題である。より吸収性の高い材料が使用される場合、それに応じて合計のレジスト膜厚さを調整/縮小する必要があり、そのときのエッチング耐性が問題になる。別の問題は、高解像度および高アスペクト比材料への到達を制限するパターン崩壊である。パターン崩壊の別の原因は、基板へのフォトレジストの貧弱な接着力およびレジスト材料の低い機械的強度である。上記問題の一以上を解決する新しいレジスト組成物が必要とされている。
本発明のある態様によれば、ペロブスカイト材料を備えるレジスト組成物が提供される。ペロブスカイト材料は、ABX、ABXまたはABXから選択される化学式を有する構造を有する。ここで、AはNH3基を含む化合物であり、Bは金属であり、Xはハロゲン成分である。レジスト組成物は、このようなペロブスカイト材料の混合物を備えてもよい。
ある実施の形態において、レジスト組成物は、以下の化合物、a)ハロゲン混合ペロブスカイト材料、b)金属混合ペロブスカイト材料、および、c)有機リガンド配合ペログスカイト材料の一以上から選択されるペロブスカイト材料を備える。
ある実施の形態において、化合物Aは、Cx+2NH、C2xNH、NH2xNH、NHCHNHおよびその組み合わせで構成される群から選択され、xは1から5の範囲の整数である。
別の実施の形態において、Bは、Pb2+、Sn2+、Cu2+、Mn2+、Fe2+および混合金属(または混合アニオン)で構成される群から選択される金属である。
さらに別の実施の形態において、Xは I、Br、FおよびClで構成される群から選択されるハロゲン成分である。
さらに別の実施の形態において、レジスト組成物は、化学式:CHNHPbXのメチルアンモニウムハロゲン化鉛ペロブスカイト、および/または、化学式:CHNHPbXのエチルアンモニウムハロゲン化鉛ペロブスカイトを備えるペロブスカイト材料を備える。ここで、ハロゲン成分は、I、BrまたはClから選択される。適当なペロブスカイト材料の例は、CHNHPbI、CHCHNHPbI、CHNHPbBr、CHNHPbI、CHNHPbIBr、CHNHPb(I1−xBr、CHNHPbICl、NH=CHNH+鉛ベース材料、および、CHNHPbI(3−x)Clである。ここで、xは1から5の範囲の整数である。
ある実施の形態において、レジスト組成物は、上述のペロブスカイト材料を約1重量%から約98重量%で備える。レジスト組成物は、選択的に、第2の樹脂化合物、溶媒、界面活性剤または他の添加物の少なくとも一つを備えてもよい。
ある実施の形態において、基板上に請求項1から11のいずれか一つのレジスト組成物を塗布してコーティングを形成する工程と、コーティングをベークする工程と、コーティングに高エネルギー放射を露光する工程と、露光されたコーティングを現像液で現像する工程と、を備えるパターニング形成工程が提供される。露光工程は、例えば、液浸リソグラフィ、電子ビームリソグラフィ、インプリントリソグラフィ、または、3から20nmの波長を有するEUV放射を用いるEUVリソグラフィにより実行されてもよい。
別の実施の形態において、上述の実施の形態のレジスト組成物を製造する方法が提供される。ここで、ペロブスカイト材料および/またはレジスト組成物は、室温で合成される。さらに、レジスト組成物の製造において、ドライボックスなどの乾燥筐体内で無水出発材料を用いることが有利である。
ある実施の形態において、ABX、ABX、ABXから選択された化学式を持つ構造を有するペロブスカイト材料またはこのようなペロブスカイト材料の混合物は、集積回路製造のリソグラフィプロセスに用いられる。ここで、AはNH基を含む化合物であり、Bは金属であり、Xはハロゲン成分である。
本発明に係る別の実施の形態において、無機コア−シェルナノ粒子を備えるレジスト組成物が提供される。ナノ粒子は、ナノ粒子のコアを形成する第1材料と、コアの周囲のシェルを形成する第2材料とを備える。第2材料は、第1材料のエネルギーバンドより大きいエネルギーバンドを有する。第1(コア)材料は、例えばCdSeであってよく、第2(シェル)材料は例えばZnSであってもよい。ある実施の形態において、ナノ粒子は、CdS/ZnS、CdSe/CdSおよびInAS/CdSeから選択される材料を備えるコア−シェルナノ粒子である。
本発明に係る別の実施の形態において、ナノ粒子のコアを形成する第1材料およびコアの周囲のシェルを形成する第2材料を含む無機コア−シェルナノ粒子を備えるレジスト組成物が提供される。第2材料の絶対バンドギャップ位置は、電荷キャリアとしての電子または正孔の一方を遮蔽し、他方の電荷キャリアを引きつけるよう構成される。したがって、コアの周囲のシェルは、ナノ粒子内でのより好ましい電荷キャリア分離を確実にする。 第1(コア)材料は、例えばZnTeであってよく、第2(シェル)材料は、CdSeであってよい。
ある実施の形態において、このようなレジスト組成物は、第1および第2材料の絶対バンドギャップ位置が絶対的なエネルギースケール上で異なっており、それにより、電子および正孔の空間的分離が生じ、正孔がコア−シェルナノ粒子表面のより近くに配置されることとなるように、第1材料のバンドギャップが第2材料のバンドギャップより高いことにより特徴付けられる。このようなレジスト組成物において、EUVを照射した場合の主な励起メカニズムは、線形の単一光子型の吸収(活性化)である。
本発明に係る別の実施の形態において、3.5eV未満のバルクバンドギャップを有する材料を含む無機ナノ粒子を備えるレジスト組成物が提供される。このような樹脂組成物は、例えば、ZnO、WO3、CdS、CdSe、GaP、InAs、InP、GaAs、CdTe、GaSb、InN、InSb、ZnSeおよびZnTeから選択される無機粒子の材料を含んでもよい。
本発明に係る別の実施の形態において、第1組の無機ナノ粒子Aおよび第2組の無機ナノ粒子Bを含むポジ型フォトレジスト組成物が提供される。ナノ粒子Aは、ナノ粒子Aと結合する第1側と、室温での粒子AおよびBの架橋を妨げるよう構成される第2側とを有する化学基を備える光子電子感受性リガンドを備え、この化学基は、室温より高い温度で除去可能である。ナノ粒子Bは、粒子Aに結合する光子電子感受性リガンドから室温より高い温度にて化学基の第2側で置換可能となるよう構成される弱結合化学基を有するリガンドを備え、これによりナノ粒子AおよびBの架橋が提供される。光子電子感受性リガンドは、リソグラフィ露光中に光子または粒子Aからの低エネルギー電子により解離するよう構成される。無機粒子Aは、例えば無機粒子AおよびBの総量の約半分の量であってもよい。
ある実施の形態において、リガンドは、例えば無機シェルを所望のリガンドと直接反応させることにより、ナノ粒子シェルの金属原子に結合される。いくつかの場合、リガンドは、コア−シェルナノ粒子を溶液中に良好に分散させるために界面活性剤の役割を果たしてもよい。ナノ粒子は、無機コア、無機シェル、および、リガンドにより提供される有機表面を有してよい。コア内に生成される電荷キャリアの1種類は、シェルに移動し、その後にシェルから化学的に反応するリガンドに移動してもよい。
ナノ粒子Aは、その表面に水素選択性架橋、例えば=Hの弱結合基を有してもよい。一方、ナノ粒子Bは、A型リガンドとの水素結合(または他の方法)を介して架橋する相補型リガンド基を有してもよい。
リガンドは、カルボキシル基COO、ホスホネート基−PO3、スルホニル基−SO3、シュウ酸基(COO) 2−、炭酸基CO23−、アジド基N3、および、亜硝酸基−NO2などの官能基により形成されてもよい。したがって、リソグラフィ露光中に解離しうる上述の光子電子感受性を備えるナノ粒子は、レジスト現像液により除去可能な遊離ナノ粒子を提供するであろう。
本発明のある実施の形態において、第1組の無機粒子Aおよび第2組の無機粒子Bを備えるポジ型フォトレジストの製造方法が提供される。この方法は、以下の工程を備える。
ナノ粒子Aと結合する第1側と、室温でのナノ粒子AおよびBの架橋を妨げるよう構成される第2側とを有する化学基であって、室温より高い温度にて除去可能である化学基を備えた光子電子感受性リガンドを持つ第1組のナノ粒子Aが提供される。光子電子感受性リガンドは、リソグラフィ露光中に光子または粒子Aからの低エネルギー電子により解離するよう構成される。粒子Aに結合する光子電子感受性リガンドから室温より高い温度にて化学基の第2側で置換可能となるよう構成される弱結合化学基を有するリガンドを持つ第2組のナノ粒子Bが提供される。さらなる工程は、ナノ粒子AおよびBの架橋を可能にするようポジ型フォトレジストにベーク温度を与えることであってもよい。上述のポジ型フォトレジスト組成物の作成方法におけるさらに別の工程は、リソグラフィ露光中にナノ粒子Aから光子電子感受性リガンドが解離するように、架橋ポジ型フォトレジスト組成物を光子または低エネルギー電子に曝すことであり、ポジ型フォトレジスの露光領域に遊離ナノ粒子が残る。
別の実施の形態では、レジスト組成物が提供される。レジスト組成物は、基板材料への自己組織化のための自己組織化リガンド基を有する自己組織化分子を含む物質を備え、基板はレジスト組成物の層に接触し、自己組織化分子は、自己組織化リガンド基とは異なるパッシブ終端基であって、リソグラフィ放射の照明を受けるとアクティブ終端基になるパッシブ終端基も有する。レジスト組成物は、自己組織化分子のパッシブ終端基または自己組織化リガンド基に化学的に結合しないように構成される無機粒子を備える。無機ナノ粒子は、自己組織化分子のアクティブ終端基に化学的に結合するよう構成される。このようなレジスト組成物は、異方性の酸拡散特性を有するか、または、酸拡散特性を有しない。
レジスト組成物は、多層の無機ナノ粒子を有してよい。無機ナノ粒子は、金属ナノ粒子であってよい。一実施の形態において、無機粒子は、無機レジスト組成物の層が接触する基板に垂直な方向に配向できるロッド状の細長い粒子である。そのような場合、触媒の拡散は、無機レジスト組成物の層が接触する基板に垂直な方向に実質的に配向され、これにより異方性ぼけを持つレジスト層を提供できる。
パッシブ終端基は、−CH3終端基のような、リソグラフィ放射ビームの露光を受けてアクティブ終端基に転換可能なC終端基である。自己組織化された単層分子のアクティブ終端基は、−COOH、−SH、−N3基から選択されてもよい。自己組織化された単層分子のパッシブ終端基は、代替的に、CFSO3−、TPSまたはSbF6基の一以上を備えるPAG終端基であってもよい。仮にパッシブ終端基がCFSO3−およびTPS基を備えるPAG基であれば、リソグラフィ放射が照射されたパッシブ終端基は、CFSO3−基がアクティブ終端基を形成するようにして、TPS基の離脱により活性化されることになる。
一実施の形態において、自己組織化された単層分子のアクティブ終端基は−N3アジド基であり、無機ナノ粒子はFePtを備える。
別の実施の形態において、無機粒子の表面は、エポキシ架橋剤基を介して無機粒子に結合するC=C終端を有する界面活性剤により保護される。
EUV放射などのリソグラフィ放射の照射を受け、パッシブ終端基はアクティブ終端基に変換され、自己組織化分子に付着する無機粒子がレジスト層の照射された領域で除去可能になる一方、非照射領域に由来する無機粒子はレジスト層内に結合されたままとなる。
別の実施の形態において、上述の実施の形態のいずれか一つに係るレジスト組成物で被覆された基板が提供される。
特定の実施例およびその変形例は、本発明の理解を助けるために以下にさらに記載される。
本発明の実施の形態は、単なる例として、添付の概略的な図面を参照しながら記載されるであろう。
本発明の実施の形態に用いるリソグラフィ装置の機能要素を概略的に示す図である。
図1の装置のより詳細を示す図である。
図1は、発明の一実施の形態に係るデバイス製造プロセスの実施の形態に用いるリソグラフィ装置100を概略的に示す。装置は、ソースコレクタモジュールSO;放射ビームB(例えばEUV放射)を調整するよう構成される照明システム(イルミネータ)IL;パターニングデバイス(例えばマスクまたはレチクル)MAを支持するよう構築され、パターニングデバイスを正確に位置決めするよう構成される第1位置決め装置PMに接続されるサポート構造(例えばマスクテーブル)MT;基板(例えばレジストコートされたウェハ)Wを保持するよう構築され、基板を正確に位置決めするよう構成される第2位置決め装置PWに接続される基板テーブル(例えばウェハテーブル)WT;パターニングデバイスMAにより放射ビームBに付されたパターンを基板Wの(例えば一以上のダイを備える)目標部分Cに投影するよう構成される投影システム(例えば反射型投影システム)PSを備える。
照明システムは、放射を方向付け、放射を成形し、または放射を制御するための屈折型、反射型、磁気型、電磁気型、静電型あるいは他の形式の光学素子といった各種光学素子またはこれらの任意の組み合わせを含んでもよい。
サポート構造MTは、パターニングデバイスの向き、リソグラフィ装置のデザイン、および、例えばパターニングデバイスが真空環境で保持されるか否かといった他の条件に応じた方法でパターニングデバイスMAを保持する。サポート構造は、機械式、真空式、静電式または他の固定技術を用いてパターニングデバイスを保持できる。サポート構造は、フレームまたはテーブルであってよく、例えば必要に応じて固定式または可動式であってよい。サポート構造は、例えば投影システムに対して、パターニングデバイスが所望の位置にあることを確実にしてよい。
本書での「パターニングデバイス」の用語は、放射ビームの断面にパターンを付して例えば基板の目標部分にパターンを生成するために使用可能な任意のデバイスを参照するものとして広く解釈されるべきである。放射ビームに付されるパターンは、目標部分に生成される集積回路などのデバイスの特定の機能層に対応するであろう。
パターニングデバイスは、透過型であっても反射型であってもよい。パターニングデバイスの例には、マスク、プログラマブルミラーアレイ、およびプログラマブルLCDパネルが含まれる。マスクはリソグラフィの分野では周知であり、バイナリマスクやレベンソン型位相シフトマスク、ハーフトーン型位相シフトマスク、さらに各種のハイブリッド型マスクが含まれる。プログラマブルミラーアレイの一例は、マトリックス状に配列される小型のミラーを採用し、各ミラーは入射する放射ビームを異なる方向に反射するように個別に傾斜できる。傾斜されるミラーは、ミラーマトリックスにより反射される放射ビームにパターンを付与する。
照明システムなどの投影システムは、用いられる露光放射や真空の使用といった他の要素について適切となるよう、屈折型、反射型、磁気型、電磁気型、静電型等の様々な種類の光学要素または他の種類の光学要素またはこれらの組み合わせを含んでもよい。EUV放射では、他のガスが放射を吸収しすぎるため、真空の使用が好ましいかもしれない。したがって、真空壁および真空ポンプの助けを借りて、ビーム経路の全体に真空環境が提供されてもよい。
図示されるように、装置は反射型である(例えば反射型マスクを用いる)。
リソグラフィ装置は、二つ(デュアルステージ)またはそれより多い基板テーブル(および/または二以上のマスクテーブル)を有する形式であってもよい。このような「マルチステージ」機械において、追加のテーブルが並行して用いられ、または、準備工程が一以上のテーブルで実行される一方、一以上の他のテーブルが露光のために用いられてもよい。
図1を参照すると、イルミネータILは、ソースコレクタモジュールSOから極端紫外放射ビームを受ける。EUV光の生成方法は、EUV範囲に一以上の輝線を持つキセノン、リチウムまたはスズなどの少なくとも一つの元素を有する材料をプラズマ状態に変換することを含むがこれに必ずしも限られない。しばしばレーザ生成プラズマ(「LPP」)と言われるこのような方法の一つにおいて、必要なプラズマは、必要な輝線の元素を有する材料の液滴、蒸気またはクラスタといった燃料をレーザビームで照射することにより生成できる。ソースコレクタモジュールSOは、燃料を励起するレーザビームを生成するためのレーザ(図1に示されない)を含むEUV放射システムの一部であってもよい。その結果生じるプラズマは、EUV放射などの放射を出力する。放射は、ソースコレクタモジュール内に配置される放射コレクタを用いて集められる。レーザおよび放射コレクタモジュールは、例えばCOレーザを用いて燃料励起用のレーザビームが提供される場合、別体であってもよい。
そのような場合、レーザはリソグラフィ装置の一部を形成するとみなされず、放射ビームは、例えば適切な指向ミラーおよび/またはビームエキスパンダを含むビームデリバリシステムの助けを借りて、レーザからソースコレクタモジュールへと通過する。他の場合には、例えば、放射源が放電生成プラズマEUV発生器(しばしばDPP源と呼ばれる)である場合、放射源がソースコレクタモジュールの一体的な部分であってもよい。
イルミネータILは、放射ビームの角度強度分布を調整するためのアジャスタを備えてもよい。一般に、イルミネータの瞳面内の強度分布の少なくとも外側および/または内側半径範囲(通常、それぞれσ−アウタおよびσ−インナと呼ばれる)を調整できる。さらにイルミネータILは、ファセットフィールドミラーデバイスおよび瞳ミラーデバイスなどの様々な他の要素を含んでもよい。イルミネータは、ビーム断面に所望の均一性および強度分布を有する放射ビームを調整するために用いてもよい。
放射ビームBは、サポート構造(例えばマスクテーブル)MTに保持されるパターニングデバイス(例えばマスク)MAに入射し、パターニングデバイスによりパターン化される。パターニングデバイス(例えばマスク)MAの通過後、放射ビームBはビームを基板Wの目標部分Cに合焦させる投影システムPSを通過する。第2位置決め装置PWおよび位置センサPS2(例えば干渉計デバイス、リニアエンコーダまたは静電容量センサ)の助けを借りて、基板テーブルWTは、放射ビームBの経路上に異なる目標部分Cが位置するように正確に移動されることができる。同様に、第1位置決め装置PMおよび別の位置センサPS1は、放射ビームBの経路に対してパターニングデバイス(例えばマスク)MAを正確に位置決めするために用いることができる。パターニングデバイス(例えばマスク)MAおよび基板Wは、マスクアライメントマークM1,M2および基板アライメントマークP1,P2を用いてアライメントされてよい。
図2は、ソースコレクタモジュールSO、照明システムILおよび投影システムPSを含む装置100をより詳細に示す。ソースコレクタモジュールSOは、ソースコレクタモジュールSOの筐体構造220内に真空環境を維持できるよう構築および構成される。EEUV放射放出プラズマ210は、放電生成プラズマ源によって形成されうる。EUV放射は、非常に高温のプラズマ210が生成されてEUV範囲内の電磁スペクトルの放射を放出する、例えばXeガス、Li蒸気またはSn蒸気などのガスまたは蒸気により生成されてもよい。非常に高温のプラズマ210は、例えば少なくとも部分的にイオン化されたプラズマを生じさせる放電により生成される。放射の効率的な生成のために、例えば10PaのXe,Li,Sn蒸気または任意の他の適切なガスまたは蒸気の分圧が必要とされうる。ある実施の形態において、励起されたスズ(Sn)がEUV放射生成のために供給される。
高温プラズマ210により放出される放射は、ソースチャンバ211からコレクタチャンバ212に向けて、ソースチャンバ211の開口内または開口の後方に位置する追加のガスバリアまたは汚染物質トラップ230(いくつかの場合、汚染物質バリアまたはフォイルトラップとも称される)を介して通過する。汚染物質トラップ230は、チャネル構造を含んでもよい。汚染物質トラップ230は、ガスバリアまたはガスバリアとチャネル構造の組み合わせを含んでもよい。本書に示される汚染物質トラップまたは汚染バリア230はさらに、公知技術として知られるチャネル構造を少なくとも含む。
コレクタチャンバ211は、いわゆるグレージング入射コレクタでありうる放射コレクタCOを含んでもよい。放射コレクタCOは、上流側放射コレクタ側面251と下流側放射コレクタ側面252を有する。コレクタCOを通過する放射は、グレーティングスペクトルフィルタ240で反射されて仮想ソース点IFに合焦されることができる。仮想ソース点IFは一般に中間焦点と称されてもよく、ソースコレクタモジュールは、中間焦点IFが筐体構造220の開口221またはその近傍に位置するよう構成される。仮想ソース点IFは、放射放出プラズマ210の像である。
その後、放射は照明システムILを通過する。この照明システムILは、パターニングデバイスMAにおける所望の放射強度の均一性とともに、パターニングデバイスMAにおいて所望の角度分布の放射ビーム21を提供するよう構成されるファセットフィールドミラー装置22およびファセット瞳ミラー装置24を含んでもよい。サポート構造MTにより保持されるパターニングデバイスMAにて放射ビーム21が反射されると、パターン化されたビーム26が形成され、パターン化されたビーム26は、投影システムPSにより反射要素28,30を介して、ウェハステージまたは基板テーブルWTに保持される基板W上に結像される。
照明光学ユニットILおよび投影システムPSには、図示されるよりも多くの要素が一般に存在してもよい。グレーティングスペクトルフィルタ240は、リソグラフィ装置の形式に応じて選択的に存在してもよい。さらに、図示されるものより多くのミラーが存在してもよく、例えば、図2に示すものと比べて1−6の追加の反射要素が投影システムPS内に存在してもよい。
図2に示されるコレクタ光学系COは、コレクタ(またはコレクタミラー)の一例として、グレージング入射リフレクタ253,254および255を持つネスト型コレクタとして描かれる。グレージング入射リフレクタ253,254および255は、光軸Oの周りに軸対称に設けられる。この形式のコレクタ光学系COは、しばしばDPP源と呼ばれる放電生成プラズマ源との組み合わせで用いることが好ましい。
代わりに、ソースコレクタモジュールSOは、近法線入射コレクタ光学系(不図示)を用いるLPP放射システムの一部であってもよい。LPPシステムにおいて、レーザは燃料材料にレーザエネルギーを蓄積させるよう構成され、数十eVの電子温度を持つ高度にイオン化されたプラズマを生成する。これらイオンの脱励起および再結合の間に生成されるエネルギー放射は、プラズマから放射され、近法線入射コレクタ光学系により集められ、筐体構造220の開口に集光される。
[デバイス製造方法]
デバイス製造方法の一部としての典型的なパターニングプロセスは、図1および図2の装置を典型的に使用して、パターニングデバイスMのパターンを基板上の放射感受性レジスト組成物(略して「レジスト」)に転写する。
ICなどの物品の製造するためにレジストを用いるパターニングプロセスにおいて、以下に詳述される7工程(S0からS7)のようないくつかの製造工程が存在しうる。
S0:基板Wが用意される。例えばシリコンウェハであってもよい。
S1(コート):レジスト溶液が基板上にスピンコートされて非常に薄く均一な層が形成される。
S2(露光):リソグラフィ装置100および適切なパターニングデバイスMを用いるEUV露光を介して、レジスト層内に潜像が形成される。
S3(現像およびエッチ):「ポジ型」レジストの場合、露光されたレジスト領域が適切な溶媒を用いたリンスにより除去される。「ネガ型」レジストの場合、露光されていない領域が除去される。この工程は、レジストパターンを通じた基板への処理工程と組み合わされるか、またはその後に続く。図面における「エッチ」の用語は、単なる例として用いられる。 処理工程は、ウェットエッチングまたはドライエッチング、リフトオフ、ドーピングなどを含んでよい。どのような工程によっても、付与されたパターンは、基板上の材料の追加、除去または改変にて具体化される。図面は、堆積工程S5の準備ができた材料の除去を示す。
S4(ストリップ):残存するレジストがパターン化された基板から除去される。
S5(堆積):異なる物質が基板Wのパターンを埋めるように堆積される。
S6(研磨):余分な物質が研磨によりウェハWの表面から除去され、ウェハW内の所望のパターンのみが残る。
S1からS6の工程は、最終的な多層製品が提供される(工程S7)まで異なるパターンおよび異なる処理工程で繰り返され、基板Wの元の表面の上方および下方の異なる層内に所望のパターンを有する機能フィーチャが形成される。製品は、典型的には集積回路などの半導体デバイスであるが、必ずしもそうでない。
上述のように、工程S4からS6は、露光されたレジストにより決定されるパターンに適用可能な工程の単なる一例に過ぎないことが当業者であれば理解されよう。多くの異なる種類の工程を製造の異なる段階で使用することができ、使用されるであろう。例えば、レジストは、下層材料のエッチング除去ではなく、下層材料の改変を制御されるために用いられてもよい。改変は、例えば拡散やイオン注入による酸化およびドーピングなどでああってよい。新しい材料層を基板の上に堆積させてもよい。多くのプロセスにおいて、感光性レジストは、露光されたパターンを異なる材料中に再現するいわゆる「ハードマスク」を製造する際の中間段階にすぎない。したがって、このハードマスクは、所望のパターンを有する下層材料をエッチングまたは変更するプロセス工程を制御するために用いられるが、そのパターンは感光性レジスト自体によって制御されないであろう。したがって、求められるパターンの結果に応じて、及び、完成したデバイスを構築するために必要な層および中間ステップのシーケンスに応じて、上述のプロセスを変更できる。いくつかの工程は、組み合わされおよび/または除去され、いくつかの追加工程が追加される。
EUV放射はレジスト組成物に吸収され、光電子および二次電子を生成する。二次電子は、EUV源によりレジスト上に付与された光学像に重畳するいくらかのランダム露光を生じさせる。これは、解像度の低下、観察可能なラインエッジ粗さおよび線幅変動につながり、これらは「ボケ」現象と呼ばれる。このぼけは、EUVリソグラフィにおいてレジストが所望される高解像度を提供する場合に制御される必要があるであろう。
パターン崩壊は、リンス液の乾燥中にパターンに及ぼされる毛細管力または反発力に対し、レジスト組成物の物理的特性が十分に対抗できない場合に生じる。したがって、そのようなレジスト組成物から形成されたコーティングの高い剛性または強度は、パターンフィーチャの低減されたアスペクト比と同様に望ましい。
レジストの解像度能力の変化をもたらす他のプロセスパラメータは、例えば、エッチング耐性およびエッチング選択性、量子収率、ベーク時間およびベーク温度、露光時間およびソース出力、空中像焦点、現像時間および現像温度である。これらの具体的なパラメータのある程度の変化を許容する具体的なレジストの能力は、もちろん、特定の公差内で作用することが非常に望ましい。
[有機レジスト]
DUVおよびEUVリソグラフィ用の従来の有機レジストは、ポリ(ブテン−1−スルホン)およびポリ(α−クロロアクリル酸メチル・α−メチルスチレン共重合体)などの化学増幅レジスト(CAR)である。CAR材料は、複合ポリマ混合物から構成される。EUVで励起すると、光子はポリマーによって吸収され、樹脂上に存在する化学的部分は放射露光時に酸基を放出する。二次電子を介して、光酸発生剤(PAG)が励起される。励起すると、PAGは露光後ベーク工程中に分解および拡散し、レジストを疎水性から親水性に変化させるデブロック反応を触媒できる。したがって、これらの酸基は周囲のポリマーを現像液に可溶性とすることができる。酸拡散は、レジストの感度およびスループットを向上させ、ショットノイズの統計に起因するラインエッジ粗さの制限にも役立つ。しかしながら、酸拡散長はそれ自体が潜在的な制限要因である。さらに、拡散が大きすぎると、化学的コントラストが低下し、より大きな粗さに再びつながるかもしれない。また、CAR材料は、EUVとの断面積が小さい炭素をベースとしている。その結果、高い線量、すなわち高いEUV源パワーを必要とする透明すぎるレジストとなり、これは望ましくない。
[無機レジスト]
CARに特有の高い吸収率を克服するために、様々な金属酸化物のような無機レジスト組成物が当該技術分野において提案されている。無機レジストは、その強度に起因して、ぼけに対する耐性ならびにパターン崩壊に対する耐性の増大を示すことができる。Stowers et al., "Directly patterned inorganic hardmask for EUV lithography", proceedings of the SPIE, Volume 7969, pp796915-796915-11 (2011) は、ネガ型レジストを形成するペルオキソ錯化剤と組み合わせた酸化ハフニウムの使用を記述する。EUVにさらすと、過酸化基の結合を切断する二次電子の生成をもたらす。このようにして、活性金属部位が生成され、これが反応して架橋領域および凝縮領域を生成する。
無機レジストの特定のカテゴリは、無機ナノ粒子に基づく。これらの材料のほとんどは、室温で合成されうる。しかしながら、通常そのような合成は、ナノ結晶が欠陥で満たされるために低効率のナノ結晶をもたらす。ナノ結晶の高温合成では、欠陥をアニールできるが、一般的には高価であり大量生産に望ましくない。低効率とは、励起されたエネルギーがリガンドに移動する(望ましいプロセス)代わりに、フォノンを介して逃げる非放射経路(望ましくないプロセス)が存在することを意味する。
無機ナノ粒子レジストの主要工程は以下である:
−(原子による)光子の吸収;
−励起された価電子帯の電子とそれに対応する正孔を電子−正孔対(励起子)の結合状態で生成する運動エネルギーを持つ電子の生成;
−(リガンドと呼ばれる)ナノ粒子表面の分子への(反応中の電子または正孔のいずれかを用いた)励起子エネルギーの移動;
−ナノ粒子がクラスタ化または不溶化するようなリガンドの化学的変化;
−照射されたナノ粒子の溶解(ポジ型)、または、より一般的である照射されていないナノ粒子の溶解(ネガ型)。
これらのプロセスの全ては、機能するレジストを得るために十分な効率を有する必要がある。いったん励起子(つまり結合した電子−正孔対)が生成されると、そのエネルギーはいくつかのプロセスを経て失われることができる:
−光子の放射による放射性減衰(典型的な時間は1−10ナノ秒);
−多重フォノン放射または欠陥でのトラップによる非放射性減衰(典型的な時間はピコ秒);および/または
−リガンドでの電子または正孔のいずれかのトラップ(典型的な時間はピコ秒)。
したがって、EUVフォトリソグラフィの要求を満たすことのできるレジスト組成物の提供が非常に望ましい。
ペロブスカイト様の有機−無機ハイブリッド材料は、無機および有機の構造要素の両方が単一相内に共存する結晶系である。ハイブリッド無機−有機材料は、少なくとも一つの次元において無限結合接続性を有するネットワークの一体的な部分としての無機部分および有機部分の双方を含む化合物として定義される。
ナノ結晶性ハロゲン化有機金属ペロブスカイト材料は、高効率の太陽電池に使用されるハイブリッド無機−有機材料の有機金属フレームワークの部類である。例えば、有機金属ペロブスカイトは、ハロゲン化アニオンから選択される二以上の異なるアニオンの混合アニオンを含む。ペロブスカイトはもともとCaTiO3を含む鉱物を称し、この用語は、CaTiO3と同種の一般化学式ABX3を持つ結晶構造を有する化合物の部類を包含するように後に拡張された。ここで、AおよびBは異なるサイズの陽イオンであり、Xは陰イオンである。酸化物ペロブスカイト種(X→O)ではなく、ハロゲン化物ペロブスカイト化合物(X→Cl,Br,F,またはI)は、優れた光獲得(吸収)特性および電子伝導特性を示すことが発見されており、光起電性材料としての使用に適している。
本書で用いる「混合アニオン」の用語は、少なくとも二つの異なる陰イオンを含む化合物を称する。「ハロゲン化物」の用語は、7族の元素、つまりハロゲンの陰イオンを称する。典型的にハロゲン化物は、フッ化物アニオン、塩化物アニオン、臭化物アニオン、ヨウ化物アニオンまたはアスタチン化物アニオンを称する。
ハイブリッド有機−無機ハロゲン化鉛ペロブスカイトは、光吸収、n型導電性およびp型導電性の機能を集積させることができる。ペロブスカイトは光を吸収し、電子−正孔対が材料中に生成される。ハロゲン化鉛ペロブスカイトの中でも、メチルアンモニウムハロゲン化鉛ペロブスカイト吸収体は、その製造容易性および性能のために太陽電池の分野において現在ますます関心を集めている。
現在、半導体と同じようなバンドギャップ構造を有し、高い発光量子効率にて長い放射減衰率を有するという二つの理由のために、EUV無機レジストのコアとしてペロブスカイト(またはその混合物)をベースとするナノ結晶材料を用いることが提案されており、そのような材料は室温合成で合成できるという利点を有する。
EUVレジストでは発光は望ましくないが、高い放射減衰率を有するコアを有することは非常に有益であると考えられる。一般に放射減衰率は、トラップ速度(ピコ秒)よりもはるかに遅い(数十ナノ秒)。電子または正孔をトラップできるリガンドを使用する場合、これは非常に効率的に生じると考えられ、したがって例えばコアが高い非放射性プロセスを示す場合に非常に効率的である。
本発明に係るペロブスカイトレジスト組成物は、ABX、ABX、ABXの一つである一般化学式を持つペロブスカイトまたはペロブスカイト様の結晶構造(以下、ペロブスカイト材料)またはその混合物を有する材料を含む。ここで、Bは例えば以下のリスト:B=Pb2+,Sn2+,Cu2+,Mn2+,Fe2+,Ca,Sr,Cd,Ni2+,Co2+,Pd2+,Ge2+,Yb2+,Eu2+から選択される金属である。Xは以下のリスト:X=I,Br,F,Clから選択されるハロゲン化物である。Aは、例えば以下のリスト:A=Cx+2NH,C2xNH,NH2xNH,NH=CHNH (ホルムアミジン)から選択されるアンモニアベースの有機カチオンである。ここでxは1から5の範囲、より好ましくは1から4の範囲の整数である。他の適した化合物は、ナフタレンベースの化合物(つまり二重ベンゼン環を有する)または環に結合したF−テイル(F-tails)を有する化合物である。全般的にAは少なくとも一つのNH3基を有する化合物である。このような有機−無機ハイブリッド材料は、八面体間の結合性に基づいて無機部分の3Dまたは準2D構造を採用できる。NH基に由来する水素結合は、例えば材料の自己組織化を促進しうる。
好ましくは、本発明に係るペロブスカイト樹脂組成物は、I,Br,Clから選択されるハロゲン成分を持つ、メチルアンモニウムハロゲン化鉛ペロブスカイトCHNHPbXまたはエチルアンモニウムハロゲン化鉛ペロブスカイトCHNHPbXを含む。このような材料の例は、CHNHPbI,CHCHNHPbI,CHNHPbBr,CHNHPbI,CHNHPbIBr,CHNHPb(I(1−x)Br,CHNHPbICl,およびCHNHPbI(3−x)Clである。
本発明のある実施の形態によれば、ペロブスカイトレジスト組成物は、約1重量%から約98重量%のペロブスカイト材料を含んでもよい。しかしながら、レジスト組成物は、さらなる添加物を含まないペロブスカイト材料で完全にできてもよい。
本発明のペロブスカイトレジスト組成物は、任意の既知の技術で製造できる。ペロブスカイト材料は、特別な条件下での溶液支援法または蒸発補助法により成長または/およびテンプレート化される。高品質膜は、前駆体溶液からのアニーリングまたは高温熱蒸散または多段階堆積法を用いない雰囲気条件下で、単一または複数工程のスピンコーティングを通じて得られてもよい。例えばCHCHNHPbIペロブスカイト材料は、g−ブチロラクトン溶液中のCHCHNHIおよびPbIの等モル混合物のスピンコーティングを介して基板または他のレジスト層上に堆積できる。
無機層の層間分離および厚さは、有機カチオンAの選択を通じて制御できる。最も積層されたペロブスカイトの無機層は、有機カチオンの層をサンドイッチし、角を共有するハロゲン化金属の単一シートを含む。
ペロブスカイトナノ結晶の合成は、周囲湿度に敏感である。ペロブスカイトは、例えば10分間の照射後に液状電解質に徐々に溶解しうるためである。その理由から、無水出発物質は、合成中にドライボックス内で使用されるべきである。
ペロブスカイトレジスト組成物は、スピンコーティングなどの周知技術を用いて所望の基板上に被覆される。堆積層の厚さは、10から100nmの範囲が有利であり、50nmより小さくてもよいし、30nmより小さくてもよい。
別の実施の形態において、レジスト組成物はコア−シェルナノ粒子を含む。
レジスト内で生成される電子−正孔対は、多重フォノン放射による非放射性減衰を通じてエネルギーを失うかもしれない。このような非放射性プロセスは、所望の化学変化をもたらす電子または正孔トラッププロセスと同じレートで生じるかもしれない。したがって、これらはレジスト内で望ましくないプロセスである。このような非放射性プロセスを特に無機レジスト内で低減することは有益であろう。
本発明者らは、コア−シェルナノ粒子を用いて非放射性プロセスを低減することを本書にて提案する。コア−シェルナノ粒子は、コアナノ粒子用の第1材料およびコア周囲のシェル用の第2材料の二つの材料で構成される層状ナノ粒子である。本発明に係るこのようなコア−シェルナノ粒子に基づく無機レジストにおいて、第2材料(つまりシェル)は、コアの第1材料より大きなエネルギーバンドギャップを有することが好ましい。ナノ粒子では、電子および正孔の双方が閉じ込められてもよく(電子および正孔の双方のボーア半径がナノ粒子サイズより大きいかもしれない)、その結果、ナノ粒子にわたって電子および正孔が非局在化する。大きなバンドギャップのシェル材料(第2材料)でナノ粒子を被覆すると、結果として、1)ナノ粒子の表面に「エネルギー壁」が形成され、表面のエネルギー壁が2)ナノ粒子表面に存在する欠陥をパッシベーションする。上記のプロセス1)および2)の双方は、コア−シェルナノ粒子の非放射エネルギー損失を減少させるであろう。また、シェル材料に起因する電子およびホールのエネルギー障壁が存在したとしても、この層を通過する電子および正孔のトンネリングが生じることができ、つまり、エネルギー障壁によってはトラッププロセスが妨げられないか、妨げられにくい。このようなナノ粒子の例は、CdSeをコアとし、ZnSをシェルとするCdSe(ZnS)コア−シェルナノ粒子である。
まとめると、コア−シェルナノ粒子を持つEUV無機レジストは、ナノ粒子の速い非放射性減衰率を減少させることにより、リガンドでの電子または正孔のトラップ効率を増大させ、その結果、レジストに必要とされる線量をより小さくするであろう。
別の実施の形態において、いわゆるII型ナノ粒子にしたがってコア−シェルナノ粒子が作られる。そのような場合、第2(シェル)材料の絶対バンドギャップ位置は、電荷キャリア(電子または正孔)の一方を遮蔽し、他の電荷キャリアを引き付けるように選択される。そのようなII型コア−シェルナノ粒子の例はCdSe(ZnTe)であり、第1材料(コア)がZnTeであり、第2材料(シェル)がCdSeである。
ZnTeのバンドギャップはCdSeより高いが、二つの材料の絶対位置は(絶対エネルギースケールで)同じではない。この場合の電子には閉じ込めポテンシャルをもたらすが、正孔はZnTe材料に向かうことでエネルギーを得る。この結果、正孔が表面の近くに位置する電子と正孔の空間的分離となる。これは、正孔がナノ結晶に移動する必要のある電荷キャリアである場合に有益である。より効率的な移動は、より低い線量のレジストにつながると考えられる。
別の実施の形態において、3.5eV未満のバルクエネルギーギャップを有するレジスト材料を含むレジスト組成物が提案される。
CARの特徴的なパラメータは、いわゆるボケであり、これは露光後ベーク工程でのレジスト内で光発生酸が拡散して反応する長さの尺度である。そのような拡散反応プロセスにおいて、レジストポリマは拡散する酸の触媒反応により化学的に変化する。これは、低線量CARの製造に重要な要因と考えられるかもしれない。ここで、全てのレジスト分子が励起される必要はないが、触媒のみが活性化される必要がある。
しかしながら、CARは、例えばその放射吸収において依然として限界を有するかもしれない。代わりとして、無機レジスト組成物が提案されている。しかしながら、無機レジストについても、以下のような他の問題の可能性が存在する:a)最小線量での印刷のための最適なレジストぼけが典型的に臨界寸法の約3分の1を占めるため、二次電子ぼけを最適化する必要があるかもしれない;また、b)光子あたりに生成される電子−正孔対の数が最大化されることが好ましい。
上述の問題および制限を克服するため、本発明者らは3.5eV未満のバンドギャップを有する無機ナノ粒子などの高吸収性材料の使用を本書で提案する。このようなレジスト材料におけるぼけ特性は、もはや酸触媒の拡散に支配されず、光子吸収の際に生成される二次電子のぼけまたは拡散により支配される。比較のため、既知のレジスト材料(ZfO、HfO、SnOなど)のほとんどは、3.5から6eVのバンドギャップを有する。
この部類の無機レジスト材料用に小さいバンドギャップを選択することは二つの効果を有しうる:i)二次電子ぼけが増加し、および/または、ii)より多くの二次電子が生成され、その結果、ぼけおよび二次電子収率が改善される。第1の効果は、電子のエネルギーがレジスト材料中の非弾性平均自由行程(MFP)を決定するという事実に由来する。バンドギャップエネルギーが減少すると、レジスト内でより長い平均自由行程が可能となり、したがってぼけが拡大されるであろう。他方では、バンドギャップの値が光子ごとに生成される電子−正孔対の数を決定する。このようにバンドギャップが減少すると、吸収された光子あたりにより多くの電子正孔対がもたらされ、EUVレジストの線量がより低くなる。
3.5eV未満のバルクバンドギャップを有する無機樹脂を準備するための材料例(括弧内に対応するエネルギーバンドギャップ値を与える)は、ZnO(3.2eV)、WO(3.2eV)、CdS(2.5eV)、CdSe(1.7eV)、GaP(2.25eV)、PdS(0.37eV)などである。
ナノ粒子を使用する場合、量子閉じ込め効果(一般に、より長いバンドギャップ材料ほどより強い)に起因して、エネルギーバンドギャップが広がる可能性がある。したがって、1nmから3nmの直径を有するナノ粒子が3.5eV未満のバンドギャップを有することが望ましい。
別の実施の形態では、架橋ナノ粒子を含む無機レジスト組成物が提供される。無機ナノ粒子ベースのレジスト組成物は、EUV吸収を増加させるために当該技術分野で提案されている。しかしながら、このようなレジストは一般にコントラストが比較的低く、潜在的には閾値処理の欠如に起因する地汚れ(つまり、現像で除去されるはずのレジスト部分における残留物の形成)に悩まされる。暗闇のレジスト領域に到達する光子は、単一光子がナノ粒子クラスタの生成に十分であるため、無機ナノ粒子の溶解度の変化を生じさせうる。
EUVリソグラフィに適する現在利用可能な化学増幅レジストは、光子ショットノイズ(PSN)の影響を緩和するのに必要な高い線量レベルにつながる比較的低い吸収特性を有する。他の既知の無機レジスト組成物は、ほとんどがネガ型の部類に由来し、投影光学系により多くの加熱をもたらす。EUVリソグラフィに適したポジ型フォトレジストの製造方法を提供することが望ましいであろう。本発明者らは、ポストベーク工程中に無機粒子を架橋することでこのようなポジ型EUVフォトレジストを作成するプロセスと、EUV露光中に架橋を切断するステップとを本書で提案する。これにより、ポジ型の高吸収レジストが可能となり、リソグラフィ性能の向上を確実にするレジスト閾値の調整が可能となる。
本書では、光子または電子が誘起する解離に感受性がある(つまり、従来の光酸発生剤(PAG)に類似の機能を有する)分子をリガンドとして有することで、ベーク工程中に粒子を架橋することが提案される。リガンドは第1組の無機粒子(ここで粒子Aと称される)上に結合する。第1組の無機ナノ粒子Aは、例えばレジスト組成物中のナノ粒子の総量の約半分であってもよい。このリガンドには、ナノ粒子の架橋を妨げる一以上の化学基が設けられる。この化学基は、ベーク中に加熱することで除去(切断)されてもよい。そのようなリガンドは、スピンコーティングに使用されるレジスト溶液中のナノ粒子の凝集を防ぐであろう。
第2組の無機粒子(ここで粒子Bと称する)は、粒子Aの光子電子感受性リガンドの他方側でベーク工程中に交換されうる他の弱結合リガンドを有するように選択されうる。この反応は、架橋されたナノ粒子コーティングをもたらすであろう。
リソグラフィ露光中、粒子Aに由来する光子電子感受性リガンドは、EUV光子または低エネルギー電子の影響下で解離し、露光領域には遊離ナノ粒子が残るであろう。これら遊離ナノ粒子は、現像中に除去できる。
既知のナノ粒子ベースレジストは、粒子の疎水性の変化またはナノ粒子/ナノクラスタのクラスタ化のいずれかによりその溶解性を変化させる。しかしながら、本書ではその逆が提案され、リソグラフィ露光中に遊離ナノ粒子が生成される。上記提案のポジ型レジスト組成物の利点は、粒子あたりの架橋数を変化させることで粒子の溶解性の変化に必要な光子電子数の閾値が調整可能である点であり、これはレジストコントラストに有利である。異なるEUV波長といった異なるプロセス工程に異なるレジストが用いられてもよく、デバイス製造プロセスの全体においてそれほど重要ではないパターニングにおいて非EUV波長が用いられてもよい。上記で紹介した異なるレジスト種類は、個々のプロセスおよび作成されるパターンの性能を最適化するために、EUV波長での異なるプロセスに用いられてもよい。
別の実施の形態では、基板材料への自己組織化のための自己組織化リガンド基を有する自己組織化分子を含む物質を備えるレジスト組成物が提供される。基板は、ウエハ基板または上記のレジスト組成物層と接触する他のレジスト層であってよい。自己組織化分子は、自己組織化リガンド基とは異なるパッシブ終端基も有する。これは、EUV放射などのリソグラフィ放射が照明されると、他の化学基と結合可能なアクティブ終端基になる。レジスト組成物は、自己組織化分子のパッシブ終端基または自己組織化リガンド基に化学的に結合しないように構成される無機ナノ粒子をさらに備え、無機ナノ粒子は、自己組織化分子のアクティブ終端基に化学的に結合するよう構成される。
このようなレジスト組成物は、基板の表面(例えばウェハ表面)に強固にくっついた高吸収の非常に規則的な無機レジスト層を形成できる。このようなレジスト組成物は、例えば異方性酸拡散を有してもよく、酸拡散を全く有しなくてもよい。このようなレジスト層は、より小さいパターン崩壊、レジストの高い機械的強度または基板表面への強い結合という材料特性の一以上に起因して、より少ない線量、より直線的な外形、良好なエッチング耐性(無機材料であるため)および優れた解像度を有するように設計されてもよい。レジスト層は、より厚いパターンを得るためにz方向に金属層を成長させるために用いられてもよい。
自己組織化単分子膜(SAM)は、基板表面を不動態化または官能基化するために用意されうる官能基を持たせた分子の単層である。このような単分子層は、官能基を持つ自己組織化分子を分散させた溶液から、または、そのような溶液の気相から典型的に形成され、約2nmの厚さを有する非常に規則的な有機薄膜の基板への堆積および結合をもたらす。
様々な種類のナノ粒子が文献で知られている。金属ナノ粒子は、1種類以上の金属を含むコアで通常構成され、所望の相、サイズ、形状および結晶性を有する。
典型的な金属ナノ粒子(MNP)分散体は、界面活性剤で安定化された溶媒中に分散されたナノ粒子を含む。金属ナノ粒子は、例えばスピンコーティングまたは浸漬によりSAMに被覆された表面上に堆積され、不規則な多層のナノ粒子を形成しうる。
MNPは、パッシブな炭素C基で終端されたSAM分子といったパッシブ終端基を有するSAM分子と結合しない(化学的に付着しない)ように構成されてもよい。このようなパッシブなC終端基の例は−CH3である。
MNPは、SAM分子がアクティブ終端(例えば−COOH、−SHなど)を有する場合、MNPおよびSAM分子間でリガンドの交換(つまり化学結合)が存在しうるように構成されてもよい。このような場合、MNP表面に由来する界面活性剤分子は、SAM分子のアクティブ終端と置換されてもよい。
SAM分子のパッシブ終端基からアクティブ終端基への変換は、例えばEUV放射を用いる露光などのリソグラフィ放射の照射により生じうる。このようにして、MNPは、SAM分子によって表面に固定されることになる。
また、アジド(−N3)終端されたSAMは、アジドがMNPにてC=C終端された界面活性剤と結合しうる基であるため、FePtタイプのMNPとの結合を誘起するために用いられうる。EUV放射は、−N3およびC=C基間のこのような反応を誘起してもよい。
SAM分子、MNPまたは基板によるEUV放射の吸収時に生じるEUV光子または電子は、原則としてCH3基(または他のパッシブ終端)をCOOH基(または他のアクティブ終端)に変換しうることも示されている。非常に低いEUV線量は、このような局所的反応の誘起に十分でありうる。
原則的に、PAG様分子はSAM終端にも用いられうる。このようにして、MNPは、リガンド交換を介してアクティブ終端されたSAM分子との化学結合を形成するであろう。
複数の異なる手法のレジスト層製造を用いることができる。一つの方法は、EUV放射吸収を介した自己組織化単分子膜の活性化により準備されるEUVネガ型のCARではないMNPフォトレジストを形成することである。これは、表面分子の活性化を可能にする。アクティブ終端基は、リガンド交換メカニズムを介してMNPに結合する。放射吸収は、MNPの高い放射吸収性に起因して増大される。二次電子は、MNP、SAM層および基板にて生成されうる。
MNPは、アクティブ終端された側のSAM被覆表面に固定される。SAMは、その鎖中にEUV感受性解離ユニットを有する。EUV露光時に、EUV感受性(例えば、スルホン酸を含む)分子が解離し、露光領域にてMMPが除去可能となる一方、未露光領域にてMNPが表面に固定されたままとなる。このようにしてEUV層は、ポジ型のの非化学増幅型レジスト(非CAR)として機能する。
別の方法は、EUV放射によりパターン化されたSAM被覆表面を使用することであり、MNPはフォローアップ工程で付着する。そのような場合、必要とされる放射線量はより高くなるかもしれないが、レジスト層に誘起される電子ぼけがより小さくなり、より良好に画定されたパターンが得られるであろう。
さらに別の方法は、SAM終端としてEUV放射感受性PAG様分子を使用することである。このような分子は、EUV露光時に二つの部分(例えばCFSO3−およびTPS)に分かれることが示されている。EUV活性化がなければ、そのようなEUV感受性PAG様終端はパッシブ層として機能するが、EUV放射による活性化およびパターン画定時にCFSO3−終端は維持され、TPS部分は分離される。さらに−SH終端を有するSAMは、安定化されたMNPに使用されるいくつかの他のリガンド(例えば−COOH終端されたSAMリガンド)と比べて、無機ナノ粒子に対し高い親和性を有することが示されている。
MNPの固定および架橋は、EUV放射の露光時にも起こりうる。架橋は、例えばPAG断片SbF6−SAM終端を介して触媒されてもよく、界面活性剤終端はエポキシ架橋剤によりMNOに結合されてもよい。
有機フラーレンレジスト層を含むPAG様終端およびエポキシ架橋剤は、カチオン性で触媒される架橋によりEUV露光時にパターンを形成しうる。同様の化学的作用を上述のようなMNPに適用できる。
異方性ぼけを持つ化学増幅ネガ型ナノ粒子EUVレジストは、SAM被覆表面上のPAG様終端、架橋剤およびロッド様MNPにより形成される緩い集合によりに形成されうる。ここで、MNPの固定および架橋は、EUV露光時に生じる。架橋がPAG断片SbF6−SAM終端により触媒されてもよく、界面活性剤終端がエポキシ架橋剤を介してMNPに結合してもよい。触媒の拡散は、垂直方向に有利かもしれない。
ロッド様構造を含む、異なる形状を持つMNPが文献に報告されている。FePtナノ粒子は、外部磁場の影響下で回転および整列可能であることが示されている。このようなロッド様ナノ粒子が垂直方向に整列することになり、その結果、カチオン性の触媒の垂直方向の拡散が有利となってもよい。これは必要とする線量レベルを低減するであろう。
上述の方法のいずれかを用いて、MNPパターンを生成でき、レジスト層のエッチング耐性を増大させるために例えば無電解金属堆積を用いてMNPの厚さをさらに増やすことができる。
本書ではICの製造におけるリソグラフィ装置の使用を例として説明しているが、本書に説明したリソグラフィ装置は他の用途にも適用することが可能であるものと理解されたい。他の用途としては、集積光学システム、磁気ドメインメモリ用案内パターンおよび検出パターン、フラットパネルディスプレイ、液晶ディスプレイ(LCD)、薄膜磁気ヘッドなどがある。当業者であれば、このような代替的な用途の文脈において、本書での「ウェハ」または「ダイ」の用語の任意の使用が、より一般的な用語である「基板」または「目標部分」とそれぞれ同義とみなされてもよい。本書に参照される基板は、露光の前または後に処理されてもよく、トラック(一般にレジストの層を基板に適用して露光されたレジストを現像するツール)、計測ツールおよび/または検査ツールで処理されてもよい。さらに、例えば多層ICを作製するために、基板を2回以上処理されてもよく、本書で使用される基板という用語は、複数の処理された層を既に含む基板を指してもよい。
以上、本発明の特定の実施の形態を説明したが、本発明は記載以外の方法で実施されうることができることが理解されよう。例えば、本発明のレジスト組成物は、本発明を保護および/または強化するために、複数の層を含むレジストを含むパターニングプロセスに使用することができる。上記の説明は例示的なものであり、限定を意図するものではない。したがって、以下の特許請求の範囲および条項から逸脱することなく、記載された本発明に対して変更がなされうることは、当業者にとって明らかであろう。
(項1)無機コア−シェルナノ粒子を備え、前記ナノ粒子は、前記ナノ粒子のコアを形成する第1材料と、前記コアの周囲のシェルを形成する第2材料とを含み、
前記第2材料は、前記第1材料のエネルギーバンドより大きいエネルギーバンドギャップを有することを特徴とするレジスト組成物。
(項2)前記第1材料はCdSeを含み、前記第2材料はZnSを含むことを特徴とする項1に記載のレジスト組成物。
(項3)前記ナノ粒子は、CdS/ZnS、CdSe/Cds、および、InAs/CdSeから選択される材料を含むコア−シェルナノ粒子であることを特徴とする項1または項2に記載のレジスト組成物。
(項4)ナノ粒子のコアを形成する第1材料と、前記コアの周囲のシェルを形成する第2材料とを含む無機コア−シェルナノ粒子を備え、前記第2材料の絶対バンドギャップ位置は、電子または正孔の一方を遮蔽し、他方の電荷キャリアを引きつけるように構成されることを特徴とするレジスト組成物。
(項5)前記第1材料はZnTeを含み、前記第2材料はCdSeを含むことを特徴とする項4に記載のレジスト組成物。
(項6)前記第1材料のバンドギャップは、前記第2材料のバンドギャップより大きく、前記第1材料および前記第2材料の絶対バンドギャップ位置が絶対エネルギースケール上で異なることにより、正孔が前記コア−シェルナノ粒子の表面の近くに位置するように電子および正孔が空間的に分離されることを特徴とする項4または5に記載のレジスト組成物。
(項7)無機ナノ粒子を備え、前記無機ナノ粒子が3.5eV未満のバルクバンドギャップを有する材料を含むことを特徴とするレジスト組成物。
(項8)前記無機粒子の材料は、 ZnO、WO、CdS、CdSe、GaP、InAs、InP、GaAs、CdTe、GaSb、InN、InSb、ZnSe、および、ZnTeで構成されるリストから選択されることを特徴とする項7に記載のレジスト組成物。
(項9)ポジ型フォトレジスト用のレジスト組成物であって、第1組の無機ナノ粒子Aと第2組のナノ粒子Bを備え、
前記ナノ粒子Aは、前記ナノ粒子に結合する第1側と、室温での粒子AおよびBの架橋を妨げるよう構成される第2側とを有する化学基を備える光子電子感受性リガンドが設けられており、その化学基は室温より高い温度で除去可能であり、
前記ナノ粒子Bは、ナノ粒子Aに結合する光子電子感受性リガンドから室温より高い温度にて前記化学基の第2側で置換可能となるよう構成される弱結合化学基を有するリガンドが設けられており、これによりナノ粒子AおよびBの架橋が提供され、
前記光子電子感受性リガンドは、露光中に光子またはナノ粒子Aからの低エネルギー電子で分離するよう構成されることを特徴とするレジスト組成物。
(項10)無機ナノ粒子Aは、無機ナノ粒子AおよびBの総量の約半分の量であることを特徴とする項9に記載のレジスト組成物。
(項11)リソグラフィ露光中に分離する光子電子感受性リガンドを備える前記ナノ粒子は、レジスト現像液により除去可能な遊離ナノ粒子を提供することを特徴とする項9に記載のレジスト組成物。
(項12)第1組のナノ粒子Aおよび第2組のナノ粒子Bを備えるポジ型フォトレジスト用のレジスト組成物を製造する方法であって、
前記ナノ粒子Aに結合する第1側と、室温でのナノ粒子AおよびBの架橋を妨げるよう構成される第2側とを有する化学基を備える光子電子感受性リガンドを持つ第1組のナノ粒子Aを提供する工程であって、その化学基が室温より高い温度で除去可能であり、前記光子電子感受性リガンドが光子またはナノ粒子Aからの低エネルギー電子で露光中に分離するよう構成される工程と、
ナノ粒子Aに結合する光子電子感受性リガンドから室温より高い温度にて前記化学基の第2側で置換可能となるよう構成される弱結合化学基を有するリガンドを持つ第2組のナノ粒子Bを提供する工程と、を備えることを特徴とする方法。
(項13)ナノ粒子AおよびBの架橋を可能とするため前記ポジ型フォトレジストにベーク温度を加える工程をさらに備えることを特徴とする項12に記載の方法。
(項14)リソグラフィ露光中にナノ粒子Aから光子電子感受性リガンドが分離することとなるように、架橋されるポジ型フォトレジストが光子または低エネルギー電子に曝されて、ポジ型フォトレジストの露光領域に遊離ナノ粒子が残る工程をさらに備えることを特徴とする項13に記載の方法。
(項15)レジスト組成物層に接触する基板における基板材料への自己組織化のための自己組織化リガンド基を有する自己組織化分子を含む物質であって、前記自己組織化分子が自己組織化リガンド基とは異なるパッシブ終端基を有し、前記パッシブ終端基がリソグラフィ放射の照明時にアクティブ終端基になる物質と、
前記自己組織化分子の前記パッシブ終端基または前記自己組織化リガンド基に化学的に結合しないように構成され、前記自己組織化分子の前記アクティブ終端基に化学的に結合するよう構成される無機ナノ粒子と、を備えることを特徴とするレジスト組成物。
(項16)前記レジスト組成物は、異方性酸拡散特性を有するか、酸拡散特性を有しないことを特徴とする項15に記載のレジスト組成物。
(項17)多層の無機ナノ粒子を備えることを特徴とする項15または項16に記載のレジスト組成物。
(項18)前記無機ナノ粒子は、金属ナノ粒子であることを特徴とする項15から17のいずれか一項に記載のレジスト組成物。
(項19)前記パッシブ終端基は、リソグラフィ放射ビームの露光時に前記アクティブ終端基に変換可能なC終端基であり、例えば−CH3終端基であることを特徴とする項15から18のいずれか一項に記載のレジスト組成物。
(項20)前記自己組織化された単層分子の前記アクティブ終端基は、−COOH、−SH、または、−N3基から選択されることを特徴とする項15から19のいずれか一項に記載のレジスト組成物。
(項21)前記自己組織化された単層分子の前記アクティブ終端基は、CFSO3−、TPSまたはSbF6基の一以上の備えるPAG終端基であることを特徴とする項15から20のいずれか一項に記載のレジスト組成物。
(項22)前記パッシブ終端基は、CFSO3−およびTPS基を備えるPAG基であり、前記パッシブ終端基は、リソグラフィ放射の照射時にTPS基の離脱によりCFSO3−基が前記アクティブ終端基を形成するようにして活性化されることを特徴とする項21に記載のレジスト組成物。
(項23)リソグラフィ放射の照射時に、自己組織化分子に付着する無機粒子がレジスト層の照射領域にて除去可能になる一方、非照射領域に由来する無機粒子がレジスト層に結合したままとなることを特徴とする項22に記載のレジスト組成物。
(項24)前記自己組織化された単層分子のアクティブ終端基は−N3アジド基であり、前記無機粒子はFePtを含むことを特徴とする項15から20のいずれか一項に記載のレジスト組成物。
(項25)前記無機粒子は、ロッド形状の細長い粒子であることを特徴とする項15から24のいずれか一項に記載のレジスト組成物。
(項26)無機レジスト組成物層が接触する基板に垂直な方向に触媒の拡散が実質的に配向し、異方性ぼけを持つレジストが提供されることを特徴とする項25に記載のレジスト組成物。
(項27)前記無機粒子の表面は、エポキシ架橋剤基を介して前記無機粒子に結合するC=C終端を有する界面活性剤で保護されることを特徴とする項15から26のいずれか一項に記載のレジスト組成物。
(項28)前記パッシブ終端基を前記アクティブ終端基に変換するためのリソグラフィ放射がEUV放射であることを特徴とする項15から27のいずれか一項に記載のレジスト組成物。
(項29)項1から11および項15から28のいずれか一項に記載のレジスト組成物の層で被覆されることを特徴とする基板。

Claims (15)

  1. レジスト組成物層に接触する基板における基板材料への自己組織化のための自己組織化リガンド基を有する自己組織化分子を含む物質であって、前記自己組織化分子が自己組織化リガンド基とは異なるパッシブ終端基を有し、前記パッシブ終端基がリソグラフィ放射の照明時にアクティブ終端基になる物質と、
    前記自己組織化分子の前記パッシブ終端基または前記自己組織化リガンド基に化学的に結合しないように構成され、前記自己組織化分子の前記アクティブ終端基に化学的に結合するよう構成される無機ナノ粒子と、を備えることを特徴とするレジスト組成物。
  2. 前記レジスト組成物は、異方性酸拡散特性を有するか、酸拡散特性を有しないことを特徴とする請求項1に記載のレジスト組成物。
  3. 多層の無機ナノ粒子を備えることを特徴とする請求項1または2に記載のレジスト組成物。
  4. 前記無機ナノ粒子は、金属ナノ粒子であることを特徴とする請求項1から3のいずれか一項に記載のレジスト組成物。
  5. 前記パッシブ終端基は、リソグラフィ放射ビームの露光時に前記アクティブ終端基に変換可能なC終端基であり、例えば−CH3終端基であることを特徴とする請求項1から4のいずれか一項に記載のレジスト組成物。
  6. 前記自己組織化された単層分子の前記アクティブ終端基は、−COOH、−SH、または、−N3基から選択されることを特徴とする請求項1から5のいずれか一項に記載のレジスト組成物。
  7. 前記自己組織化された単層分子の前記アクティブ終端基は、CFSO3−、TPSまたはSbF6基の一以上の備えるPAG終端基であることを特徴とする請求項1から6のいずれか一項に記載のレジスト組成物。
  8. 前記パッシブ終端基は、CFSO3−およびTPS基を備えるPAG基であり、前記パッシブ終端基は、リソグラフィ放射の照射時にTPS基の離脱によりCFSO3−基が前記アクティブ終端基を形成するようにして活性化されることを特徴とする請求項7に記載のレジスト組成物。
  9. リソグラフィ放射の照射時に、自己組織化分子に付着する無機粒子がレジスト層の照射領域にて除去可能になる一方、非照射領域に由来する無機粒子がレジスト層に結合したままとなることを特徴とする請求項8に記載のレジスト組成物。
  10. 前記自己組織化された単層分子のアクティブ終端基は−N3アジド基であり、前記無機粒子はFePtを含むことを特徴とする請求項1から6のいずれか一項に記載のレジスト組成物。
  11. 前記無機粒子は、ロッド形状の細長い粒子であることを特徴とする請求項1から10のいずれか一項に記載のレジスト組成物。
  12. 無機レジスト組成物層が接触する基板に垂直な方向に触媒の拡散が実質的に配向し、異方性ぼけを持つレジストが提供されることを特徴とする請求項11に記載のレジスト組成物。
  13. 前記無機粒子の表面は、エポキシ架橋剤基を介して前記無機粒子に結合するC=C終端を有する界面活性剤で保護されることを特徴とする請求項1から12のいずれか一項に記載のレジスト組成物。
  14. 前記パッシブ終端基を前記アクティブ終端基に変換するためのリソグラフィ放射がEUV放射であることを特徴とする請求項1から13のいずれか一項に記載のレジスト組成物。
  15. 請求項1から14のいずれか一項に記載のレジスト組成物の層で被覆されることを特徴とする基板。
JP2021070432A 2014-12-23 2021-04-19 リソグラフィパターニングプロセスおよびそれに用いるレジスト Active JP7309776B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
EP14200085 2014-12-23
EP14200085.0 2014-12-23
EP15165023 2015-04-24
EP15165023.1 2015-04-24
JP2017534350A JP6872484B2 (ja) 2014-12-23 2015-12-01 レジスト組成物、レジストパターン形成方法、レジスト組成物の製造方法、ペロブスカイト材料のリソグラフィプロセスへの使用およびレジスト組成物で被覆された基板

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2017534350A Division JP6872484B2 (ja) 2014-12-23 2015-12-01 レジスト組成物、レジストパターン形成方法、レジスト組成物の製造方法、ペロブスカイト材料のリソグラフィプロセスへの使用およびレジスト組成物で被覆された基板

Publications (2)

Publication Number Publication Date
JP2021119395A true JP2021119395A (ja) 2021-08-12
JP7309776B2 JP7309776B2 (ja) 2023-07-18

Family

ID=54834797

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2017534350A Active JP6872484B2 (ja) 2014-12-23 2015-12-01 レジスト組成物、レジストパターン形成方法、レジスト組成物の製造方法、ペロブスカイト材料のリソグラフィプロセスへの使用およびレジスト組成物で被覆された基板
JP2021070432A Active JP7309776B2 (ja) 2014-12-23 2021-04-19 リソグラフィパターニングプロセスおよびそれに用いるレジスト

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2017534350A Active JP6872484B2 (ja) 2014-12-23 2015-12-01 レジスト組成物、レジストパターン形成方法、レジスト組成物の製造方法、ペロブスカイト材料のリソグラフィプロセスへの使用およびレジスト組成物で被覆された基板

Country Status (6)

Country Link
US (2) US10416555B2 (ja)
JP (2) JP6872484B2 (ja)
KR (1) KR102633008B1 (ja)
CN (2) CN113009782A (ja)
NL (1) NL2015885A (ja)
WO (1) WO2016102157A1 (ja)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016102157A1 (en) 2014-12-23 2016-06-30 Asml Netherlands B.V. Lithographic patterning process and resists to use therein
US10889756B2 (en) * 2016-08-11 2021-01-12 Avantama Ag Luminescent crystals and manufacturing thereof
US11702762B2 (en) 2016-08-11 2023-07-18 Avantama Ag Luminescent crystals and manufacturing thereof
KR102596387B1 (ko) * 2017-04-18 2023-10-30 더 유니버서티 오브 시카고 광활성인 무기 리간드-캐핑된 무기 나노결정
US10347486B1 (en) * 2017-12-19 2019-07-09 International Business Machines Corporation Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography
JP7079850B2 (ja) * 2018-08-23 2022-06-02 東京エレクトロン株式会社 基板処理方法及び基板処理システム
US20220011664A1 (en) 2018-10-17 2022-01-13 The University Of Chicago Photosensitive, inorganic ligand-capped inorganic nanocrystals
KR102234701B1 (ko) * 2019-09-25 2021-04-02 한국화학연구원 인쇄용 페로브스카이트 잉크 및 이를 이용한 인쇄방법
CN114787710A (zh) * 2019-11-15 2022-07-22 Asml荷兰有限公司 用于器件制造的方法
CN112947002A (zh) * 2021-01-25 2021-06-11 华中科技大学 一种用于微纳加工的光刻胶材料、其制备和应用
CN112987505B (zh) * 2021-02-23 2022-07-01 青岛芯微半导体科技有限公司 一种晶圆光刻设备
CN113156670B (zh) * 2021-03-29 2022-07-12 江苏大学 一种超材料调制器
KR20220170310A (ko) * 2021-06-22 2022-12-29 한국화학연구원 페로브스카이트 복합체

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005004211A (ja) * 2003-06-10 2005-01-06 Samsung Electronics Co Ltd 感光性金属ナノ粒子およびこれを含む感光性組成物、ならびにその感光性組成物を用いた導電性パターン形成方法
JP2008089921A (ja) * 2006-09-29 2008-04-17 Toyo Gosei Kogyo Kk 感光性組成物及び高分子複合体

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9220986D0 (en) * 1992-10-06 1992-11-18 Ciba Geigy Ag Chemical composition
JPH0895239A (ja) * 1994-09-20 1996-04-12 Nippon Synthetic Chem Ind Co Ltd:The フォトレジストフィルム
JPH08328258A (ja) * 1995-05-29 1996-12-13 Hitachi Ltd パターン形成方法
US6344662B1 (en) * 1997-03-25 2002-02-05 International Business Machines Corporation Thin-film field-effect transistor with organic-inorganic hybrid semiconductor requiring low operating voltages
US5871579A (en) 1997-09-25 1999-02-16 International Business Machines Corporation Two-step dipping technique for the preparation of organic-inorganic perovskite thin films
JP2000143831A (ja) 1998-09-08 2000-05-26 Shipley Far East Ltd 高分子ラングミュア―ブロジェット膜及びその膜よりなるレジスト
JP2000147792A (ja) 1998-11-13 2000-05-26 Toyota Central Res & Dev Lab Inc パターン形成方法
US6808867B2 (en) * 1999-04-05 2004-10-26 Science & Technology Corporation @ University Of New Mexico Photo-definable self-assembled materials
US6783914B1 (en) * 2000-02-25 2004-08-31 Massachusetts Institute Of Technology Encapsulated inorganic resists
KR100765684B1 (ko) 2002-07-03 2007-10-11 삼성전자주식회사 합금 패턴 형성용 유기금속 전구체 혼합물 및 이를 이용한합금 패턴 형성방법
US7501230B2 (en) * 2002-11-04 2009-03-10 Meagley Robert P Photoactive adhesion promoter
US20040203256A1 (en) * 2003-04-08 2004-10-14 Seagate Technology Llc Irradiation-assisted immobilization and patterning of nanostructured materials on substrates for device fabrication
US7642368B2 (en) * 2003-10-21 2010-01-05 Wako Pure Chemical Industries, Ltd. Process for producing triarylsulfonium salt
WO2005060668A2 (en) * 2003-12-18 2005-07-07 The Trustees Of Columbia University In The City Ofnew York Methods of modifying surfaces
US7294449B1 (en) * 2003-12-31 2007-11-13 Kovio, Inc. Radiation patternable functional materials, methods of their use, and structures formed therefrom
JP2006012571A (ja) * 2004-06-25 2006-01-12 Fujitsu Ltd ガス放電パネル用基板の製造方法およびガス放電パネル
JP2006309202A (ja) * 2005-03-29 2006-11-09 Toray Ind Inc 感光性樹脂組成物およびそれを用いた半導体装置
JP4696133B2 (ja) 2005-05-30 2011-06-08 パイオニア株式会社 レジスト材料および電子線記録用レジスト材料
US7531293B2 (en) * 2006-06-02 2009-05-12 International Business Machines Corporation Radiation sensitive self-assembled monolayers and uses thereof
KR101317599B1 (ko) * 2006-07-21 2013-10-11 삼성전자주식회사 신규한 금속 나노입자 및 이를 이용한 전도성 패턴 형성방법
JP5109553B2 (ja) * 2006-09-27 2012-12-26 東レ株式会社 感光性樹脂組成物およびそれを用いた誘電体組成物、半導体装置
JP2008159754A (ja) 2006-12-22 2008-07-10 Az Electronic Materials Kk パターン形成法
US7875313B2 (en) * 2007-04-05 2011-01-25 E. I. Du Pont De Nemours And Company Method to form a pattern of functional material on a substrate using a mask material
CN101257016B (zh) * 2008-04-11 2010-12-15 清华大学 一种三维结构pzt电容及其mocvd制备方法
JP5402205B2 (ja) * 2009-04-22 2014-01-29 Jsr株式会社 誘電体形成用感放射線性組成物、誘電体、誘電体の形成方法および電子部品
EP2510073B1 (en) * 2009-12-08 2017-07-05 Omnipv, Inc. Luminescent materials that emit light in the visible range or the near infrared range and methods of forming thereof
JP2011181866A (ja) * 2010-03-04 2011-09-15 Fujifilm Corp 積層構造体及びそれを用いた圧電デバイス
CN104246609A (zh) * 2012-04-26 2014-12-24 三菱化学株式会社 感光性树脂组合物、固化物、层间绝缘膜、tft 有源阵列基板及液晶显示装置
JP6123199B2 (ja) * 2012-09-14 2017-05-10 日本ゼオン株式会社 ペロブスカイト化合物を用いた透明導電性フィルムおよびその製造方法
JP6009326B2 (ja) * 2012-11-13 2016-10-19 東洋ゴム工業株式会社 タイヤトレッド用ゴム組成物及び空気入りタイヤ
US9223214B2 (en) * 2012-11-19 2015-12-29 The Texas A&M University System Self-assembled structures, method of manufacture thereof and articles comprising the same
JP2016513077A (ja) * 2013-01-15 2016-05-12 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se トリアンギュレンオリゴマー及びポリマー並びにそれらを正孔伝導性材料として用いる使用
JP6002705B2 (ja) * 2013-03-01 2016-10-05 富士フイルム株式会社 パターン形成方法、感活性光線性又は感放射線性樹脂組成物、レジスト膜、及び、電子デバイスの製造方法
US20150017946A1 (en) * 2013-07-10 2015-01-15 L3 Communications-ASIT Method and apparatus for limiting the collection of cellular identifying information to defined areas
WO2015032748A1 (en) * 2013-09-03 2015-03-12 Basf Se Amorphous material and the use thereof
US20150234272A1 (en) * 2014-02-14 2015-08-20 Intel Corporation Metal oxide nanoparticles and photoresist compositions
WO2015159192A1 (en) * 2014-04-15 2015-10-22 Basf Se Process for the production of a solid dye-sensitized solar cell or a perovskite solar cell
JP6502733B2 (ja) * 2014-05-09 2019-04-17 太陽インキ製造株式会社 ソルダーレジスト形成用硬化性樹脂組成物、ドライフィルムおよびプリント配線板
CN104388089B (zh) * 2014-11-04 2017-06-06 深圳Tcl新技术有限公司 一种杂化钙钛矿量子点材料的制备方法
US10626326B2 (en) * 2014-11-06 2020-04-21 Postech Academy-Industry Foundation Method for manufacturing perovskite nanocrystal particle light emitting body where organic ligand is substituted, nanocrystal particle light emitting body manufactured thereby, and light emitting device using same
CN107108461B (zh) * 2014-11-06 2020-04-28 浦项工科大学校产学协力团 钙钛矿纳米结晶粒子及利用该粒子的光电元件
WO2016102157A1 (en) 2014-12-23 2016-06-30 Asml Netherlands B.V. Lithographic patterning process and resists to use therein

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005004211A (ja) * 2003-06-10 2005-01-06 Samsung Electronics Co Ltd 感光性金属ナノ粒子およびこれを含む感光性組成物、ならびにその感光性組成物を用いた導電性パターン形成方法
JP2008089921A (ja) * 2006-09-29 2008-04-17 Toyo Gosei Kogyo Kk 感光性組成物及び高分子複合体

Also Published As

Publication number Publication date
CN113009782A (zh) 2021-06-22
US20180004085A1 (en) 2018-01-04
US11415886B2 (en) 2022-08-16
CN107111227A (zh) 2017-08-29
WO2016102157A1 (en) 2016-06-30
CN107111227B (zh) 2021-03-23
JP2018502327A (ja) 2018-01-25
KR20170098307A (ko) 2017-08-29
US10416555B2 (en) 2019-09-17
JP7309776B2 (ja) 2023-07-18
JP6872484B2 (ja) 2021-05-19
US20190339615A1 (en) 2019-11-07
NL2015885A (en) 2016-09-22
KR102633008B1 (ko) 2024-02-01

Similar Documents

Publication Publication Date Title
JP7309776B2 (ja) リソグラフィパターニングプロセスおよびそれに用いるレジスト
JP6309580B2 (ja) レジスト潜像形成方法
JP6637943B2 (ja) リソグラフィパターニングプロセスおよび同プロセス内で使用するレジスト
US20190129301A1 (en) Resist compositions
KR102170659B1 (ko) 레지스트패턴 형성방법 및 레지스트재료
KR101527396B1 (ko) 레이저 홀로그래픽 리소그래피 장치 및 이를 이용한 점진적으로 크기가 변화하는 나노패턴의 제조방법
US9983474B2 (en) Photoresist having sensitizer bonded to acid generator
TW202147034A (zh) 極紫外線遮罩的形成方法
Neisser et al. EUV research activity at SEMATECH
Rothschild et al. Projection x-ray lithography with ultrathin imaging layers and selective electroless metallization
US20230259024A1 (en) Photoresist, method of manufacturing a semiconductor device and method of extreme ultraviolet lithography
CN117769683A (zh) 用于极紫外光刻应用的混合光致抗蚀剂组合物
KR20220085743A (ko) 무기산으로 안정화된 금속산화물 클러스터를 포함하는 포토레지스트용 조성물
Ding et al. Quantum dots for electron-beam lithography
KR20210099692A (ko) 포토레지스트 조성물, 이를 이용한 포토리소그래피 방법 및 이를 이용한 반도체 소자의 제조 방법
JPH04111423A (ja) 短波長紫外線を用いるパターン形成法
KR830002292B1 (ko) 반도체 ic 등의 석판화 제조방법
JP2003272994A (ja) X線露光方法およびこのx線露光方法で製造された半導体装置、ならびに、x線マスク、x線露光装置およびレジスト材料

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210420

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220322

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220329

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220620

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221018

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230117

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230307

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20230307

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230613

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230705

R150 Certificate of patent or registration of utility model

Ref document number: 7309776

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150