TW202147034A - 極紫外線遮罩的形成方法 - Google Patents

極紫外線遮罩的形成方法 Download PDF

Info

Publication number
TW202147034A
TW202147034A TW110103334A TW110103334A TW202147034A TW 202147034 A TW202147034 A TW 202147034A TW 110103334 A TW110103334 A TW 110103334A TW 110103334 A TW110103334 A TW 110103334A TW 202147034 A TW202147034 A TW 202147034A
Authority
TW
Taiwan
Prior art keywords
layer
mask
euv
ruthenium
capping layer
Prior art date
Application number
TW110103334A
Other languages
English (en)
Inventor
石志聰
吳于勳
劉柏村
李宗泉
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202147034A publication Critical patent/TW202147034A/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/52Reflectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)

Abstract

在一些實施例中,一種形成極紫外線(EUV)遮罩的方法,包含形成多層Mo/Si疊層,此多層Mo/Si疊層包括在遮罩基材之上交替堆疊的Mo層及Si層;在多層Mo/Si疊層之上形成釕覆蓋層;採用鹵素元素、五價元素、六價元素、或其等的組合摻雜釕覆蓋層;在釕覆蓋層之上形成吸收層;蝕刻吸收層以在吸收層中形成圖案。

Description

極紫外線微影方法、極紫外線遮罩及其形成方法
半導體積體電路系統(semiconductor integrated circuit;IC)產業已經歷快速的成長。在積體電路材料及設計方面之技術進步已產生數代積體電路,其中每一代均比前一代具有更小、更複雜的電路。在IC演進的過程中,已總體上增加功能密度(即,每個晶片面積之互連接元件的數量),而減小幾何大小(即,使用生產製程可創建的最小組件(或線路))。此種按比例縮小的製程總體上可藉由增加生產效率及減低關聯的成本,以提供效益。然而,此等按比例縮小亦已增加處理及製造IC的複雜性且,為了實現此等演進,需要在IC處理及製造方面進行類似的開發。
後文揭露內容提供用於實行所提供的標的的不同特徵的許多不同的實施例或範例。後文描述組件及佈置之特定範例以簡化本揭露內容。當然,此等僅為範例且未意圖具限制性。舉例而言,在後文的描述中,在第二特徵之上或上之第一特徵的形成可包含以接觸方式形成第一特徵及第二特徵的實施例,且亦可包含在第一特徵與第二特徵間形成額外特徵,使得第一特徵及第二特徵可不接觸的實施例。此外,在各種範例中,本揭露內容可能重複元件符號及/或字母。此重複係出於簡單及清楚的目的,且重複本身並不規範所論述的各種實施例及/或配置間之關係。
進一步地,為便於描述,本文中可使用諸如「在...之下」、「在...下方」、「較低」、「在...上方」、「較高」、及類似者的空間相對術語,以描述圖示中所例示之一個元件或特徵與另一元件(等)或特徵(等)的關係。除圖示中所描繪之定向之外,空間相對術語亦意圖涵蓋元件在使用或操作中之不同定向。設備能以其他方式定向(旋轉90度或以其他定向),且本文中使用之空間相對描述語可同樣以相應的方式解釋。
可將當前揭露內容中所描述之先進微影製程、方法、及材料用於許多應用中,包含鰭狀結構類型場效應電晶體(FinFET)。舉例而言,可圖案化鰭狀結構以在特徵間產生相對緊密的間隔,前文揭露內容非常適合於此操作。此外,可根據前文揭露內容處理用於形成FinFET的鰭狀結構形成之間隔件。
為了應對摩爾定律的趨勢,即晶片組件大小的減小及針對行動電子裝置(諸如具有電腦功能、多任務能力、甚至具有工作站效能之智慧型手機)對較高計算效能晶片的需求。有較小波長的光微影曝光系統為符合需求的。極紫外線(extreme ultraviolet;EUV)光微影技術使用EUV輻射源以發射具有約13.5奈米的波長之EUV射線。由於此波長亦在X射線輻射波長區內,因此EUV輻射源亦被稱為軟X射線輻射源。藉由收集器鏡收集從雷射產生的電漿(laser-produced plasma;LPP)所發射之EUV光線,並將EUV光線朝向圖案化遮罩反射。
第1圖為根據本揭露內容的一些實施例之具有從雷射產生的電漿的EUV輻射源的EUV微影工具的示意圖。EUV微影系統包含EUV輻射源100以生成EUV輻射、曝光裝置200,諸如掃描器及激發雷射源300。如第1圖所圖示,在一些實施例中,將EUV輻射源100及曝光裝置200安裝在無塵室的主地板(main floor)MF上,而將激發雷射源300安裝在位於主地板MF之下之基礎地板(base floor)BF中。分別經由減震器(damper)DP1及DP2,將各EUV輻射源100及曝光裝置200放置在基座板PP1及PP2之上。藉由耦合機構將EUV輻射源100及曝光裝置200彼此耦合,耦合機構可包含聚焦單元。
將EUV微影工具設計成將抗蝕劑層暴露於EUV光(在本文中亦可互換地稱為EUV輻射)。抗蝕劑層為對EUV光敏感之材料。EUV微影系統運用EUV輻射源100以生成EUV光,諸如具有範圍在約1奈米至約100奈米間之波長之EUV光。在一個特定範例中,EUV輻射源100生成EUV光,EUV光的波長集中在約13.5奈米處。在本實施例中,EUV輻射源100利用雷射產生電漿(LPP)的機制以生成EUV輻射。
曝光裝置200包含各種反射光學器件組件,諸如凹透鏡/凸透鏡/平面鏡,包含遮罩台之遮罩保持機構、及晶圓保持機構。將藉由EUV輻射源100所生成之EUV輻射EUV,藉由反射光學組件引導至固緊在遮罩台上之遮罩上。在一些實施例中,遮罩台包含固緊遮罩之靜電吸盤(electrostatic chuck;e-cuck)。
第2圖為根據本揭露內容的實施例之極紫外線微影工具的細節的簡化示意簡圖,圖示採用EUV光的圖案化光束對經光阻劑塗覆基材的曝光。曝光裝置200為積體電路微影工具(諸如步進器、掃描器、步進及掃描系統、直接寫入系統、使用接觸及/或接近遮罩之元件,等),配備有一個或更多個光學器件205a、205b,舉例而言,採用EUV光的光束以照射圖案化光學器件205c(諸如遮罩模版),以產生圖案化光束、及一個或更多個縮小投影光學元件205d、205e,用於將圖案化光束投影至基材210上。可提供機械組裝件(未圖示)用於在基材210及圖案化光學器件205c間生成受控的相對運動。如第2圖所進一步圖示,EUVL工具包含EUV光源100,EUV光源包含在腔室105中發射EUV光之EUV光輻射器ZE,藉由收集器110沿著至曝光裝置200中之路徑反射發射EUV光以照射基材210。
如本文中所使用,旨在將術語「光學器件」廣義地解釋為包含,但不必然限於反射及/或透射及/或對入射光進行操作的一個或更多個組件,且包含,但不限於,一個或更多個透鏡、窗口、濾光鏡、楔形件、棱鏡、稜柵、漸變、傳輸光纖、干涉儀、擴散器、均化器、檢測器、及其他儀器組件、光圈、軸錐、及包含多層鏡、近法線入射鏡、切線入射鏡、鏡面反射器、漫反射器、及其等的組合之反射鏡。此外,除非另作說明,術語「光學器件」,如本文所使用,是針對於,但不限於,在一個或更多個特定波長範圍(等)內(諸如在EUV輸出光波長、照射雷射波長、適用於計量之波長、或任何其他特定波長下)單獨操作或具有優點之組件。
由於氣體分子吸收EUV光,所以將用於EUV微影圖案化之微影系統保持在真空或低壓環境中,以避免EUV強度損失。然而,含烴氣體存在於真空或低壓環境中。因而,在現實中,在EUV微影系統中,在曝光裝置200及腔室105中不可避免地存有不定氣態有機化合物400(可互換地稱為含烴氣體)的存在。
在本揭露內容中,術語遮罩、光遮罩、及遮罩模版為可互換地使用。在本實施例中,圖案化光學器件205c為反射遮罩。在實施例中,反射遮罩205c包含具有合適的材料之基材,諸如低熱膨脹材料或熔融石英。在各種範例中,材料包含摻雜有TiO2 之SiO2 或其他具有低熱膨脹之合適的材料。反射遮罩205c包含被沉積在基材上之多個反射層(multiple reflective layers;ML)。ML包含複數個膜對,諸如鉬矽(Mo/Si)膜對(例如,每個膜對中的矽層上方或下方之鉬層)。替代地,ML可包含鉬鈹(Mo/Be)膜對,或被配置成高度反射EUV光之其他合適的材料。遮罩205c可進一步包含被設置在ML上之覆蓋層,覆蓋層具有由金屬元素及至少一個非金屬元素所製成的頂部部分,以最小化ML的氧化。遮罩進一步包含被沉積在ML上之吸收層(可互換地稱為吸收層),諸如氮化鉭硼(TaBN)層。圖案化吸收層以界定積體電路(IC)的層。替代地,可在ML上之沉積另一反射層,並圖案化反射層以界定積體電路的層,從而形成EUV相移遮罩。
在本揭露內容的各種實施例中,經光阻劑塗覆基材210為半導體晶圓,諸如矽晶圓或待圖案化之其他類型的晶圓。
在一些實施例中,EUVL工具進一步包含其他模組或與其他模組整合(或耦合)。
如第1圖所圖示,EUV輻射源100包含目標液滴生成器115及從雷射產生的電漿(LPP)收集器110,被腔室105所包圍。在各種實施例中,目標液滴生成器115包含儲器以容納原料及及噴嘴120,將源材料的目標液滴DP通過噴嘴供應至腔室105中。
在一些實施例中,目標液滴DP為錫(Sn)、鋰(Li)、或Sn及Li的合金的液滴。在一些實施例中,目標液滴DP各具有在自約10微米(μm)至約100μm範圍內之直徑。舉例而言,在實施例中,目標液滴DP為錫液滴,具有約10μm至約100μm的直徑。在其他實施例中,目標液滴DP為具有約25μm至約50μm的直徑之錫液滴。在一些實施例中,通過噴嘴120以自每秒約50液滴(即,約50 Hz的噴射頻率)至每秒約50000液滴(即,約50 kHz的噴射頻率)範圍之速率,供應目標液滴DP。
再次參考第1圖,藉由激發雷射源300所生成之激發雷射LR2為脈衝雷射。藉由雷射脈衝LR2生成激發雷射源300。激發雷射源300可包含雷射生成器310、雷射引導光學器件320、及聚焦設備330。在一些實施例中,雷射生成器310包含二氧化碳(CO2 )或摻雜釹釔鋁石榴石(Nd:YAG)雷射源,雷射源具有在電磁光譜的紅外區中之波長。舉例而言,在實施例中,雷射生成器310具有約9.4μm或約10.6μm的波長。將藉由雷射生成器310所生成之雷射LR1,藉由雷射引導光學器件320引導,並藉由聚焦設備330聚焦至激發雷射LR2中,且接著引至EUV輻射源100中。
在一些實施例中,激發雷射LR2包含預熱雷射及主雷射。在此等實施例中,將預熱雷射脈衝(在本文中可互換地稱為「預脈衝」)用於加熱(或預熱)給定的目標液滴,以創建具有多個較小液滴之低密度目標羽流,此羽流隨後被來自主雷射之脈衝加熱(或再加熱),而生成EUV光的增加發射。
在各種實施例中,預熱雷射脈衝具有約100μm或更小的光點大小,且主雷射脈衝具有約150μm至約300μm的範圍內之光點大小。在一些實施例中,預熱雷射及主雷射脈衝具有自約10ns至約50ns範圍內之脈衝持續時間,及自約1kHz至約100kHz的範圍內之脈衝頻率。在各種實施例中,預熱雷射及主雷射具有自約1千瓦(kW)至約50 kW範圍內之平均功率。在實施例中,激發雷射LR2的脈衝頻率與目標液滴DP的噴射頻率匹配(例如,與之同步)。
將激發雷射LR2引導通過窗口(或透鏡)至激發區ZE中。窗口是由對雷射光束而言大致地透明之合適的材料所製成。脈衝雷射的生成與通過噴嘴120的目標液滴DP的噴射同步。當目標液滴移動通過激發區時,預脈衝加熱目標液滴並將其轉換成低密度目標羽流。控制預脈衝及主脈衝間之延遲以允許目標羽流形成並擴展至最佳大小及幾何形狀。在各種實施例中,預脈衝及主脈衝具有相同的脈衝持續時間及峰值功率。當主脈衝加熱目標羽流時,生成高溫電漿。電漿發射EUV輻射EUV,藉由由收集器鏡110收集此輻射。收集器110進一步反射並聚焦EUV輻射,用於藉由曝光裝置200所進行之微影曝光製程。液滴捕獲器125用於捕獲多餘的目標液滴。舉例而言,雷射可故意地遺漏脈衝一些目標液滴。
在一些實施例中,將收集器110設計為具有適當的塗層材料及並塑形以作為EUV收集、反射、及聚焦之鏡子。在一些實施例中,將收集器110設計成具有橢圓形的幾何形狀。在一些實施例中,收集器110的塗層材料類似於EUV遮罩的反射多層。在一些範例中,收集器110的塗層材料包含ML(例如,複數個Mo/Si膜對),並可進一步包含被塗覆在ML上以大致地反射EUV光之覆蓋層(諸如Ru)。在一些實施例中,收集器110可進一步包含光柵結構,將光柵結構設計成有效地散射引導至收集器110上之雷射光束。舉例而言,在收集器110上塗覆氮化矽層並圖案化氮化矽層以具有光柵圖案化。
在此 EUV輻射源中,藉由雷射應用所致使之電漿創建氣態有機化合物400的解離。舉例而言,在EUV微影期間,氣態有機化合物400趨於帶負電荷。帶負電荷的氣態有機化合物400將不符合需求地與反射遮罩205c中之覆蓋層中之Ru材料交聯,此舉繼而導致碳非必要地被沉積在覆蓋層上,因而降低被形成在經光阻劑塗覆基材21上之所得圖案化的臨界尺寸(critical dimension;CD)。本揭露內容的實施例提供用於覆蓋層之改善的組合物,以便防止解離的氣態有機化合物與覆蓋層中之Ru材料交聯。
第3A圖、第3B圖、第3C圖、及第3D圖為根據一些實施例之用於形成極紫外線(EUV)遮罩之製程的各種階段的截面視圖。應當瞭解,對於方法的額外實施例而言,可在第3A圖、第3B圖、第3C圖及第3D圖所圖示之製程之前、期間、及之後提供額外的操作,且可替換或消除後文所描述之一些操作。可互換操作/製程的順序。
參考第3A圖。依次在基材10之上形成矽及鉬的多個交替層的多層Mo/Si堆疊15及覆蓋層20。
在一些實施例中,基材10是由低熱膨脹材料所形成日。在一些實施例中,基材10為低熱膨脹玻璃或石英,諸如熔融矽石或熔融石英。在一些實施例中,低熱膨脹玻璃基材透射在可見波長處之光、接近可見光譜之一部分的紅外波長(近紅外)、及一部分的紫外線波長。在一些實施例中,低熱膨脹玻璃基材吸收極紫外線線波長及接近極紫外線之深紫外線波長。
在一些實施例中,Mo/Si多層堆疊15包含矽及鉬各自自約30個交替層至矽及鉬各自至約60個交替層。在一些實施例中,藉由化學氣相沉積(CVD)、電漿加強CVD(PECVD)、原子層沉積(ALD)、物理氣相沉積(PVD)(濺鍍)、或任何其他合適的膜形成的方法形成矽層及鉬層。在一些實施例中,矽及鉬的層為約相同的厚度。在其他實施例中,矽和鉬的層為不同的厚度。
在多層Mo/Si堆疊15之上設置覆蓋層20以防止在遮罩圖案化製程及吸收層修復製程期間,多層Mo/Si堆疊15的氧化。此外,覆蓋層20在吸收層圖案化製程中充當蝕刻停止。在一些實施例中,藉由化學氣相沉積(CVD)、電漿加強化學氣相沉積(PECVD)、原子層沉積(ALD)、物理氣相沉積(PVD)、或任何其他合適的膜形成方法形成覆蓋層20。覆蓋層20具有第一組合物。在一些實施例中,第一組合物包含一個具有金屬鍵之純金屬元素,諸如過渡金屬。舉例而言,第一組合物包含釕(Ru)。
參考第3B圖。在覆蓋層20上進行摻雜製程500以採用摻雜劑摻雜覆蓋層20的頂部部分,以形成摻雜的頂部部分20A。覆蓋層20的底部部分20B可保持未摻雜或具有比頂部部分20A更低的摻雜劑濃度。摻雜製程500可包含離子植入及/或其他合適的製程。在一些實施例中,摻雜劑包含與釕具有高電負度差異之至少一個非金屬元素。舉例而言,摻雜劑(可互換地稱為雜質)為鹵素元素(例如,氟、氯、或類似物)、五價元素(氮、或類似物)、或六價元素(氧、或類似物)。氟元素的前驅物可包含含氟氣體。藉由範例而非限制的方式,含氟氣體可為GeF4 、NF3 、CF4 、C2 F6 、F2 、類似物、或其等的混合物。氯元素的前驅物可包含含氯氣體。藉由範例而非限制的方式,含氯氣體可包含Cl2 、CHCl3 、CCl4 BCl3 、類似物、或其等的混合物。五價元素的前驅物(氮、或類似物)可包含含氮氣體。藉由範例而非限制的方式,含氮氣體可包含氨(NH3 )、二甲基胺(N(CH3 )2 _、二甲基胺(N(C2 H5 )2 _、類似物、或其等的混合物。六價元素(氧氣、或類似物)的前驅物可包含含氧氣體。藉由範例而非限制的方式,含氧氣體包含H2 O、D2 O、O3 、O2 、類似物、或其等的混合物。
一旦完成摻雜製程500,摻雜的頂部部分20A具有與大致地未摻雜的底部部分20B的第一組合物不同之第二組合物。第二組合物的分子量大於第一組合物的分子量。在一些實施例中,第二組合物包含金屬元素及摻雜的雜質(例如,鹵素雜質、五價雜質、或六價雜質)。特別地,第二組合物及第一組合物具有不同的化學鍵類型。在第一組合物包含過渡金屬之一些實施例中,由於過渡金屬具有接受電子之趨勢,所以摻雜的雜質可與過渡金屬反應以形成穩定配位配合物。在第一組合物包含釕之一些實施例中,第二組合物可包含至少一個釕配合物,諸如Rux O1-x 、Rux N1-x 、Rux Ay O1-x-y (其中A為鹵素原子)、或類似物。換言之,第二組合物包含帶配體之釕配合物,配體具有鹵素元素、五價元素、六價元素、或其等的組合。釕與鹵素元素、五價元素、或六價元素形成具有高電負度差異之化學鍵(例如,鹵素元素的離子鍵),此舉繼而使釕處於16或18的價數之穩定的狀態。由於鹵素元素、五價元素、或六價元素可填充釕中之電子空位,因此可防止EUV微影系統中之不定氣態有機化合物400與覆蓋層20的摻雜的頂部部分20A間之非必要的交聯,將在後文更詳細地描述。
參考第3C圖。在覆蓋層20之上設置吸收層25。在吸收層25之上設置抗反射層30。因而,形成EUV光空白遮罩5。在一些實施例中,吸收層25為Ta基的材料。在一些實施例中,吸收層25是由TaN及/或TaBN所製成。在一些實施例中,藉由化學氣相沉積、電漿加強化學氣相沉積、原子層沉積、物理氣相沉積、或任何其他合適的膜形成方法,以形成吸收層25。在本揭露內容的一些實施例中,抗反射層30由包含SiO2 、SiN、TaBO、TaO5 、Cr2 O3 、ITO(銦錫氧化物)的材料、或任何合適的材料所形成。抗反射層30減少光微影輻射的反射。
參考第3D圖。藉由進行蝕刻步驟600以圖案化吸收層25及抗反射層30,在EUV光空白遮罩5(見圖3C)上形成一個或更多個電路圖案50。因而,形成EUV遮罩6。EUV遮罩6為反射遮罩,且Mo/Si多層堆疊15反射EUV光,而吸收層25吸收EUV光。在圖案化期間,部分地去除吸收層25及抗反射層30。舉例而言,蝕刻吸收層25以暴露覆蓋層20的摻雜的頂部部分20A。此外,形成圍繞電路圖案區並穿透至基材10之黑色邊界區域60。藉由使用一種或更多種微影法(例如,電子光束微影法)及蝕刻操作,以形成電路圖案50。在一些範例中,藉由吸收層25覆蓋其中沒有形成電路圖案之區域,因而不致反射EUV光。
第4A圖及第4B圖例示若覆蓋層不含鹵素元素、五價元素、或六價元素,在EUV微影製程期間,Ru覆蓋層上如何發生交聯,第4A圖為根據一些實施例之在EUV輻射的暴露下之EUV遮罩6的截面視圖。第4B圖為例示由被沉積在第4A圖中之EUV遮罩6的覆蓋層20的摻雜的頂部部分20A上之氣態有機化合物所形成之烴的機制S100之簡圖。參考第4A圖及第4B圖。為清楚起見,將機制S100的步驟標記為S102、S104、S106、及S108。如所例示,EUV輻射入射在EUV遮罩6上。在步驟S102中,EUV輻射引發氣態有機化合物400(諸如烴(hydrocarbon))的分離,以形成烴碎片402。烴碎片402並非為交聯結構。因而,烴碎片402對於EUV輻射為可分離,且大多數的烴碎片402並不趨於被沉積在摻雜的頂部部分20A的表面上。在一些實施例中,少數的烴碎片402可被沉積在摻雜的頂部部分20A的表面上。舉例而言,在步驟S104中,烴碎片可在覆蓋層20的摻雜的頂部部分20A的表面上吸收。接著,在步驟S106中,烴碎片402沿著摻雜的頂部部分20A的表面擴散。與釕覆蓋層的頂部部分沒有鹵素元素、五價元素、或六價元素的情況相比較,可減少藉由二次電子(secondary electron)SE(帶有藉由EUV照射所引發之小於約20eV的能量)所致使在烴碎片402及覆蓋層的摻雜的頂部部分20A間之交聯所形成的不符合需求的交聯結構404(步驟S108)。這是由於在覆蓋層20的摻雜的頂部部分20A中之釕的電子空位已藉由鹵素元素、五價元素、或六價元素所填充。
第5A圖、第5B圖及第5C圖為根據一些實施例之用於形成極紫外線(EUV)遮罩之製程的各種階段的截面視圖。參考第5A圖。除了在形成上層的吸收層25之前,覆蓋層20a保持未摻雜而非經摻雜外,第5A圖圖示與EUV光空白遮罩5類似的另一EUV光空白遮罩5a。更詳細而言,EUV光空白遮罩5a包含基材10、Mo/Si多層堆疊15、覆蓋層20a、吸收層25、及抗反射層30。如第5A圖所圖示,如先前參照第3A圖及第3C圖所論述,使用合適的方法依次在基材10之上形成Mo/Si多層堆疊15、覆蓋層20a、吸收層25、及抗反射層30。
參考第5B圖。藉由進行蝕刻步驟600a以圖案化吸收層25及抗反射層30,以在EUV光空白遮罩5a上形成一個或更多個電路圖案50。在蝕刻步驟600a期間,部分地去除吸收層25及抗反射層30。因而,部分地暴露覆蓋層20a的頂部部分。此外,形成圍繞電路圖案區並穿透至基材之黑色邊界區域60。藉由使用一種或更多種微影法(例如,電子光束微影法)及蝕刻操作,以形成電路圖案50。在一些範例中,藉由吸收層25覆蓋其中沒有形成電路圖案之區域,因而不致反射EUV光。
參考第5C圖。特別地,在一些實施例中,在進行蝕刻步驟600a之後,在藉由電路圖案50所暴露之覆蓋層20a的頂部部分上採用摻雜劑進行摻雜製程500a,以形成複數個摻雜的頂部部分20aA。因而,形成EUV遮罩6a。分別在摻雜頂部部分20aA正下方之覆蓋層20a的底部20aB保持大致地未摻雜或具有比摻雜的頂部部分20aA更低的摻雜雜質濃度,且在摻雜製程500a期間,藉由吸收層25所覆蓋之覆蓋層20a的其餘部分保持大致地未摻雜。摻雜製程500a可包含離子植入或類似者。在一些實施例中,摻雜劑包含與釕具有高電負度差異之至少一個非金屬元素。舉例而言,摻雜劑(可互換地稱為雜質)為鹵素元素(例如,氟、氯、或類似物)、五價元素(氮、或類似物)、或六價元素(氧、或類似物)。在一些實施例中,抗反射層30及下層的吸收層25在摻雜製程500a期間充當植入遮罩,使得當從上方觀看時,摻雜的頂部部分20aA具有與電路圖案50相同的圖案化。由於在摻雜製程500a期間暴露抗反射層30,所以抗反射層30的頂部部分可能被無意地摻雜有鹵素元素、五價元素、或六價元素。在此等情況下,抗反射層30的頂部部分具有與覆蓋層20a的摻雜的頂部部分20aA中相同的鹵素元素、五價元素、或六價元素。
一旦完成摻雜製程500a,摻雜的頂部部分20aA具有與大致地未摻雜的底部部分20aB及藉由吸收層25所覆蓋之部分的覆蓋層20a的第一組合物不同之第二組合物。第二組合物的分子量大於第一組合物的分子量。第二組合物包含金屬元素及摻雜的雜質(例如,鹵素元素、五價元素、或六價雜質)。特別地,第二組合物及第一組合物具有不同的化學鍵類型。在第一組合物包含過渡金屬之一些實施例中,由於過渡金屬具有接受電子之趨勢,所以摻雜的雜質可與過渡金屬反應以形成穩定配位配合物。在第一組合物包含釕之一些實施例中,第二組合物可包含至少一個釕配合物,諸如Rux O1-x 、Rux N1-x 、Rux Ay O1-x-y (其中A為鹵素原子)、或類似物。釕與鹵素元素、五價元素、或六價元素形成具有高電負度差異之化學鍵(例如,鹵素元素的離子鍵),使得釕處於16或18的價數之穩定的狀態。由於鹵素元素、五價元素、或六價元素可填充釕中之電子空位,因此可防止EUV微影系統中之不定氣態有機化合物與覆蓋層20a的摻雜的頂部部分20aA間之非必要交聯。
第6圖為根據一些實施例之用於形成極紫外線(EUV)遮罩6a'之製程的各種階段的截面視圖。參考第6圖。在一些其他實施例中,在EUV光空白遮罩5a(參見第5B圖)上所進行之蝕刻製程600a'期間,藉由添加摻雜劑(諸如氮、鹵素、及/或氧)原位摻雜藉由電路圖案50所暴露之覆蓋層20a'的頂部部分,以形成電路圖案50。換言之,在將鹵素雜質、五價雜質、或六價雜質原位摻雜至覆蓋層20a'的暴露的頂部部分中之同時,進行蝕刻製程600a'的步驟。因而,採用電路圖案50暨摻雜覆蓋層20a'形成EUV遮罩6a'。藉由範例而非限制的方式,可藉由使用含氧氣體、含氟氣體(例如,CF4 、SF、CH2 F、CHF3 、及/或C2 F6 )、含氯氣體(例如,Cl2 、CHCl3 、 CCl、及/或BCl3 )、含溴氣體(例如,HBr、及/或CHBR3 )、含碘氣體、其他合適的氣體、及/或電漿、及/或其等的組合,進行蝕刻製程。原位可藉由引入鹵素元素的前驅物(例如,氟、氯、或類似物)、五價元素(氮、或類似物)、或六價元素(氧、或類似物),進行在蝕刻製程600a'期間之原位摻雜製程。氟元素的前驅物可包含含氟氣體,諸如GeF4 、NF3 、CF4 、C2 F6 、F2 、類似物、或其等的混合物。氯元素的前驅物可包含含氯氣體諸如Cl2 、CHCl3 、CCl4 BCl3 、類似物、或其等的混合物。五價元素(氮或類似者)的前驅物可包含,但不限於,氨(NH3 )、二甲基胺(N(CH3 )2 )、二甲基胺(N(C2 H5 )2 )、類似物、或以上的混合物。六價元素(氧或類似者)的前驅物可包含,但不限於,H2 O、D2 O、O3 、O2 、類似物、或其等的混合物。
分別在摻雜的頂部部分20aA'的正下方之覆蓋層20a'的底部部分20aB'大致地保持未摻雜或具有比摻雜的頂部部分20aA'更低的摻雜的雜質濃度。直接在吸收層30正下方之部分的覆蓋層20a'亦保持大致地未摻雜。在一些實施例中,抗反射層30及下層的吸收層25在原位摻雜製程期間充當植入遮罩,使得當從上方觀看時,摻雜的頂部部分20aA'具有與電路圖案50相同的圖案化。在一些實施例中,抗反射層30的頂部部分可能被無意地摻雜有鹵素雜質、五價雜質、或六價雜質。在此等情況下,抗反射層30的頂部部分具有與覆蓋層20a'的摻雜的頂部部分20aA'中之鹵素元素、五價元素、或六價元素相同的元素。
第7A圖圖示例示根據本揭露內容的一些實施例之製造EUV遮罩的方法S200之流程圖。應當瞭解,對於方法的額外實施例而言,在繼續的製造製程中,可在第7A圖所圖示之階段之前、期間、及之後提供一個或更多個額外的操作,且可替換或消除後文所描述之一些操作。可互換操作/製程的順序。在步驟S202處,依次在基材之上形成矽及鉬及覆蓋層的多個交替層的多層Mo/Si堆疊。在步驟S204處,在覆蓋層上進行摻雜製程,採用鹵素雜質、五價雜質、或六價雜質摻雜覆蓋層的頂部部分,以形成摻雜的頂部部分。在步驟S206處,在覆蓋層的摻雜的頂部部分上形成吸收層及抗反射層以形成EUV光空白遮罩。在步驟S208處,藉由圖案化抗反射層及吸收層以暴露覆蓋層的摻雜的頂部部分,以在EUV光空白遮罩上形成一個或更多個電路圖案。
第7B圖圖示例示根據本揭露內容的一些實施例之製造EUV遮罩的方法S300之流程圖。應當瞭解,對於方法的額外實施例而言,在繼續的製造製程中,可在第7B圖所圖示之階段之前、期間、及之後提供一個或更多個額外的操作,且可替換或消除後文所描述之一些操作。可互換操作/製程的順序。在步驟S302處,依次在基材上形成Mo/Si多層堆疊、覆蓋層、吸收層、及抗反射層,以形成EUV光空白遮罩。在步驟S304處,藉由圖案化抗反射層及吸收層,以在EUV光空白遮罩上形成一個或更多個電路圖案。在步驟S306處,進行摻雜製程以採用鹵素雜質、五價雜質、或六價雜質摻雜藉由電路圖案所暴露之覆蓋層的頂部部分。
第7C圖圖示例示製造EUV遮罩的方法S400之流程圖,此EUV遮罩用於根據本揭露內容的實施例之半導體製造操作。在步驟S402處,依次在基材上形成Mo/Si多層堆疊、覆蓋層、吸收層、及抗反射層以形成EUV光空白遮罩。在步驟S404處,藉由圖案化抗反射層及吸收層,在EUV光空白遮罩上形成一個或更多個電路圖案50,且圖案化步驟與將鹵素雜質、五價雜質、或六價雜質原位摻雜至覆蓋層中同時進行。
第8圖圖示例示根據本揭露內容的實施例之極紫外線微影(EUVL)的方法S500之流程圖。在一些實施例中,藉由第9A圖及第9B圖的電腦系統900進行方法S500。方法S500包含在包含氣態有機化合物之EUV微影系統中生成電漿的操作S502。EUV微影系統使用從輻射源所發射之EUV輻射,以形成EUV輻射的投影光束。在操作S504中,將EUV遮罩暴露於EUV輻射的投影光束。氣態有機化合物並未與EUV遮罩交聯。在操作S506中,將經光阻劑塗覆的半導體晶圓暴露於從EUV遮罩反射之EUV輻射的投影光束。如第1圖所例示,藉由開啟雷射源300及液滴生成器115,以觸發操作S502,且只要將光學器件205a至205e合適地定向以引導EUV投影光束,則一旦觸發操作S502,操作S504及S506自然地發生。
第9A圖及第9B圖圖示根據本揭露內容的實施例之光遮罩數據生成設備。第9A圖為根據前文所述之一個或更多個實施例之執行光遮罩數據生成製程之電腦系統的示意圖。可使用電腦硬體及在電腦硬體執行之電腦程式實現上述實施例的製程、方法、及/或操作的全部或一部分。在第9A途中,電腦系統900配備有電腦901,電腦901包含光碟唯讀記憶體(例如、CD-ROM(read only memory)或DVD-ROM)驅動器905、及磁碟驅動器906、鍵盤902、滑鼠903、及監控器904。
第9B圖為圖示電腦系統900的內部配置之簡圖。在第9B圖中,除了光碟驅動器905及磁碟驅動器906外,電腦901亦配備有一個或更多個處理器911,諸如微處理單元(MPU)、在其中儲存諸如啟動程式之程式的ROM 912、被連接至MPU 911並在其中提供被暫時地儲存之應用程式的指令及的暫時儲存區域之隨機存取記憶體(RAM)913、在其中儲存應用程式、系統程式、及數據之硬碟914、及連接MPU 911、ROM 912、及類似物之匯流排915。注意到,電腦901可包含用於提供至LAN的連接之網路卡(未圖示)。
可將上述實施例中用於致使電腦系統900執行光遮罩數據生成設備的功能之程式,儲存在電腦、光碟921、或磁碟922中,將其等插至光碟驅動器905、或磁碟驅動器906中,並傳輸至硬碟914。替代地,可經由網路(未圖示)將程式傳輸至電腦901並儲存在硬碟914中。在執行的時間,將程式加載至RAM 913中。可從光碟921或磁碟922或直接從網路加載程式。
程式不必然必需包含,舉例而言,操作系統(OS)或第三方程式,以致使電腦901執行上述實施例中之光遮罩數據生成設備的功能。程式可僅包含指令部分,以在受控模式下調用適當的功能(模組)並獲得符合需求的結果。
在程式中,在一些實施例中,藉由程式所實現久功能不包含僅可藉由硬體所實現之功能。舉例而言,在一些實施例中,在藉由上述程式所實現之功能中不包含在獲取資訊之獲取單元或輸出資訊的輸出單元中,僅可藉由(諸如網路介面之)硬體所實現之功能。此外,執行程式之電腦可為單一電腦或可為多個電腦。
進一步地,在一些實施例中,用以實現光遮罩數據生成設備的功能之程式的全部或一部分為用於光遮罩產製製程中之另一程式的一部分。此外,在一些實施例中,藉由,舉例而言,由半導體元件所製成的ROM實現光遮罩數據生成設備的功能之程式的全部或一部分。
基於前文的論述,本揭露內容提供優點。然而,應當瞭解,其他實施例可提供額外的優點,且在本文中不必然揭露所有優點,且對於所有實施例均不需要特定的優點。一個優點為,藉由採用鹵素元素、五價元素、六價元素、或其等的組合,摻雜釕覆蓋層,可減輕EUV遮罩中之釕覆蓋層上之非必要的碳沉積,此舉繼而將應對使用EUV微影技術所形成之所得圖案化中之臨界尺寸降解問題。另一個優點為,釕覆蓋層的底部部分保持大致地未摻雜(即,保持大致地純的釕),因而覆蓋層仍可保護下層的Mo/Si ML結構免受非必要的氧化。
在一些實施例中,一種形成極紫外線(EUV)遮罩的方法,包含形成多層Mo/Si疊層,此多層Mo/Si疊層包括在遮罩基材之上交替堆疊的Mo層及Si層;在多層Mo/Si疊層之上形成釕覆蓋層;採用鹵素元素、五價元素、六價元素、或其等的組合摻雜釕覆蓋層;在釕覆蓋層之上形成吸收層;蝕刻吸收層以在吸收層中形成圖案。
在一些實施例中,摻雜釕覆蓋層之步驟為在形成吸收層之步驟之前所進行。
在一些實施例中,摻雜釕覆蓋層為之步驟為在蝕刻吸收層之步驟之後所進行。
在一些實施例中,摻雜釕覆蓋層之步驟為與蝕刻吸收層之步驟所原位進行。
在一些實施例中,完成摻雜釕覆蓋層之步驟之後,釕覆蓋層的底部部分大致地保持未摻雜。
在一些實施例中,方法進一步包括以下步驟。在蝕刻吸收層之步驟之前,在吸收層之上形成抗反射層,其中在形成抗反射層之步驟之前,進行摻雜釕覆蓋層之步驟。
在一些實施例中,方法進一步包括以下步驟。在蝕刻吸收層之步驟之前,在吸收層之上形成抗反射層,其中在形成抗反射層之步驟之後,進行摻雜釕覆蓋層之步驟。
在一些實施例中,方法進一步包括以下步驟。在蝕刻吸收層之步驟之前,在吸收層之上形成抗反射層,其中進行摻雜釕覆蓋層之步驟使得抗反射層的頂部部分被摻雜。
在一些實施例中,一種極紫外線微影(EUVL)方法,包含開啟液滴生成器,以將金屬液滴朝著收集器前面之激發區噴射;開啟雷射源以將雷射朝向激發區域發射,使得金屬液滴藉由雷射所加熱以生成EUV輻射;藉由使用一個或更多個第一光學器件,將EUV輻射朝向曝光裝置中之反射遮罩引導,反射遮罩包括覆蓋層,覆蓋層具有帶配體之釕配合物,配體具有鹵素元素、五價元素、六價元素、或其等的組合;及藉由使用一個或更多個第二光學器件,將EUV輻射從反射遮罩反射,朝向曝光裝置中之經光阻劑塗覆基材引導。
在一些實施例中,在生成EUV輻射期間,反射遮罩的覆蓋層並未與曝光裝置中之含烴氣體交聯。
在一些實施例中,含烴氣體進一步存在於收集器所位於之腔室中。
在一些實施例中,含烴氣體是藉由EUV輻射所分離。
在一些實施例中,反射遮罩的覆蓋層的底部部分不具有釕配合物。
在一些實施例中,反射遮罩進一步包括與不具有釕配合物之一部分的覆蓋層接觸之多層Mo/Si堆疊。
在一些實施例中,反射遮罩進一步包括抗反射層,採用鹵素元素、五價元素、或六價元素摻雜抗反射層的頂部部分。
在一些實施例中,極紫外線(EUV)遮罩包含多層Mo/Si堆疊、覆蓋層、及圖案化吸收層。多層Mo/Si堆疊包含設置在遮罩基材之上之交替堆疊的Mo層及Si層。覆蓋層在多層Mo/Si堆疊上。覆蓋層的頂部部分具有第一組合物,第一組合物與在覆蓋層的頂部部分之下之覆蓋層的底部部分的第二組合物不同。圖案化吸收層在覆蓋層上。
在一些實施例中,覆蓋層的頂部部分的第一組合物包括帶配體之釕配合物,釕配合物與具有鹵素元素、五價元素、六價元素或以上之組合。
在一些實施例中,覆蓋層的底部部分的第二組合物不具有鹵素元素、五價元素或六價元素。
在一些實施例中,藉由圖案化吸收層部分地暴露覆蓋層的頂部部分。
在一些實施例中,EUV遮罩進一步包括在圖案化吸收層之上之圖案化抗反射層,圖案化抗反射層具有與覆蓋層頂部部分的第一組合物相同之鹵素元素、五價元素、或一六價元素。
上述概述數種實施例的特徵,因而熟習此項技藝者可更瞭解本揭露內容的態樣。熟習此項技藝者應當理解,熟習此項技藝者可輕易地使用本揭露內容作為設計或修改其他製程及結構之基礎,以實現本文中所介紹之實施例的相同目的及/或達成相同優點。熟習此項技藝者亦應當認知,此等效構造不脫離本揭露內容的精神及範圍,且在不脫離本揭露內容之精神及範圍之情況下,熟習此項技藝者可在本文中進行各種改變、替換、及變更。
BF:基礎地板 DP:目標液滴 DP1,DP2:減震器 LR1:雷射 LR2:雷射脈衝 MF:主地板 PP1,PP2:基座板 ZE:EUV光輻射器 5,5a:EUV光空白遮罩 6,6a,6a':EUV遮罩 10:基材 15:多層Mo/Si堆疊 20:覆蓋層 20A,20aA,20aA':摻雜的頂部部分 20B,20aB:覆蓋層的底部部分 20a,20a:覆蓋層 25:吸收層 30:抗反射層 50:電路圖案 60:黑色邊界區域 100:EUV輻射源 S100:機制 S102~S108,S202~S208:步驟 S302~S306,S402,S404:步驟 105:腔室 110:收集器 115:目標液滴生成器 120:噴嘴 200:曝光裝置 S200,S300,S400:方法 S500,S600:方法 205a~205e:光學器件 210:基材 300:掃描器及激發雷射源 310:雷射生成器 320:雷射引導光學器件 330:聚焦設備 400:不定氣態有機化合物 402:烴碎片 404:交聯結構 500,500a:摻雜製程 S502~S506:操作 600,600a:蝕刻步驟 600a':蝕刻製程 900:電腦系統 901:電腦 902:鍵盤 903:滑鼠 904:監控器 905:光碟唯讀記憶體 906:磁碟驅動器 911:處理器 912:唯讀記憶體 913:隨機存取記憶體 914:硬碟 915:匯流排 921:光碟 922:磁碟
當與隨附圖示一起閱讀時,可由後文實施方式最佳地理解本揭露內容的態樣。注意到根據此產業中之標準實務,各種特徵並未按比例繪製。實際上,為論述的清楚性,可任意增加或減少各種特徵的尺寸。 第1圖為根據本揭露內容的一些實施例之具有從雷射產生的電漿的EUV輻射源的EUV微影工具的示意圖。 第2圖為根據本揭露內容的實施例之極紫外線微影工具的細節的簡化示意簡圖,圖示採用EUV光的圖案化光束對經光阻劑塗覆基材的曝光。 第3A圖、第3B圖、第3C圖、及第3D圖為根據一些實施例之用於形成極紫外線(EUV)遮罩之製程的各種階段的截面視圖。 第4A圖為根據一些實施例之在EUV輻射的曝光下之極紫外線(EUV)遮罩的截面視圖。 第4B圖為例示來自在EUV遮罩上之氣態有機化合物沉積物之烴的機制之簡圖。 第5A圖、第5B圖、及第5C圖為根據一些實施例之用於形成極紫外線(EUV)遮罩之製程的各種階段的截面視圖。 第6圖為根據一些實施例之用於形成極紫外線(EUV)遮罩之製程的各種階段的截面視圖。 第7A圖圖示例示製造EUV遮罩的方法之流程圖,此EUV遮罩用於根據本揭露內容的實施例之半導體製造操作。 第7B圖圖示例示製造EUV遮罩的方法之流程圖,此EUV遮罩用於根據本揭露內容的實施例之半導體製造操作。 第7C圖圖示例示製造EUV遮罩的方法之流程圖,此EUV遮罩用於根據本揭露內容的實施例之半導體製造操作。 第8圖圖示例示根據本揭露內容的實施例之極紫外線微影(EUVL)的方法之流程圖。 第9A圖及第9B圖圖示根據本揭露內容的實施例之光遮罩數據生成設備。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
6:EUV遮罩
10:基材
15:多層Mo/Si堆疊
20:覆蓋層
20A:摻雜的頂部部分
20B:覆蓋層的底部部分
25:吸收層
30:抗反射層
50:電路圖案
60:黑色邊界區域
600:蝕刻步驟

Claims (20)

  1. 一種形成極紫外線(EUV)遮罩的方法,包括以下步驟: 在一遮罩基材之上形成包括交替堆疊的Mo層及Si層之一多層Mo/Si堆疊; 在該多層Mo/Si堆疊之上形成一釕覆蓋層; 採用一鹵素元素、一五價元素、一六價元素或以上之組合,摻雜該釕覆蓋層; 在該釕覆蓋層之上形成一吸收層;及 蝕刻該吸收層以在該吸收層中形成一圖案。
  2. 如請求項1所述之方法,其中該摻雜釕覆蓋層之步驟為在形成該吸收劑層之步驟之前所進行。
  3. 如請求項1所述之方法, 其中該摻雜釕覆蓋層為之步驟為在蝕刻該吸收劑層之步驟之後所進行。
  4. 如請求項1所述之方法,其中該摻雜釕覆蓋層之步驟為與蝕刻該吸收劑層之步驟所原位進行。
  5. 如請求項1所述之方法,其中,在完成摻雜該釕覆蓋層之步驟之後,該釕覆蓋層的一底部部分大致地保持未摻雜。
  6. 如請求項1所述之方法,進一步包括以下步驟: 在蝕刻吸收劑層之步驟之前,在該吸收劑層之上形成一抗反射層,其中在形成該抗反射層之步驟之前,進行摻雜該釕覆蓋層之步驟。
  7. 如請求項1所述之方法,進一步包括以下步驟: 在蝕刻該吸收劑層之步驟之前,在吸收劑層之上形成一抗反射層,其中在形成該抗反射層之步驟之後,進行摻雜該釕覆蓋層之步驟。
  8. 如請求項1所述之方法,進一步包括以下步驟: 在蝕刻該吸收劑層之步驟之前,在該吸收劑層之上形成一抗反射層,其中進行摻雜該釕覆蓋層之步驟使得該抗反射層的一頂部部分被摻雜。
  9. 一種極紫外線微影(EUVL)方法,包括以下步驟: 開啟一液滴生成器,以將一金屬液滴朝著一收集器前面之一激發區噴射; 開啟一雷射源,以將一雷射朝向該激發區域發射,使得該金屬液滴藉由該雷射所加熱以生成EUV輻射; 藉由使用一個或更多個第一光學器件,將該EUV輻射朝向一曝光裝置中之一反射遮罩引導,該反射遮罩包括一覆蓋層,該覆蓋層具有帶一配體之釕配合物,該配體具有一鹵素元素、一五價元素、一六價元素、或其等的組合;及 藉由使用一個或更多個第二光學器件,將該EUV輻射從該反射遮罩反射,朝向該曝光裝置中之一經光阻劑塗覆基材引導。
  10. 如請求項9所述之方法,其中在生成該EUV輻射期間,該反射遮罩的該覆蓋層並未與該曝光裝置中之一含烴氣體交聯。
  11. 如請求項10所述之方法,其中該含烴氣體進一步存在於該收集器所位於之一腔室中。
  12. 如請求項10所述之方法,其中該含烴氣體是藉由該EUV輻射所離解。
  13. 如請求項9所述之方法,其中該反射遮罩的該覆蓋層的一底部部分不具有釕配合物。
  14. 如請求項9所述之方法,其中該反射遮罩進一步包括與不具有釕配合物之一部分的該覆蓋層接觸之一多層Mo/Si堆疊。
  15. 如請求項9所述之方法,其中該反射遮罩進一步包括一抗反射層,採用該鹵素元素、該五價元素、或該六價元素摻雜該抗反射層的一頂部部分。
  16. 一種極紫外線(EUV)遮罩,包括: 一多層Mo/Si堆疊,包括設置在一遮罩基材之上之交替堆疊的Mo及Si層; 在該多層Mo/Si堆疊上之一覆蓋層,其中該覆蓋層的一頂部部分具有一第一組合物,該第一組合物與在該覆蓋層的一頂部部分之下之該覆蓋層的一底部部分的一第二組合物不同;及 在該覆蓋層上之一經構圖吸收劑層。
  17. 如請求項16所述之EUV遮罩,其中該覆蓋層的該頂部部分的該第一組合物包括帶一配體之釕配合物,該釕配合物與具有一鹵素元素、一五價元素、一六價元素、或以上之組合。
  18. 如請求項16所述之EUV遮罩,其中該覆蓋層的該底部部分的該第二組合物不具有一鹵素元素、一五價元素、或一六價元素。
  19. 如請求項16所述之EUV遮罩,其中藉由該經構圖吸收劑層部分地暴露該覆蓋層的該頂部部分。
  20. 如請求項16所述之EUV遮罩,進一步包括: 在該經構圖吸收劑層之上之一經構圖抗反射層上,該經構圖抗反射層具有與該覆蓋層頂部部分的一第一組合物相同之一鹵素元素、一五價元素、或一六價元素。
TW110103334A 2020-06-12 2021-01-28 極紫外線遮罩的形成方法 TW202147034A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/900,384 US11392022B2 (en) 2020-06-12 2020-06-12 Extreme ultraviolet lithography method, extreme ultraviolet mask and formation method thereof
US16/900,384 2020-06-12

Publications (1)

Publication Number Publication Date
TW202147034A true TW202147034A (zh) 2021-12-16

Family

ID=77534053

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110103334A TW202147034A (zh) 2020-06-12 2021-01-28 極紫外線遮罩的形成方法

Country Status (3)

Country Link
US (2) US11392022B2 (zh)
CN (1) CN113359384A (zh)
TW (1) TW202147034A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11604406B2 (en) * 2019-07-24 2023-03-14 Intel Corporation Method and apparatus for fabrication of very large scale integration pattern features via electroless deposition on extreme ultraviolet lithography photomasks

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US11740549B2 (en) * 2021-04-08 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography method, extreme ultraviolet mask and formation method thereof

Also Published As

Publication number Publication date
US11392022B2 (en) 2022-07-19
US20230324804A1 (en) 2023-10-12
US20210389661A1 (en) 2021-12-16
CN113359384A (zh) 2021-09-07
US11720025B2 (en) 2023-08-08
US20220326598A1 (en) 2022-10-13

Similar Documents

Publication Publication Date Title
US20230359115A1 (en) Extreme ultraviolet mask and method of manufacturing the same
TWI490633B (zh) 極紫外線光罩的形成方法
US11774844B2 (en) Extreme ultraviolet mask and method of manufacturing the same
US11740549B2 (en) Extreme ultraviolet lithography method, extreme ultraviolet mask and formation method thereof
US11720025B2 (en) Extreme ultraviolet lithography method, extreme ultraviolet mask and formation method thereof
TWI708114B (zh) 極紫外光光罩及其製造方法
Seisyan Extreme ultraviolet nanolithography for ULSI: A review
US11714350B2 (en) Method of fabricating and servicing a photomask
US12072633B2 (en) Extreme ultraviolet lithography method, extreme ultraviolet mask and formation method thereof
US11360384B2 (en) Method of fabricating and servicing a photomask
US11914301B2 (en) Photoresist, method of manufacturing a semiconductor device and method of extreme ultraviolet lithography
US20230288807A1 (en) Photoresist, method of manufacturing a semiconductor device and method of extreme ultraviolet lithography
US20230259024A1 (en) Photoresist, method of manufacturing a semiconductor device and method of extreme ultraviolet lithography
US11762280B2 (en) Extreme ultraviolet light reflective structure including nano-lattice and manufacturing method thereof
TW201925906A (zh) 製作光罩的方法
US20220382168A1 (en) Method of manufacturing semiconductor devices using a photomask
US20240045318A1 (en) Extreme ultraviolet mask with diffusion barrier layer
US20150056541A1 (en) Blank masks for extreme ultra violet lithography, methods of fabricating the same, and methods of correcting registration errors thereof