CN113359384A - 极紫外线微影方法、极紫外线遮罩及其形成方法 - Google Patents

极紫外线微影方法、极紫外线遮罩及其形成方法 Download PDF

Info

Publication number
CN113359384A
CN113359384A CN202110653476.5A CN202110653476A CN113359384A CN 113359384 A CN113359384 A CN 113359384A CN 202110653476 A CN202110653476 A CN 202110653476A CN 113359384 A CN113359384 A CN 113359384A
Authority
CN
China
Prior art keywords
layer
mask
euv
ruthenium
capping layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110653476.5A
Other languages
English (en)
Inventor
石志聪
吴于勳
刘柏村
李宗泉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN113359384A publication Critical patent/CN113359384A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/52Reflectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)

Abstract

在一些实施例中,一种极紫外线微影方法、极紫外线遮罩及其形成方法,形成极紫外线(EUV)遮罩的方法包含形成多层Mo/Si叠层,此多层Mo/Si叠层包括在遮罩基材之上交替堆叠的Mo层及Si层;在多层Mo/Si叠层之上形成钌覆盖层;采用卤素元素、五价元素、六价元素、或其等的组合掺杂钌覆盖层;在钌覆盖层之上形成吸收层;蚀刻吸收层以在吸收层中形成图案。

Description

极紫外线微影方法、极紫外线遮罩及其形成方法
技术领域
本揭露是有关于一种极紫外线微影方法、极紫外线遮罩及其形成方法。
背景技术
半导体集成电路系统(semiconductor integrated circuit;IC)产业已经历快速的成长。在集成电路材料及设计方面的技术进步已产生数代集成电路,其中每一代均比前一代具有更小、更复杂的电路。在IC演进的过程中,已总体上增加功能密度(即,每个晶片面积的互连接元件的数量),而减小几何大小(即,使用生产制程可创建的最小组件(或线路))。此种按比例缩小的制程总体上可通过增加生产效率及减低关联的成本,以提供效益。然而,这些按比例缩小亦已增加处理及制造IC的复杂性且,为了实现这些演进,需要在IC处理及制造方面进行类似的开发。
发明内容
在一些实施例中,一种形成极紫外线(EUV)遮罩的方法包括以下步骤。在遮罩基材之上形成包括交替堆叠的Mo层及Si层的多层Mo/Si堆叠。在多层Mo/Si堆叠之上形成钌覆盖层。采用卤素元素、五价元素、六价元素或以上的组合,掺杂钌覆盖层。在钌覆盖层之上形成吸收层。蚀刻吸收层以在吸收层中形成图案。
在一些实施例中,一种极紫外线微影(EUVL)方法,包含开启液滴生成器,以将金属液滴朝着收集器前面的激发区喷射;开启激光源以将激光朝向激发区域发射,使得金属液滴通过激光所加热以生成EUV辐射;通过使用一个或更多个第一光学器件,将EUV辐射朝向曝光装置中的反射遮罩引导,反射遮罩包括覆盖层,覆盖层具有带配体的钌配合物,配体具有卤素元素、五价元素、六价元素、或其等的组合;及通过使用一个或更多个第二光学器件,将EUV辐射从反射遮罩反射,朝向曝光装置中的经光阻剂涂覆基材引导。
在一些实施例中,极紫外线(EUV)遮罩包含多层Mo/Si堆叠、覆盖层、及图案化吸收层。多层Mo/Si堆叠包含设置在遮罩基材之上的交替堆叠的Mo层及Si层。覆盖层在多层Mo/Si堆叠上。覆盖层的顶部部分具有第一组合物,第一组合物与在覆盖层的顶部部分之下的覆盖层的底部部分的第二组合物不同。图案化吸收层在覆盖层上。
附图说明
当与随附图示一起阅读时,可由后文实施方式最佳地理解本揭露内容的态样。注意到根据此产业中的标准实务,各种特征并未按比例绘制。实际上,为论述的清楚性,可任意增加或减少各种特征的尺寸。
图1为根据本揭露内容的一些实施例的具有从激光产生的电浆的EUV辐射源的EUV微影工具的示意图;
图2为根据本揭露内容的实施例的极紫外线微影工具的细节的简化示意简图,图示采用EUV光的图案化光束对经光阻剂涂覆基材的曝光;
图3A、图3B、图3C、及图3D为根据一些实施例的用于形成极紫外线(EUV)遮罩的制程的各种阶段的截面视图;
图4A为根据一些实施例的在EUV辐射的曝光下的极紫外线(EUV)遮罩的截面视图;
图4B为例示来自在EUV遮罩上的气态有机化合物沉积物的烃的机制的简图;
图5A、图5B、及图5C为根据一些实施例的用于形成极紫外线(EUV)遮罩的制程的各种阶段的截面视图;
图6为根据一些实施例的用于形成极紫外线(EUV)遮罩的制程的各种阶段的截面视图;
图7A图示例示制造EUV遮罩的方法的流程图,此EUV遮罩用于根据本揭露内容的实施例的半导体制造操作;
图7B图示例示制造EUV遮罩的方法的流程图,此EUV遮罩用于根据本揭露内容的实施例的半导体制造操作;
图7C图示例示制造EUV遮罩的方法的流程图,此EUV遮罩用于根据本揭露内容的实施例的半导体制造操作;
图8图示例示根据本揭露内容的实施例的极紫外线微影(EUVL)的方法的流程图;
图9A及图9B图示根据本揭露内容的实施例的光遮罩数据生成设备。
【符号说明】
BF:基础地板
DP:目标液滴
DP1,DP2:减震器
LR1:激光
LR2:激光脉冲
MF:主地板
PP1,PP2:基座板
ZE:EUV光辐射器
5,5a:EUV光空白遮罩
6,6a,6a':EUV遮罩
10:基材
15:多层Mo/Si堆叠
20:覆盖层
20A,20aA,20aA':掺杂的顶部部分
20B,20aB:覆盖层的底部部分
20a,20a:覆盖层
25:吸收层
30:抗反射层
50:电路图案
60:黑色边界区域
100:EUV辐射源
S100:机制
S102~S108,S202~S208:步骤
S302~S306,S402,S404:步骤
105:腔室
110:收集器
115:目标液滴生成器
120:喷嘴
200:曝光装置
S200,S300,S400:方法
S500,S600:方法
205a~205e:光学器件
210:基材
300:扫描器及激发激光源
310:激光生成器
320:激光引导光学器件
330:聚焦设备
400:不定气态有机化合物
402:烃碎片
404:交联结构
500,500a:掺杂制程
S502~S506:操作
600,600a:蚀刻步骤
600a':蚀刻制程
900:计算机系统
901:计算机
902:键盘
903:鼠标
904:监控器
905:光盘只读记忆体
906:磁盘驱动器
911:处理器
912:只读记忆体
913:随机存取记忆体
914:硬盘
915:总线
921:光盘
922:磁盘
具体实施方式
后文揭露内容提供用于实行所提供的标的的不同特征的许多不同的实施例或范例。后文描述组件及布置的特定范例以简化本揭露内容。当然,这些仅为范例且未意图具限制性。举例而言,在后文的描述中,在第二特征之上或上的第一特征的形成可包含以接触方式形成第一特征及第二特征的实施例,且亦可包含在第一特征与第二特征间形成额外特征,使得第一特征及第二特征可不接触的实施例。此外,在各种范例中,本揭露内容可能重复元件符号及/或字母。此重复是出于简单及清楚的目的,且重复本身并不规范所论述的各种实施例及/或配置间的关系。
进一步地,为便于描述,本文中可使用诸如“在...之下”、“在...下方”、“较低”、“在...上方”、“较高”、及类似者的空间相对术语,以描述图示中所例示的一个元件或特征与另一元件(等)或特征(等)的关系。除图示中所描绘的定向之外,空间相对术语亦意图涵盖元件在使用或操作中的不同定向。设备能以其他方式定向(旋转90度或以其他定向),且本文中使用的空间相对描述语可同样以相应的方式解释。
可将当前揭露内容中所描述的先进微影制程、方法、及材料用于许多应用中,包含鳍状结构类型场效应晶体管(FinFET)。举例而言,可图案化鳍状结构以在特征间产生相对紧密的间隔,前文揭露内容非常适合于此操作。此外,可根据前文揭露内容处理用于形成FinFET的鳍状结构形成的间隔件。
为了应对摩尔定律的趋势,即晶片组件大小的减小及针对移动电子装置(诸如具有计算机功能、多任务能力、甚至具有工作站效能的智能手机)对较高计算效能晶片的需求。有较小波长的光微影曝光系统为符合需求的。极紫外线(extreme ultraviolet;EUV)光微影技术使用EUV辐射源以发射具有约13.5纳米的波长的EUV射线。由于此波长亦在X射线辐射波长区内,因此EUV辐射源亦被称为软X射线辐射源。通过收集器镜收集从激光产生的电浆(laser-produced plasma;LPP)所发射的EUV光线,并将EUV光线朝向图案化遮罩反射。
图1为根据本揭露内容的一些实施例的具有从激光产生的电浆的EUV辐射源的EUV微影工具的示意图。EUV微影系统包含EUV辐射源100以生成EUV辐射、曝光装置200,诸如扫描器及激发激光源300。如图1所图示,在一些实施例中,将EUV辐射源100及曝光装置200安装在无尘室的主地板(main floor)MF上,而将激发激光源300安装在位于主地板MF之下的基础地板(base floor)BF中。分别经由减震器(damper)DP1及DP2,将各EUV辐射源100及曝光装置200放置在基座板PP1及PP2之上。通过耦合机构将EUV辐射源100及曝光装置200彼此耦合,耦合机构可包含聚焦单元。
将EUV微影工具设计成将抗蚀剂层暴露于EUV光(在本文中亦可互换地称为EUV辐射)。抗蚀剂层为对EUV光敏感的材料。EUV微影系统运用EUV辐射源100以生成EUV光,诸如具有范围在约1纳米至约100纳米间的波长的EUV光。在一个特定范例中,EUV辐射源100生成EUV光,EUV光的波长集中在约13.5纳米处。在本实施例中,EUV辐射源100利用激光产生电浆(LPP)的机制以生成EUV辐射。
曝光装置200包含各种反射光学器件组件,诸如凹透镜/凸透镜/平面镜,包含遮罩台的遮罩保持机构、及晶圆保持机构。将通过EUV辐射源100所生成的EUV辐射EUV,通过反射光学组件引导至固紧在遮罩台上的遮罩上。在一些实施例中,遮罩台包含固紧遮罩的静电吸盘(electrostatic chuck;e-cuck)。
图2为根据本揭露内容的实施例的极紫外线微影工具的细节的简化示意简图,图示采用EUV光的图案化光束对经光阻剂涂覆基材的曝光。曝光装置200为集成电路微影工具(诸如步进器、扫描器、步进及扫描系统、直接写入系统、使用接触及/或接近遮罩的元件,等),配备有一个或更多个光学器件205a、205b,举例而言,采用EUV光的光束以照射图案化光学器件205c(诸如遮罩模版),以产生图案化光束、及一个或更多个缩小投影光学元件205d、205e,用于将图案化光束投影至基材210上。可提供机械组装件(未图示)用于在基材210及图案化光学器件205c间生成受控的相对运动。如图2所进一步图示,EUVL工具包含EUV光源100,EUV光源包含在腔室105中发射EUV光的EUV光辐射器ZE,通过收集器110沿着至曝光装置200中的路径反射发射EUV光以照射基材210。
如本文中所使用,旨在将术语“光学器件”广义地解释为包含,但不必然限于反射及/或透射及/或对入射光进行操作的一个或更多个组件,且包含,但不限于,一个或更多个透镜、窗口、滤光镜、楔形件、棱镜、棱栅、渐变、传输光纤、干涉仪、扩散器、均化器、检测器、及其他仪器组件、光圈、轴锥、及包含多层镜、近法线入射镜、切线入射镜、镜面反射器、漫反射器、及其等的组合的反射镜。此外,除非另作说明,术语“光学器件”,如本文所使用,是针对于,但不限于,在一个或更多个特定波长范围(等)内(诸如在EUV输出光波长、照射激光波长、适用于计量的波长、或任何其他特定波长下)单独操作或具有优点的组件。
由于气体分子吸收EUV光,所以将用于EUV微影图案化的微影系统保持在真空或低压环境中,以避免EUV强度损失。然而,含烃气体存在于真空或低压环境中。因而,在现实中,在EUV微影系统中,在曝光装置200及腔室105中不可避免地存有不定气态有机化合物400(可互换地称为含烃气体)的存在。
在本揭露内容中,术语遮罩、光遮罩、及遮罩模版为可互换地使用。在本实施例中,图案化光学器件205c为反射遮罩。在实施例中,反射遮罩205c包含具有合适的材料的基材,诸如低热膨胀材料或熔融石英。在各种范例中,材料包含掺杂有TiO2的SiO2或其他具有低热膨胀的合适的材料。反射遮罩205c包含被沉积在基材上的多个反射层(multiplereflective layers;ML)。ML包含多个膜对,诸如钼硅(Mo/Si)膜对(例如,每个膜对中的硅层上方或下方的钼层)。替代地,ML可包含钼铍(Mo/Be)膜对,或被配置成高度反射EUV光的其他合适的材料。遮罩205c可进一步包含被设置在ML上的覆盖层,覆盖层具有由金属元素及至少一个非金属元素所制成的顶部部分,以最小化ML的氧化。遮罩进一步包含被沉积在ML上的吸收层(可互换地称为吸收层),诸如氮化钽硼(TaBN)层。图案化吸收层以界定集成电路(IC)的层。替代地,可在ML上的沉积另一反射层,并图案化反射层以界定集成电路的层,从而形成EUV相移遮罩。
在本揭露内容的各种实施例中,经光阻剂涂覆基材210为半导体晶圆,诸如硅晶圆或待图案化的其他类型的晶圆。
在一些实施例中,EUVL工具进一步包含其他模块或与其他模块整合(或耦合)。
如图1所图示,EUV辐射源100包含目标液滴生成器115及从激光产生的电浆(LPP)收集器110,被腔室105所包围。在各种实施例中,目标液滴生成器115包含储器以容纳原料及及喷嘴120,将源材料的目标液滴DP通过喷嘴供应至腔室105中。
在一些实施例中,目标液滴DP为锡(Sn)、锂(Li)、或Sn及Li的合金的液滴。在一些实施例中,目标液滴DP各具有在自约10微米(μm)至约100μm范围内的直径。举例而言,在实施例中,目标液滴DP为锡液滴,具有约10μm至约100μm的直径。在其他实施例中,目标液滴DP为具有约25μm至约50μm的直径的锡液滴。在一些实施例中,通过喷嘴120以自每秒约50液滴(即,约50Hz的喷射频率)至每秒约50000液滴(即,约50kHz的喷射频率)范围的速率,供应目标液滴DP。
再次参考图1,通过激发激光源300所生成的激发激光LR2为脉冲激光。通过激光脉冲LR2生成激发激光源300。激发激光源300可包含激光生成器310、激光引导光学器件320、及聚焦设备330。在一些实施例中,激光生成器310包含二氧化碳(CO2)或掺杂钕钇铝石榴石(Nd:YAG)激光源,激光源具有在电磁光谱的红外区中的波长。举例而言,在实施例中,激光生成器310具有约9.4μm或约10.6μm的波长。将通过激光生成器310所生成的激光LR1,通过激光引导光学器件320引导,并通过聚焦设备330聚焦至激发激光LR2中,且接着引至EUV辐射源100中。
在一些实施例中,激发激光LR2包含预热激光及主激光。在这些实施例中,将预热激光脉冲(在本文中可互换地称为“预脉冲”)用于加热(或预热)给定的目标液滴,以创建具有多个较小液滴的低密度目标羽流,此羽流随后被来自主激光的脉冲加热(或再加热),而生成EUV光的增加发射。
在各种实施例中,预热激光脉冲具有约100μm或更小的光点大小,且主激光脉冲具有约150μm至约300μm的范围内的光点大小。在一些实施例中,预热激光及主激光脉冲具有自约10ns至约50ns范围内的脉冲持续时间,及自约1kHz至约100kHz的范围内的脉冲频率。在各种实施例中,预热激光及主激光具有自约1千瓦(kW)至约50kW范围内的平均功率。在实施例中,激发激光LR2的脉冲频率与目标液滴DP的喷射频率匹配(例如,与之同步)。
将激发激光LR2引导通过窗口(或透镜)至激发区ZE中。窗口是由对激光光束而言大致地透明的合适的材料所制成。脉冲激光的生成与通过喷嘴120的目标液滴DP的喷射同步。当目标液滴移动通过激发区时,预脉冲加热目标液滴并将其转换成低密度目标羽流。控制预脉冲及主脉冲间的延迟以允许目标羽流形成并扩展至最佳大小及几何形状。在各种实施例中,预脉冲及主脉冲具有相同的脉冲持续时间及峰值功率。当主脉冲加热目标羽流时,生成高温电浆。电浆发射EUV辐射EUV,通过由收集器镜110收集此辐射。收集器110进一步反射并聚焦EUV辐射,用于通过曝光装置200所进行的微影曝光制程。液滴捕获器125用于捕获多余的目标液滴。举例而言,激光可故意地遗漏脉冲一些目标液滴。
在一些实施例中,将收集器110设计为具有适当的涂层材料及并塑形以作为EUV收集、反射、及聚焦的镜子。在一些实施例中,将收集器110设计成具有椭圆形的几何形状。在一些实施例中,收集器110的涂层材料类似于EUV遮罩的反射多层。在一些范例中,收集器110的涂层材料包含ML(例如,多个Mo/Si膜对),并可进一步包含被涂覆在ML上以大致地反射EUV光的覆盖层(诸如Ru)。在一些实施例中,收集器110可进一步包含光栅结构,将光栅结构设计成有效地散射引导至收集器110上的激光光束。举例而言,在收集器110上涂覆氮化硅层并图案化氮化硅层以具有光栅图案化。
在此EUV辐射源中,通过激光应用所致使的电浆创建气态有机化合物400的解离。举例而言,在EUV微影期间,气态有机化合物400趋于带负电荷。带负电荷的气态有机化合物400将不符合需求地与反射遮罩205c中的覆盖层中的Ru材料交联,此举继而导致碳非必要地被沉积在覆盖层上,因而降低被形成在经光阻剂涂覆基材21上的所得图案化的临界尺寸(critical dimension;CD)。本揭露内容的实施例提供用于覆盖层的改善的组合物,以便防止解离的气态有机化合物与覆盖层中的Ru材料交联。
图3A、图3B、图3C、及图3D为根据一些实施例的用于形成极紫外线(EUV)遮罩的制程的各种阶段的截面视图。应当了解,对于方法的额外实施例而言,可在图3A、图3B、图3C及图3D所图示的制程之前、期间、及之后提供额外的操作,且可替换或消除后文所描述的一些操作。可互换操作/制程的顺序。
参考图3A。依次在基材10之上形成硅及钼的多个交替层的多层Mo/Si堆叠15及覆盖层20。
在一些实施例中,基材10是由低热膨胀材料所形成的。在一些实施例中,基材10为低热膨胀玻璃或石英,诸如熔融硅石或熔融石英。在一些实施例中,低热膨胀玻璃基材透射在可见波长处的光、接近可见光谱的一部分的红外波长(近红外)、及一部分的紫外线波长。在一些实施例中,低热膨胀玻璃基材吸收极紫外线线波长及接近极紫外线的深紫外线波长。
在一些实施例中,Mo/Si多层堆叠15包含硅及钼各自自约30个交替层至硅及钼各自至约60个交替层。在一些实施例中,通过化学气相沉积(CVD)、电浆加强CVD(PECVD)、原子层沉积(ALD)、物理气相沉积(PVD)(溅镀)、或任何其他合适的膜形成的方法形成硅层及钼层。在一些实施例中,硅及钼的层为约相同的厚度。在其他实施例中,硅和钼的层为不同的厚度。
在多层Mo/Si堆叠15之上设置覆盖层20以防止在遮罩图案化制程及吸收层修复制程期间,多层Mo/Si堆叠15的氧化。此外,覆盖层20在吸收层图案化制程中充当蚀刻停止。在一些实施例中,通过化学气相沉积(CVD)、电浆加强化学气相沉积(PECVD)、原子层沉积(ALD)、物理气相沉积(PVD)、或任何其他合适的膜形成方法形成覆盖层20。覆盖层20具有第一组合物。在一些实施例中,第一组合物包含一个具有金属键的纯金属元素,诸如过渡金属。举例而言,第一组合物包含钌(Ru)。
参考图3B。在覆盖层20上进行掺杂制程500以采用掺杂剂掺杂覆盖层20的顶部部分,以形成掺杂的顶部部分20A。覆盖层20的底部部分20B可保持未掺杂或具有比顶部部分20A更低的掺杂剂浓度。掺杂制程500可包含离子植入及/或其他合适的制程。在一些实施例中,掺杂剂包含与钌具有高电负度差异的至少一个非金属元素。举例而言,掺杂剂(可互换地称为杂质)为卤素元素(例如,氟、氯、或类似物)、五价元素(氮、或类似物)、或六价元素(氧、或类似物)。氟元素的前驱物可包含含氟气体。通过范例而非限制的方式,含氟气体可为GeF4、NF3、CF4、C2F6、F2、类似物、或其等的混合物。氯元素的前驱物可包含含氯气体。通过范例而非限制的方式,含氯气体可包含Cl2、CHCl3、CCl4、BCl3、类似物、或其等的混合物。五价元素的前驱物(氮、或类似物)可包含含氮气体。通过范例而非限制的方式,含氮气体可包含氨(NH3)、二甲基胺(N(CH3)2_、二甲基胺(N(C2H5)2_、类似物、或其等的混合物。六价元素(氧气、或类似物)的前驱物可包含含氧气体。通过范例而非限制的方式,含氧气体包含H2O、D2O、O3、O2、类似物、或其等的混合物。
一旦完成掺杂制程500,掺杂的顶部部分20A具有与大致地未掺杂的底部部分20B的第一组合物不同的第二组合物。第二组合物的分子量大于第一组合物的分子量。在一些实施例中,第二组合物包含金属元素及掺杂的杂质(例如,卤素杂质、五价杂质、或六价杂质)。特别地,第二组合物及第一组合物具有不同的化学键类型。在第一组合物包含过渡金属的一些实施例中,由于过渡金属具有接受电子的趋势,所以掺杂的杂质可与过渡金属反应以形成稳定配位配合物。在第一组合物包含钌的一些实施例中,第二组合物可包含至少一个钌配合物,诸如RuxO1-x、RuxN1-x、RuxAyO1-x-y(其中A为卤素原子)、或类似物。换言之,第二组合物包含带配体的钌配合物,配体具有卤素元素、五价元素、六价元素、或其等的组合。钌与卤素元素、五价元素、或六价元素形成具有高电负度差异的化学键(例如,卤素元素的离子键),此举继而使钌处于16或18的价数的稳定的状态。由于卤素元素、五价元素、或六价元素可填充钌中的电子空位,因此可防止EUV微影系统中的不定气态有机化合物400与覆盖层20的掺杂的顶部部分20A间的非必要的交联,将在后文更详细地描述。
参考图3C。在覆盖层20之上设置吸收层25。在吸收层25之上设置抗反射层30。因而,形成EUV光空白遮罩5。在一些实施例中,吸收层25为Ta基的材料。在一些实施例中,吸收层25是由TaN及/或TaBN所制成。在一些实施例中,通过化学气相沉积、电浆加强化学气相沉积、原子层沉积、物理气相沉积、或任何其他合适的膜形成方法,以形成吸收层25。在本揭露内容的一些实施例中,抗反射层30由包含SiO2、SiN、TaBO、TaO5、Cr2O3、ITO(铟锡氧化物)的材料、或任何合适的材料所形成。抗反射层30减少光微影辐射的反射。
参考图3D。通过进行蚀刻步骤600以图案化吸收层25及抗反射层30,在EUV光空白遮罩5(见图3C)上形成一个或更多个电路图案50。因而,形成EUV遮罩6。EUV遮罩6为反射遮罩,且Mo/Si多层堆叠15反射EUV光,而吸收层25吸收EUV光。在图案化期间,部分地去除吸收层25及抗反射层30。举例而言,蚀刻吸收层25以暴露覆盖层20的掺杂的顶部部分20A。此外,形成围绕电路图案区并穿透至基材10的黑色边界区域60。通过使用一种或更多种微影法(例如,电子光束微影法)及蚀刻操作,以形成电路图案50。在一些范例中,通过吸收层25覆盖其中没有形成电路图案的区域,因而不致反射EUV光。
图4A及图4B例示若覆盖层不含卤素元素、五价元素、或六价元素,在EUV微影制程期间,Ru覆盖层上如何发生交联,图4A为根据一些实施例的在EUV辐射的暴露下的EUV遮罩6的截面视图。图4B为例示由被沉积在图4A中的EUV遮罩6的覆盖层20的掺杂的顶部部分20A上的气态有机化合物所形成的烃的机制S100的简图。参考图4A及图4B。为清楚起见,将机制S100的步骤标记为S102、S104、S106、及S108。如所例示,EUV辐射入射在EUV遮罩6上。在步骤S102中,EUV辐射引发气态有机化合物400(诸如烃(hydrocarbon))的分离,以形成烃碎片402。烃碎片402并非为交联结构。因而,烃碎片402对于EUV辐射为可分离,且大多数的烃碎片402并不趋于被沉积在掺杂的顶部部分20A的表面上。在一些实施例中,少数的烃碎片402可被沉积在掺杂的顶部部分20A的表面上。举例而言,在步骤S104中,烃碎片可在覆盖层20的掺杂的顶部部分20A的表面上吸收。接着,在步骤S106中,烃碎片402沿着掺杂的顶部部分20A的表面扩散。与钌覆盖层的顶部部分没有卤素元素、五价元素、或六价元素的情况相比较,可减少通过二次电子(secondary electron)SE(带有通过EUV照射所引发的小于约20eV的能量)所致使在烃碎片402及覆盖层的掺杂的顶部部分20A间的交联所形成的不符合需求的交联结构404(步骤S108)。这是由于在覆盖层20的掺杂的顶部部分20A中的钌的电子空位已通过卤素元素、五价元素、或六价元素所填充。
图5A、图5B及图5C为根据一些实施例的用于形成极紫外线(EUV)遮罩的制程的各种阶段的截面视图。参考图5A。除了在形成上层的吸收层25之前,覆盖层20a保持未掺杂而非经掺杂外,图5A图示与EUV光空白遮罩5类似的另一EUV光空白遮罩5a。更详细而言,EUV光空白遮罩5a包含基材10、Mo/Si多层堆叠15、覆盖层20a、吸收层25、及抗反射层30。如图5A所图示,如先前参照图3A及图3C所论述,使用合适的方法依次在基材10之上形成Mo/Si多层堆叠15、覆盖层20a、吸收层25、及抗反射层30。
参考图5B。通过进行蚀刻步骤600a以图案化吸收层25及抗反射层30,以在EUV光空白遮罩5a上形成一个或更多个电路图案50。在蚀刻步骤600a期间,部分地去除吸收层25及抗反射层30。因而,部分地暴露覆盖层20a的顶部部分。此外,形成围绕电路图案区并穿透至基材的黑色边界区域60。通过使用一种或更多种微影法(例如,电子光束微影法)及蚀刻操作,以形成电路图案50。在一些范例中,通过吸收层25覆盖其中没有形成电路图案的区域,因而不致反射EUV光。
参考图5C。特别地,在一些实施例中,在进行蚀刻步骤600a之后,在通过电路图案50所暴露的覆盖层20a的顶部部分上采用掺杂剂进行掺杂制程500a,以形成多个掺杂的顶部部分20aA。因而,形成EUV遮罩6a。分别在掺杂顶部部分20aA正下方的覆盖层20a的底部20aB保持大致地未掺杂或具有比掺杂的顶部部分20aA更低的掺杂杂质浓度,且在掺杂制程500a期间,通过吸收层25所覆盖的覆盖层20a的其余部分保持大致地未掺杂。掺杂制程500a可包含离子植入或类似者。在一些实施例中,掺杂剂包含与钌具有高电负度差异的至少一个非金属元素。举例而言,掺杂剂(可互换地称为杂质)为卤素元素(例如,氟、氯、或类似物)、五价元素(氮、或类似物)、或六价元素(氧、或类似物)。在一些实施例中,抗反射层30及下层的吸收层25在掺杂制程500a期间充当植入遮罩,使得当从上方观看时,掺杂的顶部部分20aA具有与电路图案50相同的图案化。由于在掺杂制程500a期间暴露抗反射层30,所以抗反射层30的顶部部分可能被无意地掺杂有卤素元素、五价元素、或六价元素。在这些情况下,抗反射层30的顶部部分具有与覆盖层20a的掺杂的顶部部分20aA中相同的卤素元素、五价元素、或六价元素。
一旦完成掺杂制程500a,掺杂的顶部部分20aA具有与大致地未掺杂的底部部分20aB及通过吸收层25所覆盖的部分的覆盖层20a的第一组合物不同的第二组合物。第二组合物的分子量大于第一组合物的分子量。第二组合物包含金属元素及掺杂的杂质(例如,卤素元素、五价元素、或六价杂质)。特别地,第二组合物及第一组合物具有不同的化学键类型。在第一组合物包含过渡金属的一些实施例中,由于过渡金属具有接受电子的趋势,所以掺杂的杂质可与过渡金属反应以形成稳定配位配合物。在第一组合物包含钌的一些实施例中,第二组合物可包含至少一个钌配合物,诸如RuxO1-x、RuxN1-x、RuxAyO1-x-y(其中A为卤素原子)、或类似物。钌与卤素元素、五价元素、或六价元素形成具有高电负度差异的化学键(例如,卤素元素的离子键),使得钌处于16或18的价数的稳定的状态。由于卤素元素、五价元素、或六价元素可填充钌中的电子空位,因此可防止EUV微影系统中的不定气态有机化合物与覆盖层20a的掺杂的顶部部分20aA间的非必要交联。
图6为根据一些实施例的用于形成极紫外线(EUV)遮罩6a'的制程的各种阶段的截面视图。参考图6。在一些其他实施例中,在EUV光空白遮罩5a(参见图5B)上所进行的蚀刻制程600a'期间,通过添加掺杂剂(诸如氮、卤素、及/或氧)原位掺杂通过电路图案50所暴露的覆盖层20a'的顶部部分,以形成电路图案50。换言之,在将卤素杂质、五价杂质、或六价杂质原位掺杂至覆盖层20a'的暴露的顶部部分中的同时,进行蚀刻制程600a'的步骤。因而,采用电路图案50暨掺杂覆盖层20a'形成EUV遮罩6a'。通过范例而非限制的方式,可通过使用含氧气体、含氟气体(例如,CF4、SF、CH2F、CHF3、及/或C2F6)、含氯气体(例如,Cl2、CHCl3、CCl、及/或BCl3)、含溴气体(例如,HBr、及/或CHBR3)、含碘气体、其他合适的气体、及/或电浆、及/或其等的组合,进行蚀刻制程。原位可通过引入卤素元素的前驱物(例如,氟、氯、或类似物)、五价元素(氮、或类似物)、或六价元素(氧、或类似物),进行在蚀刻制程600a'期间的原位掺杂制程。氟元素的前驱物可包含含氟气体,诸如GeF4、NF3、CF4、C2F6、F2、类似物、或其等的混合物。氯元素的前驱物可包含含氯气体诸如Cl2、CHCl3、CCl4、BCl3、类似物、或其等的混合物。五价元素(氮或类似者)的前驱物可包含,但不限于,氨(NH3)、二甲基胺(N(CH3)2)、二甲基胺(N(C2H5)2)、类似物、或以上的混合物。六价元素(氧或类似者)的前驱物可包含,但不限于,H2O、D2O、O3、O2、类似物、或其等的混合物。
分别在掺杂的顶部部分20aA'的正下方的覆盖层20a'的底部部分20aB'大致地保持未掺杂或具有比掺杂的顶部部分20aA'更低的掺杂的杂质浓度。直接在吸收层30正下方的部分的覆盖层20a'亦保持大致地未掺杂。在一些实施例中,抗反射层30及下层的吸收层25在原位掺杂制程期间充当植入遮罩,使得当从上方观看时,掺杂的顶部部分20aA'具有与电路图案50相同的图案化。在一些实施例中,抗反射层30的顶部部分可能被无意地掺杂有卤素杂质、五价杂质、或六价杂质。在这些情况下,抗反射层30的顶部部分具有与覆盖层20a'的掺杂的顶部部分20aA'中的卤素元素、五价元素、或六价元素相同的元素。
图7A图示例示根据本揭露内容的一些实施例的制造EUV遮罩的方法S200的流程图。应当了解,对于方法的额外实施例而言,在继续的制造制程中,可在图7A所图示的阶段之前、期间、及之后提供一个或更多个额外的操作,且可替换或消除后文所描述的一些操作。可互换操作/制程的顺序。在步骤S202处,依次在基材之上形成硅及钼及覆盖层的多个交替层的多层Mo/Si堆叠。在步骤S204处,在覆盖层上进行掺杂制程,采用卤素杂质、五价杂质、或六价杂质掺杂覆盖层的顶部部分,以形成掺杂的顶部部分。在步骤S206处,在覆盖层的掺杂的顶部部分上形成吸收层及抗反射层以形成EUV光空白遮罩。在步骤S208处,通过图案化抗反射层及吸收层以暴露覆盖层的掺杂的顶部部分,以在EUV光空白遮罩上形成一个或更多个电路图案。
图7B图示例示根据本揭露内容的一些实施例的制造EUV遮罩的方法S300的流程图。应当了解,对于方法的额外实施例而言,在继续的制造制程中,可在图7B所图示的阶段之前、期间、及之后提供一个或更多个额外的操作,且可替换或消除后文所描述的一些操作。可互换操作/制程的顺序。在步骤S302处,依次在基材上形成Mo/Si多层堆叠、覆盖层、吸收层、及抗反射层,以形成EUV光空白遮罩。在步骤S304处,通过图案化抗反射层及吸收层,以在EUV光空白遮罩上形成一个或更多个电路图案。在步骤S306处,进行掺杂制程以采用卤素杂质、五价杂质、或六价杂质掺杂通过电路图案所暴露的覆盖层的顶部部分。
图7C图示例示制造EUV遮罩的方法S400的流程图,此EUV遮罩用于根据本揭露内容的实施例的半导体制造操作。在步骤S402处,依次在基材上形成Mo/Si多层堆叠、覆盖层、吸收层、及抗反射层以形成EUV光空白遮罩。在步骤S404处,通过图案化抗反射层及吸收层,在EUV光空白遮罩上形成一个或更多个电路图案50,且图案化步骤与将卤素杂质、五价杂质、或六价杂质原位掺杂至覆盖层中同时进行。
图8图示例示根据本揭露内容的实施例的极紫外线微影(EUVL)的方法S500的流程图。在一些实施例中,通过图9A及图9B的计算机系统900进行方法S500。方法S500包含在包含气态有机化合物的EUV微影系统中生成电浆的操作S502。EUV微影系统使用从辐射源所发射的EUV辐射,以形成EUV辐射的投影光束。在操作S504中,将EUV遮罩暴露于EUV辐射的投影光束。气态有机化合物并未与EUV遮罩交联。在操作S506中,将经光阻剂涂覆的半导体晶圆暴露于从EUV遮罩反射的EUV辐射的投影光束。如图1所例示,通过开启激光源300及液滴生成器115,以触发操作S502,且只要将光学器件205a至205e合适地定向以引导EUV投影光束,则一旦触发操作S502,操作S504及S506自然地发生。
图9A及图9B图示根据本揭露内容的实施例的光遮罩数据生成设备。图9A为根据前文所述的一个或更多个实施例的执行光遮罩数据生成制程的计算机系统的示意图。可使用计算机硬件及在计算机硬件执行的计算机程序实现上述实施例的制程、方法、及/或操作的全部或一部分。在图9A中,计算机系统900配备有计算机901,计算机901包含光盘只读记忆体(例如、CD-ROM(read only memory)或DVD-ROM)驱动器905、及磁盘驱动器906、键盘902、鼠标903、及监控器904。
图9B为图示计算机系统900的内部配置的简图。在图9B中,除了光盘驱动器905及磁盘驱动器906外,计算机901亦配备有一个或更多个处理器911,诸如微处理单元(MPU)、在其中储存诸如启动程序的程序的ROM 912、被连接至MPU 911并在其中提供被暂时地储存的应用程序的指令及的暂时储存区域的随机存取记忆体(RAM)913、在其中储存应用程序、系统程序、及数据的硬盘914、及连接MPU 911、ROM 912、及类似物的总线915。注意到,计算机901可包含用于提供至LAN的连接的网络卡(未图示)。
可将上述实施例中用于致使计算机系统900执行光遮罩数据生成设备的功能的程序,储存在计算机、光盘921、或磁盘922中,将其等插至光盘驱动器905、或磁盘驱动器906中,并传输至硬盘914。替代地,可经由网络(未图示)将程序传输至计算机901并储存在硬盘914中。在执行的时间,将程序加载至RAM 913中。可从光盘921或磁盘922或直接从网络加载程序。
程序不必然必需包含,举例而言,操作系统(OS)或第三方程序,以致使计算机901执行上述实施例中的光遮罩数据生成设备的功能。程序可仅包含指令部分,以在受控模式下调用适当的功能(模块)并获得符合需求的结果。
在程序中,在一些实施例中,通过程序所实现久功能不包含仅可通过硬件所实现的功能。举例而言,在一些实施例中,在通过上述程序所实现的功能中不包含在获取信息的获取单元或输出信息的输出单元中,仅可通过(诸如网络接口的)硬件所实现的功能。此外,执行程序的计算机可为单一计算机或可为多个计算机。
进一步地,在一些实施例中,用以实现光遮罩数据生成设备的功能的程序的全部或一部分为用于光遮罩产制制程中的另一程序的一部分。此外,在一些实施例中,通过,举例而言,由半导体元件所制成的ROM实现光遮罩数据生成设备的功能的程序的全部或一部分。
基于前文的论述,本揭露内容提供优点。然而,应当了解,其他实施例可提供额外的优点,且在本文中不必然揭露所有优点,且对于所有实施例均不需要特定的优点。一个优点为,通过采用卤素元素、五价元素、六价元素、或其等的组合,掺杂钌覆盖层,可减轻EUV遮罩中的钌覆盖层上的非必要的碳沉积,此举继而将应对使用EUV微影技术所形成的所得图案化中的临界尺寸降解问题。另一个优点为,钌覆盖层的底部部分保持大致地未掺杂(即,保持大致地纯的钌),因而覆盖层仍可保护下层的Mo/Si ML结构免受非必要的氧化。
在一些实施例中,一种形成极紫外线(EUV)遮罩的方法,包含形成多层Mo/Si叠层,此多层Mo/Si叠层包括在遮罩基材之上交替堆叠的Mo层及Si层;在多层Mo/Si叠层之上形成钌覆盖层;采用卤素元素、五价元素、六价元素、或其等的组合掺杂钌覆盖层;在钌覆盖层之上形成吸收层;蚀刻吸收层以在吸收层中形成图案。
在一些实施例中,掺杂钌覆盖层的步骤为在形成吸收层的步骤之前所进行。
在一些实施例中,掺杂钌覆盖层为的步骤为在蚀刻吸收层的步骤之后所进行。
在一些实施例中,掺杂钌覆盖层的步骤为与蚀刻吸收层的步骤所原位进行。
在一些实施例中,完成掺杂钌覆盖层的步骤之后,钌覆盖层的底部部分大致地保持未掺杂。
在一些实施例中,方法进一步包括以下步骤。在蚀刻吸收层的步骤之前,在吸收层之上形成抗反射层,其中在形成抗反射层的步骤之前,进行掺杂钌覆盖层的步骤。
在一些实施例中,方法进一步包括以下步骤。在蚀刻吸收层的步骤之前,在吸收层之上形成抗反射层,其中在形成抗反射层的步骤之后,进行掺杂钌覆盖层的步骤。
在一些实施例中,方法进一步包括以下步骤。在蚀刻吸收层的步骤之前,在吸收层之上形成抗反射层,其中进行掺杂钌覆盖层的步骤使得抗反射层的顶部部分被掺杂。
在一些实施例中,一种极紫外线微影(EUVL)方法,包含开启液滴生成器,以将金属液滴朝着收集器前面的激发区喷射;开启激光源以将激光朝向激发区域发射,使得金属液滴通过激光所加热以生成EUV辐射;通过使用一个或更多个第一光学器件,将EUV辐射朝向曝光装置中的反射遮罩引导,反射遮罩包括覆盖层,覆盖层具有带配体的钌配合物,配体具有卤素元素、五价元素、六价元素、或其等的组合;及通过使用一个或更多个第二光学器件,将EUV辐射从反射遮罩反射,朝向曝光装置中的经光阻剂涂覆基材引导。
在一些实施例中,在生成EUV辐射期间,反射遮罩的覆盖层并未与曝光装置中的含烃气体交联。
在一些实施例中,含烃气体进一步存在于收集器所位于的腔室中。
在一些实施例中,含烃气体是通过EUV辐射所分离。
在一些实施例中,反射遮罩的覆盖层的底部部分不具有钌配合物。
在一些实施例中,反射遮罩进一步包括与不具有钌配合物的一部分的覆盖层接触的多层Mo/Si堆叠。
在一些实施例中,反射遮罩进一步包括抗反射层,采用卤素元素、五价元素、或六价元素掺杂抗反射层的顶部部分。
在一些实施例中,极紫外线(EUV)遮罩包含多层Mo/Si堆叠、覆盖层、及图案化吸收层。多层Mo/Si堆叠包含设置在遮罩基材之上的交替堆叠的Mo层及Si层。覆盖层在多层Mo/Si堆叠上。覆盖层的顶部部分具有第一组合物,第一组合物与在覆盖层的顶部部分之下的覆盖层的底部部分的第二组合物不同。图案化吸收层在覆盖层上。
在一些实施例中,覆盖层的顶部部分的第一组合物包括带配体的钌配合物,钌配合物与具有卤素元素、五价元素、六价元素或以上的组合。
在一些实施例中,覆盖层的底部部分的第二组合物不具有卤素元素、五价元素或六价元素。
在一些实施例中,通过图案化吸收层部分地暴露覆盖层的顶部部分。
在一些实施例中,EUV遮罩进一步包括在图案化吸收层之上的图案化抗反射层,图案化抗反射层具有与覆盖层顶部部分的第一组合物相同的卤素元素、五价元素、或一六价元素。
上述概述数种实施例的特征,因而熟悉此项技艺者可更了解本揭露内容的态样。熟悉此项技艺者应当理解,熟悉此项技艺者可轻易地使用本揭露内容作为设计或修改其他制程及结构的基础,以实现本文中所介绍的实施例的相同目的及/或达成相同优点。熟悉此项技艺者亦应当认知,此等效构造不脱离本揭露内容的精神及范围,且在不脱离本揭露内容的精神及范围的情况下,熟悉此项技艺者可在本文中进行各种改变、替换、及变更。

Claims (10)

1.一种形成极紫外线(EUV)遮罩的方法,其特征在于,包括以下步骤:
在一遮罩基材之上形成包括交替堆叠的Mo层及Si层的一多层Mo/Si堆叠;
在该多层Mo/Si堆叠之上形成一钌覆盖层;
采用一卤素元素、一五价元素、一六价元素或以上的组合,掺杂该钌覆盖层;
在该钌覆盖层之上形成一吸收层;及
蚀刻该吸收层以在该吸收层中形成一图案。
2.根据权利要求1所述的方法,其特征在于,其中该掺杂钌覆盖层的步骤为在形成该吸收剂层的步骤之前所进行。
3.根据权利要求1所述的方法,其特征在于,其中该掺杂钌覆盖层的步骤为在蚀刻该吸收剂层的步骤之后所进行。
4.根据权利要求1所述的方法,其特征在于,其中该掺杂钌覆盖层的步骤为与蚀刻该吸收剂层的步骤所原位进行。
5.根据权利要求1所述的方法,其特征在于,其中在完成掺杂该钌覆盖层的步骤之后,该钌覆盖层的一底部部分大致地保持未掺杂。
6.一种极紫外线微影(EUVL)方法,其特征在于,包括以下步骤:
开启一液滴生成器,以将一金属液滴朝着一收集器前面的一激发区喷射;
开启一激光源,以将一激光朝向该激发区域发射,使得该金属液滴通过该激光所加热以生成EUV辐射;
通过使用一个或更多个第一光学器件,将该EUV辐射朝向一曝光装置中的一反射遮罩引导,该反射遮罩包括一覆盖层,该覆盖层具有带一配体的钌配合物,该配体具有一卤素元素、一五价元素、一六价元素、或其等的组合;及
通过使用一个或更多个第二光学器件,将该EUV辐射从该反射遮罩反射,朝向该曝光装置中的一经光阻剂涂覆基材引导。
7.根据权利要求6所述的方法,其特征在于,其中在生成该EUV辐射期间,该反射遮罩的该覆盖层并未与该曝光装置中的一含烃气体交联。
8.根据权利要求7所述的方法,其特征在于,其中该含烃气体进一步存在于该收集器所位于的一腔室中。
9.一种极紫外线(EUV)遮罩,其特征在于,包括:
一多层Mo/Si堆叠,包括设置在一遮罩基材之上的交替堆叠的Mo及Si层;
在该多层Mo/Si堆叠上的一覆盖层,其中该覆盖层的一顶部部分具有一第一组合物,该第一组合物与在该覆盖层的一顶部部分之下的该覆盖层的一底部部分的一第二组合物不同;及
在该覆盖层上的一经构图吸收剂层。
10.根据权利要求9所述的极紫外线遮罩,其特征在于,其中该覆盖层的该顶部部分的该第一组合物包括带一配体的钌配合物,该钌配合物与具有一卤素元素、一五价元素、一六价元素、或其等的组合。
CN202110653476.5A 2020-06-12 2021-06-11 极紫外线微影方法、极紫外线遮罩及其形成方法 Pending CN113359384A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/900,384 US11392022B2 (en) 2020-06-12 2020-06-12 Extreme ultraviolet lithography method, extreme ultraviolet mask and formation method thereof
US16/900,384 2020-06-12

Publications (1)

Publication Number Publication Date
CN113359384A true CN113359384A (zh) 2021-09-07

Family

ID=77534053

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110653476.5A Pending CN113359384A (zh) 2020-06-12 2021-06-11 极紫外线微影方法、极紫外线遮罩及其形成方法

Country Status (3)

Country Link
US (3) US11392022B2 (zh)
CN (1) CN113359384A (zh)
TW (1) TW202147034A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11604406B2 (en) * 2019-07-24 2023-03-14 Intel Corporation Method and apparatus for fabrication of very large scale integration pattern features via electroless deposition on extreme ultraviolet lithography photomasks

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US11740549B2 (en) * 2021-04-08 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography method, extreme ultraviolet mask and formation method thereof

Also Published As

Publication number Publication date
US20230324804A1 (en) 2023-10-12
US11720025B2 (en) 2023-08-08
US20210389661A1 (en) 2021-12-16
TW202147034A (zh) 2021-12-16
US11392022B2 (en) 2022-07-19
US20220326598A1 (en) 2022-10-13

Similar Documents

Publication Publication Date Title
US20230359115A1 (en) Extreme ultraviolet mask and method of manufacturing the same
TWI490633B (zh) 極紫外線光罩的形成方法
US11774844B2 (en) Extreme ultraviolet mask and method of manufacturing the same
CN111123657B (zh) 产生电磁辐射的设备及方法
US20230324804A1 (en) Extreme ultraviolet lithography method, extreme ultraviolet mask and formation method thereof
TW201213891A (en) Multilayer mirror
US11740549B2 (en) Extreme ultraviolet lithography method, extreme ultraviolet mask and formation method thereof
US20210255549A1 (en) Method and apparatus for dynamic lithographic exposure
US20230341767A1 (en) Method of fabricating and servicing a photomask
TWI708114B (zh) 極紫外光光罩及其製造方法
US11982936B2 (en) Photomask and method of fabricating a photomask
US20160202605A1 (en) Method for repairing a mask
US11360384B2 (en) Method of fabricating and servicing a photomask
US8906582B2 (en) Blank masks for extreme ultra violet lithography, methods of fabricating the same, and methods of correcting registration errors thereof
US11914301B2 (en) Photoresist, method of manufacturing a semiconductor device and method of extreme ultraviolet lithography
US20230288807A1 (en) Photoresist, method of manufacturing a semiconductor device and method of extreme ultraviolet lithography
US20230259024A1 (en) Photoresist, method of manufacturing a semiconductor device and method of extreme ultraviolet lithography
US11762280B2 (en) Extreme ultraviolet light reflective structure including nano-lattice and manufacturing method thereof
US20240045318A1 (en) Extreme ultraviolet mask with diffusion barrier layer
US20200073224A1 (en) Mask and method for manufacturing the same and method for patterning a layer
US9335625B2 (en) Blank masks for extreme ultra violet lithography, methods of fabricating the same, and methods of correcting registration errors thereof

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20210907