JP2019504503A - Si貫通電極のメッキのプロセス及び化学作用 - Google Patents

Si貫通電極のメッキのプロセス及び化学作用 Download PDF

Info

Publication number
JP2019504503A
JP2019504503A JP2018537774A JP2018537774A JP2019504503A JP 2019504503 A JP2019504503 A JP 2019504503A JP 2018537774 A JP2018537774 A JP 2018537774A JP 2018537774 A JP2018537774 A JP 2018537774A JP 2019504503 A JP2019504503 A JP 2019504503A
Authority
JP
Japan
Prior art keywords
layer
silicon substrate
metal
feature
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018537774A
Other languages
English (en)
Other versions
JP2019504503A5 (ja
JP6903061B2 (ja
Inventor
ローマン ゴウク,
ローマン ゴウク,
スティーヴン ヴァーハーヴァーベーケ,
スティーヴン ヴァーハーヴァーベーケ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2019504503A publication Critical patent/JP2019504503A/ja
Publication of JP2019504503A5 publication Critical patent/JP2019504503A5/ja
Application granted granted Critical
Publication of JP6903061B2 publication Critical patent/JP6903061B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • C23C18/1653Two or more layers with at least one layer obtained by electroless plating and one layer obtained by electroplating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/32Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • C25D5/022Electroplating of selected surface areas using masking means
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/32Coating with nickel, cobalt or mixtures thereof with phosphorus or boron
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/38Coating with copper
    • C23C18/40Coating with copper using reducing agents
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/54Contact plating, i.e. electroless electrochemical plating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/48Electroplating: Baths therefor from solutions of gold
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Electrochemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Electroplating Methods And Accessories (AREA)

Abstract

シリコン基板を処理するための方法及び装置が提供される。一部の実施形態では、この方法は、開孔を有するシリコン基板であって、この開孔が、開孔の底部に露出したシリコンの接触面を包含する、シリコン基板を提供することと、露出したシリコンの接触面上に金属シード層を堆積させることと、金属シード層上に金属層を形成するために、シリコン基板の裏側を通して電流を流すことによってシリコン基板を電気メッキプロセスに暴露することとを、含む。
【選択図】図1H

Description

本開示の実施形態は概して、基板上に材料を堆積させるための方法に関し、より具体的には、高アスペクト比を有するフィーチャを充填するための方法に関する。
関連技術の説明
45nmノードのマルチレベル金属化は、次世代の超大規模集積(VLSI)に関する重要技術の1つである。この技術の核心部分にあるマルチレベル相互接続は、コンタクト、ビア、ライン、及びその他の開孔を含む、高アスペクト比のフィーチャを有する。VLSIの成功及び継続的な努力により、個々の基板の品質及び回路密度を向上させるためには、これらのフィーチャを確実に形成することが重要である。したがって、20:1(高さ:幅)以上の高アスペクト比を有するボイドフリーフィーチャの形成に向けて、大いなる努力が続けられている。
基板上のサブミクロン高アスペクト比コンタクト(HARC)などのVLSIフィーチャを充填するには、銅及びタングステンが最適の金属である。コンタクトは、2つの離間した導電層の間に配置された絶縁材料の表面上の開孔(ビアなど)の中に銅又はタングステンなどの導電性相互接続材料を堆積させることによって、形成される。かかる開口が高アスペクト比であることが、開孔を充填するための導電性相互接続材料の堆積を阻害しうる。銅及びタングステンは一般的な相互接続材料であるが、これらの材料を堆積させるための堆積プロセスは、コンタクトプラグ内にボイド又はシームを形成するという難点を有しうる。
したがって、接触材料がボイド、シーム、及び他の不具合なしに堆積されるように、導電性接触材料でフィーチャを充填する方法が、必要とされている。
本開示の実施形態は概して、基板上に材料を堆積させるための方法に関し、より具体的には、高アスペクト比を有するフィーチャを充填するための方法に関する。一実施形態では、基板上に材料を堆積させるための方法が提供される。この方法は、シリコン基板に形成されたフィーチャの少なくとも1つの側壁と底面とを覆って、共形酸化物層を堆積させることを含む。基板はフィーチャを取り囲むフィールド領域と裏側とを備え、フィーチャは、フィールド領域から裏側に向かって延在する。方法は、シリコン基板の一部分を露出させるために、フィーチャの底面から共形酸化物層の一部分を選択的に除去することを更に含む。方法は、フィーチャの底部のシリコン基板が露出した部分に、金属シード層を堆積させることを更に含む。方法は、金属シード層上に金属層を形成するために、シリコン基板の裏側を通して電流を流すことによってシリコン基板を電気メッキプロセスに暴露することを更に含む。
一実施形態では、方法は、フィーチャの底部の露出したシリコン基板上に金属シード層を堆積させた後に、酸化物層上に共形バリア層を形成することを含む。
一実施形態では、金属シード層上に金属層を形成するために、シリコン基板の裏側を通して電流を流すことによって基板を電気メッキプロセスに暴露することは、フッ化水素酸溶液を含む湿式接触液に基板の裏側を暴露すること、及び、銅を含有する溶液にシード層を暴露することを含む。
一実施形態では、湿式接触液はフッ化カリウムを更に含む。
一実施形態では、バリア層は、窒化チタン(TiN)、窒化タングステン(WN)、又は窒化タングステン―シリコン(WSiN)を含む。一実施形態では、共形酸化物層は二酸化ケイ素層である。
一実施形態では、金属シード層の金属はコバルトとニッケルから選択される。一実施形態では、金属シード層の金属は、無電解プロセスによって堆積されたニッケルである。一実施形態では、金属シード層の金属は、無電解プロセスと化学気相堆積プロセスのいずれかによって堆積されたコバルトである。一実施形態では、金属層は銅を含む。
一実施形態では、フィーチャは、コンタクト、ビア、及びラインから選択された開孔である。
一実施形態では、金属シード層上に金属層を形成するために、基板の裏側を通して電流を流すことによって基板を電気メッキプロセスに暴露することは、水酸化カリウム液に基板の裏側を暴露すること、及び、銅を含有する溶液にシード層を暴露することを含む。
別の実施形態では、基板上に材料を堆積させるための方法が提供される。この方法は、シリコン基板に形成されたフィーチャの少なくとも1つの側壁と底面とを覆って、酸化物層を堆積させることを含む。基板は、フィーチャを取り囲む、表面上に酸化物層が配置されているフィールド領域と、裏側とを備え、フィーチャは、フィールド領域から裏側に向かって延在する。方法は、シリコン基板の一部分を露出させるために、フィーチャの底面から酸化物層の一部分を選択的に除去することを更に含む。酸化物層の少なくとも一部分が、少なくとも1つの側壁に残る。方法は、フィーチャの底部のシリコン基板が露出した部分に、金属シード層を堆積させることを更に含む。方法は、酸化物層の少なくとも1つの側壁に残っている部分の上に、バリア層を形成することを更に含む。方法は、金属シード層上に金属層を形成するために、シリコン基板の裏側を通して電流を流すことによってシリコン基板を電気メッキプロセスに暴露することを更に含む。
更に別の実施形態では、基板上に材料を堆積させるための方法が提供される。この方法は、シリコン基板に形成されたフィーチャの少なくとも1つの側壁と底面とを覆って、酸化物層を堆積させることを含む。基板は、フィーチャを取り囲む、表面上に酸化物層が配置されているフィールド領域と、裏側とを備え、フィーチャは、フィールド領域から裏側に向かって延在する。方法は、シリコン基板の一部分を露出させるために、フィーチャの底面から酸化物層の一部分を選択的に除去することであって、湿式エッチングプロセスの前に、アルゴンベースのスパッタエッチングプロセスに共形酸化物層を暴露することを含む、選択的に除去することを更に含む。酸化物層の少なくとも一部分が、少なくとも1つの側壁に残る。方法は、フィーチャの底部のシリコン基板が露出した部分に、金属シード層を堆積させることを更に含む。方法は、酸化物層の少なくとも1つの側壁に残っている部分の上に、バリア層を形成することを更に含む。方法は、金属シード層上に金属層を形成するために、シリコン基板の裏側を通して電流を流すことによってシリコン基板を電気メッキプロセスに暴露することを更に含む。
本開示の上述の特徴を詳しく理解しうるように、上記で簡潔に要約された本開示のより詳細な説明が、実施形態を参照することによって得られる。一部の実施形態は付随する図面に示されている。しかし、本開示は他の等しく有効な実施形態も許容しうるため、付随する図面は、この開示の典型的な実施形態のみを示しており、したがって、本発明の範囲を限定すると見なすべきではないことに、留意されたい。
本書に記載の実施形態による、Si貫通電極(TSV)の製造プロセスの概略断面図を示す。 本書に記載の実施形態による、Si貫通電極(TSV)の製造プロセスの概略断面図を示す。 本書に記載の実施形態による、Si貫通電極(TSV)の製造プロセスの概略断面図を示す。 本書に記載の実施形態による、Si貫通電極(TSV)の製造プロセスの概略断面図を示す。 本書に記載の実施形態による、Si貫通電極(TSV)の製造プロセスの概略断面図を示す。 本書に記載の実施形態による、Si貫通電極(TSV)の製造プロセスの概略断面図を示す。 本書に記載の実施形態による、Si貫通電極(TSV)の製造プロセスの概略断面図を示す。 本書に記載の実施形態による、Si貫通電極(TSV)の製造プロセスの概略断面図を示す。 本書に記載の実施形態による堆積プロセスのフロー図を示す。 本書に記載の実施形態による堆積プロセスのフロー図を示す。 本書に記載の堆積プロセスを実施するために使用されうるメッキセルの概略断面図を示す。 本書に記載の堆積プロセスを実施するために使用されうるメッキセルの別の概略断面図を示す。
理解を容易にするため、可能な場合には、複数の図に共通する同一の要素を指し示すために同一の参照番号を使用した。一実施形態の要素及び/又はプロセス工程は、更なる記述がなくとも、他の実施形態に有益に組み込まれうると想定される。
本開示の実施形態は概して、基板上に材料を堆積させるための方法に関し、より具体的には、高アスペクト比のフィーチャを充填するための方法に関する。本書に記載の実施形態は、Si貫通電極(TSV)応用において特に有利である。本書に記載の方法の実施形態は、標準的な基板へのメッキ応用にも適している。TSV応用は、3Dパッケージ及び3D集積回路などにおける、シリコン基板を完全に貫通する電気的接続を含む。TSV応用は、一般的に、重なり合って配置された多重集積回路を含む。例えば、3D集積回路は、垂直方向に重なり合って積層された複数のシリコン基板を含みうる。
本書に記載の一部の実施形態は、TSVの銅メッキに関する。従来型のメッキプロセスは、堆積された銅材料の共形性が不足するため、高アスペクト比(例えば、AR〜20−50)のTSVに銅をメッキするには不十分である。銅メッキの共形性を部分的に向上させ、かつ、ボトムアップメッキを促進するように、様々な添加物が、典型的には、銅メッキの化学作用のために添加される。しかし、かかる添加物の添加は、メッキ速度を極端に低下させる。したがって、銅メッキ速度を最大化するために、最小限の添加物しか有しない単純な銅包含化学作用を用いて、メッキを行うことが望ましい。
本書に記載の一部の実施形態では、ウエハ裏側の湿式接触を使用する、ボトムアップ式のビアメッキのための方法が、速いメッキ速度での共形銅堆積を実現するために使用される。一部の実施形態では、電流が基板を通って流れることを可能にするために、金属膜又は銀ペーストが基板の裏側に付けられる。しかし、銀ペースト又は金属膜の使用により、プロセスの複雑性が増す。
本書に記載の方法及び構造は、クラスタツールなどの集積型処理ツールにそれぞれ連結されているか、又はかかる処理ツールの一部である、個別のチャンバ内で実施されうる。集積型のツールの例は、CENTURA(R)及びENDURA(R)集積型ツール(両方ともカリフォルニア州Santa ClaraのApplied Materials, inc.から入手可能)を含む。一実施形態では、クラスタツールは、周期的層堆積、化学気相堆積(CVD)、物理的気相堆積(PVD)、原子層堆積(ALD)、エッチング、予洗浄、脱ガス、アニーリング、配向付け、及びその他の基板処理といった、いくつかの処理工程を実施するよう構成されている、処理チャンバを有しうる。
図1Aから図1Hは、処理シーケンス200(図2)の様々な処理工程が基板100に実施される際の、フィーチャ102の概略断面図を示している。工程210において、図1Aに示しているように、基板100にフィーチャ102が形成される。図1Aは、フィールド領域105と、裏側106と、基板100の表面に形成されたフィーチャ102とを有する、基板100の断面図を示している。フィーチャは開口107を有する。フィーチャ102は、少なくとも1つの側壁108と、底面110とによって画定される。一部の実施形態では、底面110は、露出したシリコンの、又はシリコンを含有する表面(単結晶シリコン表面など)である。一部の実施形態では、底面110は、露出したゲルマニウムの、又はゲルマニウムを含有する表面である。一部の実施形態では、底面110は、基板100の露出した表面によって画定される。
フィーチャ102は、少なくとも約5:1以上(例えば、6:1以上、7:1以上、8:1以上、9:1以上、10:1以上、11:1以上、12:1以上、16:1以上、又は約10:1〜約20:1、又は、約30:1〜約50:1の範囲内、又は、約70:1〜約100:1の範囲内)の高さ対幅の高アスペクト比(ベア孔の高さを孔の幅で割った比率)を有する、フィーチャを含みうる。例示的なフィーチャ定義は、半導体デバイス、ソーラーデバイス、又は高比率コンタクトプラグなどのその他の電子デバイスで利用される、ビア、トレンチ、間隙、ライン、コンタクト孔、貫通孔、又はその他のフィーチャ定義を含む。フィーチャ102は、コンタクト孔、ビア、又はトレンチなどの開孔を含みうる。開孔がビアである一部の実施形態では、このビアは、高アスペクト比(例えば、AR〜20−50)を有する。
フィーチャ102は、反応性イオンエッチング技法、又は他の異方的エッチング技法を使用して形成されうる。一実施形態では、フィーチャ102を形成するために、エッチングガスのプラズマ又はイオンビームが基板100へと導かれうる。エッチングガスは、SF、CCF、BF、BI、N、Ar、PH、AsH、B、H、Xe、Kr、Ne、He、SiH、SiF、GeH、GeF、CH、AsF、PF、PF、又はこれらの組み合わせを含みうる。一実施形態では、フィーチャ102を形成するために、スパッタエッチングが基板100へと方向付けられうる。スパッタエッチングは、アルゴンプラズマを用いて実施されうる。一実施形態では、フィーチャ102は、8kVを上回る電圧バイアスを有するプラズマ注入ツールを使用して形成される。
基板100は、例えばシリコン、ゲルマニウム、又はシリコンゲルマニウムなどの、半導体材料を含みうる。フィーチャ102は、従来型のリソグラフィ技法及びエッチング技法を使用して、基板100に形成されうる。一部の実施形態では、フィーチャ102は、ボッシュプロセスなどの、パルスエッチング又は時間多重エッチングのプロセスを使用して形成されうる。
一部の実施形態では、図1Aに示すフィーチャ102が形成される前に、フィールド領域105に酸化物層112が形成されうる。酸化物層112は、約500Å〜約1,000Åの範囲内の厚さを有する、酸化物薄層でありうる。酸化物層112は、酸化物を含有するシリコン層(SiO、SiOなど)でありうる。酸化物層112は、基板100を洗浄プロセスに暴露することによって、フィールド領域105に形成されうる。一部の実施形態では、このオプションの洗浄プロセスは、基板100を標準洗浄−1(SC−1)の化学作用に(例えば、典型的には10分間、摂氏75度又は80度で、NHOH(水酸化アンモニウム)+H(過酸化水素)+HO(水)の1:1:5溶液に)暴露することを含みうる。このオプションの予洗浄プロセスは、フッ化水素酸を含有する溶液に暴露することと、標準洗浄2(SC−2)の化学作用に(例えば、摂氏75度又は80度で、HCl+H+HOの1:1:6溶液に)暴露することの少なくとも一方を、更に含みうる。一部の実施形態では、化学気相堆積(CVD)などの堆積技法を使用して、フィールド領域105に酸化物層112が形成されうる。
工程220において、図1Bに示しているように、フィーチャ102の酸化物層112、底面110、及び少なくとも1つの側壁108の上に、酸化物層120が形成される。酸化物層120は共形酸化物層でありうる。酸化物層120は、底面110上よりも、少なくとも1つの側壁108上で厚くなりうる。酸化物層120は、約100Å〜約3,000Åの範囲内(例えば、約500Å〜約1,000Å、1,000Å〜約2,000Å、2,000Å〜約3,000Å、2,500Å〜約3,000Å)の厚さを有しうる。一実施形態では、酸化物層120の少なくとも1つの側壁108上の部分は、約2,000Å〜約3,000Åであり、酸化物層120の底面110上の部分は、約500Å〜約1,200Åである。
酸化物層120は、酸化物を含有するシリコン層(SiO、SiOなど)でありうる。酸化物層120は酸化ケイ素又は二酸化ケイ素を含有する層でありうる。酸化ケイ素層又は二酸化ケイ素層は、絶縁層としての役割を果たしうる。酸化ケイ素層又は二酸化ケイ素層は、CVDプロセスを使用して堆積されうる。二酸化ケイ素は、オルトケイ酸テトラエチル(TEOS)に由来するものでありうる。
一実施形態では、酸化物層120は、低温CVDプロセス(例えば、温度が摂氏約250〜約300度の範囲内)を使用して堆積される。一実施形態では、酸化物層120は、低バイアスを伴うPVDによって堆積されうる。
工程230において、図1Cに示しているように、基板100を露出させるために、フィーチャ102の底面110から酸化物層120の一部分が除去される。工程230においては、酸化物層112を露出させるために、フィールド領域105からも酸化物層120の一部分が除去されうる。酸化物層120は、反応性イオンエッチングプロセスやスパッタリングエッチングプロセスなどのエッチングプロセスを使用して、底面110及びフィールド領域105から除去されうる。一部の実施形態では、フィーチャ102の底面110から酸化物層120を除去し、基板100のシリコン材料を露出させるために、酸化物層120の露出した表面が、方向性にエッチングされうる。基板100のフィールド領域105の酸化物層112は、方向性エッチングプロセスにおいて薄化されうるか、又は完全に除去されうる。
矢印124’は、処理中に基板の基板表面付近に電界が生成されることによる、ガスイオンの移動の方向を表わしており、この移動により、方向性エッチングプロセスにおいて、アルゴンガスが酸化物層120の上部(平面)表面に衝突する。矢印124”も同じく、フィーチャ102の底面110におけるガスイオンの移動の方向を示している。少なくとも1つの側壁108に沿った酸化物層120は、薄化されうるが、実質的には、エッチングプロセスによる影響を受けない。そのため、少なくとも1つの側壁108を覆って堆積された酸化物層120は、エッチングプロセスが完遂した後にもそのまま残る。少なくとも1つの側壁108上の酸化物層120は、工程230において薄化されうるが、除去されることはない。薄化した残存酸化物層120は導電経路を提供しうるが、薄化した酸化物層120の抵抗は、典型的には非常に高く、その結果として、フィールド領域及び/又は少なくとも1つの側壁に多量のメッキが付くことはなく、ゆえに、ボトムアップ充填が提供される。少なくとも1つの側壁108及びフィールド領域105に沿った酸化物層120により、少なくとも1つの側壁108及びフィールド領域105の銅メッキ又はニッケルメッキが防止される。金属シード層130が形成されるフィーチャ102の底部110には、メッキが行われる。
エッチングプロセスがスパッタエッチングプロセスである実施形態では、このプロセスは、高バイアスを伴うアルゴンベースのスパッタエッチングプロセスであって、方向性であり、かつ、主に、フィールド領域105から、及び底面110から、酸化物をエッチングする、スパッタエッチングプロセスでありうる。一部の実施形態では、エッチングプロセスの後に底面110に残存酸化物があれば、それは湿式エッチングプロセスによって除去されうる。湿式エッチングプロセスは、80%の酢酸液中の2%のH+3%のフッ化水素酸(15%の脱イオン水)を含む、湿式エッチング溶液を使用して実施されうる。
工程240において、図1Dに示しているように、フィーチャ102の底面110の露出したシリコン上に、金属シード層130が堆積される。金属シード層130は、物理的気相堆積(PVD)プロセス、化学気相堆積(CVD)プロセス、電気メッキ堆積プロセス、無電解堆積プロセス、又は原子層堆積(ALD)プロセスを使用して、底面110に堆積されうる。一部の実施形態では、金属シード層130の堆積プロセスは、後述するバリア層の堆積プロセスと同じ堆積チャンバ内で行われうる。一部の実施形態では、金属シード層130は、銅(Cu)の層、ルテニウム(Ru)の層、パラジウム(Pd)の層、ニッケル(Ni)の層、コバルト(Co)の層、又は、これらの元素のうちの一又は複数を含有する合金の層でありうる。一部の実施形態では、金属シード層130は、厚さが約10nm〜約250nmの範囲内である。一部の実施形態では、金属シード層130は、厚さが約100nm〜約200nmの範囲内である。
金属シード層130がニッケル層である一部の実施形態では、このニッケル層は、無電解メッキプロセスを使用して堆積されうる。シリコン表面を調製することは、より良好な接着を引き起こすと考えられている化学酸化物を再成長させるための、フッ化水素酸エッチングとSC−1浸漬の少なくとも一方を含みうる。無電解ニッケルメッキ液は、ニッケル源(NiSOなど)と、還元剤(NHOHなど)と、脱イオン水とを含みうる。還元剤は、無電解ニッケルメッキ液を8を上回るpHに維持するのに役立つ。フィーチャ102の底部110に露出したシリコンが、還元剤として作用する。無電解メッキ液は、約5〜約6のpHを有しうる。無電解ニッケル堆積プロセスは、オプションで固定撹拌又は超音波処理などの撹拌を伴って、摂氏95度以上の温度で実施されうる。
一実施形態では、無電解ニッケルメッキプロセスは、ニッケル置換プロセスである。一実施形態では、無電解ニッケルメッキ液は、100mlの水に0.5MのNiSOを添加すること、及び、この混合物を摂氏90度を上回るまで加熱することを含む。次いで、30%のNHOH200mlが、混合物に添加される。混合物の温度は摂氏70度に維持される。基板100はおよそ20分間このメッキ液に浸漬された後、脱イオン水によるすすぎ、Nによる乾燥が続く。
工程250において、オプションで、フィーチャ102の底面110に金属ケイ素化合物層(図示せず)を形成するために、金属シード層130がアニーリングされうる。金属ケイ素化合物層は、金属シード層130の少なくとも一部分と、シリコンを含有する基板100の少なくとも一部分とを含む。例示的なアニーリングプロセスは、熱アニーリングプロセス(RTPなど)、レーザアニーリングプロセス(ミリ秒アニーリングプロセス、ナノ秒アニーリングプロセス、及びマイクロ秒アニーリングプロセスなど)、並びにフラッシュランプアニーリングプロセスを含む。金属ケイ素化合物層は、摂氏約400度から摂氏約1,200度未満までの範囲内の温度でアニーリングすることによって、形成されうる。金属ケイ素化合物層は、摂氏約700度から摂氏約1,000度未満までの範囲内の温度でアニーリングすることによって、形成されることもある。
工程260において、オプションで、基板100内への銅拡散を防止するために、図1Gに示しているように、フィーチャ102内の残存酸化物層120上にバリア層140が形成されうる。バリア層140は、共形層であっても、非共形層であってもよい。バリア層140は、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、又はこれらの組み合わせを含む好適な堆積プロセスを使用して、形成されうる。共形バリア層は、ALDプロセス又はCVDプロセスによって形成されうる。非共形バリア層はPVDプロセスによって形成されうる。一実施形態では、バリア層140は、低バイアスを伴うPVDプロセスによって堆積されうる。一実施形態では、バリア層140は、クラスタツールの1つのチャンバによって堆積されうる。一実施形態では、基板100は、カリフォルニア州Santa ClaraにあるApplied Materials Inc.から入手可能なULTIMA HDP−CVDTM、Centura iSprintTM、又はEndura iLBTMシステムといった、プラズマALD(PE−ALD)チャンバ、プラズマCVD(PE−CVD)チャンバ、又は高密度プラズマCVD(HDP−CVD)チャンバの中に載置されうる。
一実施形態では、バリア層140は、物理的気相堆積(PVD)プロセス、化学気相堆積(CVD)プロセス、又は原子層堆積(ALD)プロセスを使用して形成されうる。バリア層140は、ルテニウム(Ru)、チタン(Ti)、窒化チタン(TiN)、タングステン(W)、窒化タングステン(WN)、窒化タングステンシリコン(WSiN)、タンタル(Ta)、窒化タンタル(TaN)、又はこれらの物質を含有するその他の合金を含有する、単一堆積層であっても多重堆積層であってもよい。一部の実施形態では、単一堆積層又は多重堆積層の積層体は、酸化物層を含みうる。一部の実施形態では、酸化物層は酸化物層120でありうる。酸化物層は酸化ケイ素又は二酸化ケイ素を含有する層でありうる。酸化ケイ素層又は二酸化ケイ素層は、絶縁層としての役割を果たしうる。酸化ケイ素層又は二酸化ケイ素層は、CVDプロセスを使用して堆積されうる。一実施形態では、多重堆積層の積層体は、二酸化ケイ素を含有する第1の層と、TiNを含有する第2の層とを有しうる。二酸化ケイ素は、オルトケイ酸テトラエチル(TEOS)に由来するものでありうる。一部の実施形態では、バリア層140は、約500Å〜約2,000Å(例えば、約1,000Å〜約1,500Å、約1,000Å〜約2,000Å)の厚さでありうる。
酸化物層112が存在する一部の実施形態では、バリア層140は、酸化物層112を覆って堆積される。酸化物層112が存在しない一部の実施形態では、バリア層140は、フィールド領域105上に直接堆積される。
工程270において、図1F及び図1Hに示しているように、基板100の裏側106を通して電流を流すことによる電気めっきプロセスによって、金属層150によるフィーチャ102のボトムアップ充填が行われる。一部の実施形態では、フィーチャ102は、好ましくは、フィーチャ102の底部の金属シード層130から、層がフィールド領域105とほぼ同じ高さになるまで充填される(例えばボトムアップ充填)。一部の実施形態では、金属層150は、銅(Cu)の層、コバルト(Co)の層、ニッケル(Ni)の層、銀(Ag)の層、又は、これらの元素のうちの一又は複数を含有する合金の層でありうる。一部の実施形態では、フィーチャ102は、フィーチャ102を充填するために2つ以上の層が連続して堆積される多重層充填プロセスを使用して、充填される。例示的なボトムアップ充填型電気めっきプロセスについては、図3及び図4を参照して後述する。通常、金属層150は、一又は複数の金属を含有する層の堆積を可能にする一又は複数の金属イオン源を含有する、電気めっき堆積液を使用して堆積されうる。一実施形態では、金属イオンのうちの1つは銅イオンであり、それ以外の金属イオン(複数可)は、アルミニウム(Al)、インジウム(In)、モリブデン(Mo)、タングステン(W)、マンガン(Mn)、コバルト(Co)、スズ(Sn)、ニッケル(Ni)、マグネシウム(Mg)、レニウム(Rh)、ベリリウム(Be)、リン(P)、ホウ素(B)、ガリウム(Ga)、又はルテニウム(Ru)からなる群から選択された金属である。一部の実施形態では、約0.5Amp〜2Ampの電流が使用される。一部の実施形態では、堆積バイアスは概して、約0.0005A/cm〜約0.01A/cm以下の電流密度を有する。
処理シーケンス200の一部の実施形態では、酸化物層112は、電気化学プロセス又は化学機械研磨プロセス(CMP)などの材料除去プロセスを使用することによって、フィールド領域105から除去されうる。一部の実施形態では、酸化物層120は工程230のプロセスにおいて、フィールド領域105から除去されうる。一部の実施形態では、このプロセス工程は、金属層150の堆積を実施した後にオーバーメッキの残余物があればそれを除去するプロセスを含む。基板100は、メッキ液及び/又は湿式接触液があればそれを除去するための、洗浄プロセスにも暴露されうる。洗浄プロセスは、スピン、すすぎ、及び乾燥のうちの少なくとも1つを含みうる。
図3は、本書に記載の堆積プロセスを実施するために使用されうるメッキセル300の概略断面図を示している。図4は、本書に記載の堆積プロセスを実施するために使用されうるメッキセル300の、別の概略断面図を示している。図3のメッキセル300と図4のメッキセル300とは、使用される湿式接触液以外は同一である。図3及び図4に示す湿式接触液は例示的なものであることも、理解すべきである。メッキセル300は接触液区画310とメッキ液区画320とを備え、基板100がこれらの区画の間に位置付けられている。メッキセル300は、図3では、垂直配向を有する(すなわち、基板が垂直配向を有する)ものとして描かれているが、メッキセル300が、湿式接触液区画310がメッキ液区画320の下に位置付けられる水平配向を有しうることも、理解すべきである。
可溶性アノード330が、メッキ液区画320内に位置付けられる。可溶性アノード330は、典型的には、基板100にメッキされる材料を含む。例えば、基板100に銅がメッキされる一部の実施形態では、可溶性アノード330は銅を含み、メッキ液区画320内のメッキ液に銅イオンを供給する。
不溶性電極340が、湿式接触液区画内に位置付けられる。不溶性電極340は、典型的には、湿式接触液区画内のプロセス化学作用に対して不活性な材料を含む。一部の実施形態では、不溶性電極340はホウ素でドープされた炭素(BDC)を含む。
メッキセル300は、メッキセル300の様々な構成要素に電力を供給するための電源360に連結されうる。電源360は、RF電源又は直流電源でありうる。電源360はコントローラ370に連結されうる。コントローラ370は、メッキセル300の動作を制御するために、メッキセル300に連結されうる。コントローラ370は、一又は複数のマイクロプロセッサ、マイクロコンピュータ、マイクロコントローラ、専用のハードウェア又は論理、及びこれらの組み合わせを含みうる。
メッキ液区画320は、予め混合されたメッキ液、又はメッキ液を形成する前駆体をメッキ液区画320に供給するための、第1流体供給源364に連結されうる。湿式接触液区画310は、湿式接触液、及び追加の添加物があればそれを湿式接触液区画310に供給するための、第2流体供給源366に連結されうる。
一部の実施形態では、湿式接触液は、基板100の裏側106に電流を届けることが可能な導電液を含む。一実施形態では、湿式接触液は電解質を含む。一実施形態では、湿式接触液は導電酸を含む。導電酸は、基板100の裏側106からシリコンを除去し、かつ、ウエハと電極との間に電流の流れを提供すると考えられている。導電酸の一例は、フッ化水素酸である。フッ化水素酸は、約10%(w/w)〜約49%(w/w)の水溶液でありうる。湿式接触液は、液の導電性を向上させるために追加の塩を含みうる。例示的な追加の塩はフッ化カリウムを含む。一実施形態では、湿式接触液は、フッ化水素酸溶液、水(49%)、及びフッ化カリウムを含む。
一実施形態では、湿式接触液は導電性基剤を含む。一部の実施形態では、導電性基剤は水酸化カリウム(KOH)である。KOHなどの導電性基剤は、典型的には、多孔性シリコンの形成を引き起こすことなく、111結晶配向のシリコンに関して低いエッチング速度(46Å/min)を示す。KOHなどの導電性基剤は、湿式接触を提供するのに十分な〜15A/分で、高温でSiOをエッチングすることも可能である。実験結果により、KOHベースの湿式接触液を用いる時間に応じてメッキ電流安定性が向上することが、確認された。導電性基剤(KOHなど)を使用することで、導電酸が使用される時に基板100の裏側106に多孔性シリコンが形成されることにより発生しうるメッキ電流の損失が、防止されると考えられている。
メッキ液
一実施形態では、メッキ液は、金属イオン源と、少なくとも一又は複数の酸性液とを含有する。一部の実施形態では、メッキ液は電気めっき液である。他の実施形態では、メッキ液は無電解メッキ液である。好適な酸性液は、例えば、硫酸、リン酸、ピロリン酸、塩酸、過塩素酸、酢酸、クエン酸、これらの組み合わせ、並びにそれらの酸電解質誘導体(アンモニウム塩及びカリウム塩を含む)などの、無機酸を含む。
一部の実施形態では、メッキ液中の金属イオン源は銅イオン源である。有用な銅源は、硫酸銅(CuSO)、硫化銅(I)(CuS)、硫化銅(II)(CuS)、塩化銅(I)(CuCl)、塩化銅(II)(CuCl)、酢酸銅(II)(Cu(COCH)、ピロリン酸銅(Cu)、ホウフッ化銅(Cu(BF)、酢酸銅((CHCOCu)、銅アセチルアセトナート((CCu)、リン酸銅、硝酸銅、炭酸銅、スルファミン酸銅、スルホン酸銅、ピロリン酸銅、シアン化銅、これらの誘導体、これらの水和物、又はこれらの組み合わせを含む。一部の銅源は、CuSO5HO、CuCl2HO、及び(CHCOCuHOなどの水和誘導体として、一般的に入手可能である。電解質の組成は、アルカリ性銅メッキ槽(例えば、シアン化物、グリセリン、アンモニアなど)に基づくものであることも可能である。一実施形態では、電解質中の銅イオンの濃度は、約0.1M〜約1.1Mの範囲にわたりうる。一実施形態では、電解質中の銅イオンの濃度は、約0.4M〜約0.9Mの範囲にわたりうる。
一実施形態では、メッキ液は無添加メッキ液である。一実施形態では、無添加メッキ液は硫酸銅のみを含有する。
オプションで、メッキ液は、一又は複数の添加化合物を含みうる。一部の実施形態では、メッキ液は酸化剤を含有する。本書において、酸化剤は、金属層を対応する酸化物に(例えば、銅を酸化銅に)酸化させるために使用されうる。好適な酸化剤の例は、ペルオキシ化合物、例えば、過酸化水素尿素、過炭酸塩、及び有機過酸化物(アルキル過酸化物、環状過酸化物又はアリール過酸化物、過酸化ベンゾイル、過酢酸、ジ‐t‐ブチル過酸化物などを含む)を含む過酸化水素及びその付加体などのヒドロキシラジカルを通じて解離しうる化合物を、含む。ペルオキシ二硫酸アンモニウム、ペルオキシ二硫酸カリウム、過硫酸アンモニウム、及び過硫酸カリウムなどを含む、硫酸塩及び硫酸誘導体(モノ過硫酸塩及び二過硫酸塩など)も使用されうる。過炭酸ナトリウム及び過酸化ナトリウムなどのペルオキシ化合物の塩も、使用されうる。一部の実施形態では、約0.001%〜約90%(質量パーセント又は重量パーセント)の範囲にわたる量の酸化剤が、メッキ液中に存在しうる。別の実施形態では、約0.01%〜約20%(質量パーセント又は重量パーセント)の範囲にわたる量の酸化剤が、メッキ液中に存在しうる。更に別の実施形態では、約0.1%〜約15%(質量パーセント又は重量パーセント)の範囲にわたる量の酸化剤が、メッキ液中に存在することもある。
一部の実施形態では、望ましいpHを有する安価な電解質を形成して、エネルギーデバイスを形成する所有コストを削減するために、水酸化カリウム(KOH)や水酸化ナトリウム(NaOH)などの低コストのpH調整剤を添加することが望ましい。一部の実施形態では、pHを調整するためにテトラメチルアンモニウム水酸化物(TMAH)を使用することが望ましい。
一部の実施形態では、電気化学的に堆積される成長層若しくはこの層の粒界をプレートアウトするか、又は、かかる層若しくは粒界に組み込まれる、主たる金属イオンを含有する電解槽(例えば銅イオンを含有する槽)に第2の金属イオンを添加することが望ましいこともある。一定割合の第2元素を含有する金属層の形成は、形成された層の内在応力を低減するため、及び/又は、その電気的特性及びエレクトロマイグレーション特性を改善するために、役立ちうる。一実施形態では、電解質溶液中の金属イオン源は、銀、スズ、亜鉛、コバルト、ニッケルのイオン源、及びこれらの組み合わせかを含む群から選択されたイオン源である。一実施形態では、電解質中の銀(Ag)、スズ(Sn)、亜鉛(Zn)、コバルト(Co)、又はニッケル(Ni)のイオン濃度は、約0.1M〜約0.4Mの範囲にわたりうる。
好適なニッケル源の例は、硫酸ニッケル、塩化ニッケル、酢酸ニッケル、リン酸ニッケル、これらの誘導体、これらの水和物、又はこれらの組み合わせを含む。
好適なスズ源の例は、可溶性スズ化合物を含む。可溶性スズ化合物は第二スズ塩又は第一スズ塩でありうる。第二スズ塩又は第一スズ塩は、硫酸塩、アルカンスルホン酸塩、又はアルカノールスルホン酸塩でありうる。例えば、槽可溶性のスズ化合物は、
(RSOSn
という化学式で表わされる、一又は複数のアルカンスルホン酸第一スズ(stannous alkane sulfonate)であってよく、この式中、Rは、1〜12の炭素原子を含むアルキル基である。アルカンスルホン酸第一スズは、
Figure 2019504503
という化学式で表わされる、メタンスルホン酸第一スズ(stannous methane sulfonate)でありうる。槽可溶性のスズ化合物は、SnSOという化学式で表わされる、硫酸第一スズであってもよい。
可溶性スズ化合物の例は、メタンスルホン酸、エタンスルホン酸、2−プロパノールンスルホン酸、p‐フェノールスルホン酸及び同類、ホウフッ化スズ(II)、スズ(II)スルホスクシネート(tin(II)sulfosuccinate)、硫酸スズ(II)、酸化スズ(II)、塩化スズ(II)及び同類といった、有機スルホン酸のスズ(II)塩も含みうる。これらの可溶性スズ(II)化合物は、単独でも、2つ以上の種類の組み合わせでも、使用されうる。
好適なコバルト源の例は、硫酸コバルト、硝酸コバルト、塩化コバルト、臭化コバルト、炭酸コバルト、酢酸コバルト、エチレンジアミン四酢酸コバルト、コバルト(II)アセチルアセトネート(cobalt(II)acetyl acetonate)、コバルト(III)アセチルアセトネート(cobalt(III)acetyl acetonate)、グリシンコバルト(III)、ピロリン酸コバルト、及びこれらの組み合わせから選択された、コバルト塩を含みうる。
メッキ液は、約20ppm〜約600ppmの範囲内の濃度のマンガン又は鉄も含有しうる。別の実施形態では、メッキ液は、約100ppm〜約400ppmの範囲内の濃度のマンガン又は鉄を含有することもある。使用可能な鉄源は、水和物を含む塩化鉄(II)(FeCl)、塩化鉄(III)(FeCl)、酸化鉄(II)(FeO)、酸化鉄(II、III)(Fe)、及び酸化鉄(III)(Fe)を含む。使用可能なマンガン源は、酸化マンガン(IV)(MnO)、硫酸マンガン(II)一水和物(MnSO・HO)、塩化マンガン(II)(MnCl)、塩化マンガン(III)(MnCl)、フッ化マンガン(MnF)、及びリン酸マンガン(Mn(PO)を含む。
一部の実施形態では、メッキ液は、銅源化合物の代わりの自由銅イオン、及び錯化された銅イオンを含有する。
一部の実施形態では、メッキ液は、堆積プロセスにおいて、安定性及び制御を提供すると共に銅イオンを有する錯体を形成するために、少なくとも1つの錯化剤又はキレート剤も含みうる。錯化剤は更に、無電解銅溶液に緩衝特性を提供する。錯化剤は通常、カルボン酸、ジカルボン酸、ポリカルボン酸、アミノ酸、アミン、ジアミン、又はポリアミンなどの、官能基を有する。無電解銅溶液向けの有用な錯化剤の特定の例は、エチレンジアミン四酢酸(EDTA)、エチレンジアミン(EDA)、クエン酸、クエン酸エステル、グリオキシル酸、グリシン、アミノ酸、これらの誘導体、これらの塩、又はこれらの組み合わせを含む。一実施形態では、メッキ液は、約50mM〜約500mMの範囲内の濃度の錯化剤を有しうる。別の実施形態では、メッキ液は、約75mM〜約400mMの範囲内の濃度の錯化剤を有しうる。更に別の実施形態では、メッキ液は、約100mM〜約300mMの範囲内(例えば約200mM)の濃度の錯化剤を有することもある。一実施形態では、EDTA源は、メッキ液中の錯化剤として使用される。一例では、メッキ液は約205mMのEDTA源を含有する。EDTA源は、EDTA、エチレンジアミンテトラアセテート、それらの塩、それらの誘導体、又はそれらの組み合わせを含みうる。
ある種の実施形態では、メッキ液は少なくとも1つの還元体を含有する。還元体は、本書に記載しているように、銅材料を形成し堆積させている間に銅イオンの化学還元を引き起こすための、電子を提供する。還元体は、有機還元体(グリオキシル酸やホルムアルデヒドなど)、ヒドラジン、有機ヒドラジン(メチルヒドラジンなど)、次亜リン酸塩源(例えば、次亜リン酸(HPO)、次亜リン酸アンモニウム((NH4−xPO)、又はこれらの塩)、ボラン源(例えば、ジメチルアミンボラン錯体((CHNHBH)、DMAB)、トリメチルアミンボラン錯体((CHNBH)、TMAB)、ボラン−t−ブチルアミン錯体(tBuNHBH)、テトラヒドロフランボラン錯体(THFBH)、ピリジンボラン錯体(CNBH)、アンモニアボラン錯体(NHBH)、ボラン(BH)、ジボラン(B)、これらの誘導体、これらの錯体、これらの水和物、又はこれらの組み合わせ)を含む。一実施形態では、メッキ液は、約20mM〜約500mMの範囲内の濃度の還元体を有しうる。別の実施形態では、メッキ液は、約100mM〜約400mMの範囲内の濃度の還元体を有しうる。更に別の実施形態では、メッキ液は、約150mM〜約300mMの範囲内(例えば約200mM)の濃度の還元体を有することもある。好ましくは、グリオキシル酸又はグリオキシル酸源などの、有機還元体又は有機物を含有する還元体が、メッキ液中で利用される。グリオキシル酸源は、グリオキシル酸、グリオキシレート、これらの塩、これらの錯体、これらの誘導体、又はこれらの組み合わせを含みうる。一例では、約217mMの濃度のグリオキシル酸一水和物(HCOCOH・HO)が、無電解銅溶液中に含有される。
メッキ液は、例えばレベラー、阻害剤、抑制剤、光沢剤、促進剤、又はそれ以外の当該技術分野において既知の添加物であってよく、かつ、典型的には、メッキされる基板の表面上に吸着する有機材料である、他の添加物を含有しうる。有用な抑制剤は、典型的には、基板表面上に吸着し、吸着エリアにおける銅堆積を減速させる、ポリエチレン、グリコール、又は、酸化ポリプロピレンなどのその他のポリマーといった、ポリエーテルを含む。有用な促進剤は、典型的には、吸着部位で抑制剤と拮抗し、吸着エリアにおける銅堆積を加速させる、ビス−(3−スルホプロピル)ジスルフィドなどの硫化物又は二硫化物を含む。有用な阻害剤は、典型的には、基板上での銅堆積の速度を抑制する、安息香酸ナトリウム及び亜硫酸ナトリウムを含む。メッキ時に、添加物は基板表面において消費されるが、電気めっき液によって継続的に補充される。しかし、様々な添加物の拡散速度が異なることにより、フィーチャの上部と底部とに別々の表面濃度がもたらされ、ひいては、フィーチャ内でのメッキ速度が別様になる。ボトムアップ充填のために、理想的には、メッキ速度は、フィーチャの底部においてより早くなるべきである。ゆえに、フィーチャのボイドフリー充填を実現するために、メッキ液中の適切な組成の添加物が使用されうる。
メッキ液は、界面活性剤も有しうる。界面活性剤は、銅を含有する溶液と基板表面との間の表面張力を低減するための、湿潤剤として作用する。一実施形態では、メッキ液は概して、約1,000ppm以下の濃度の界面活性剤を含有する。別の実施形態では、メッキ液は概して、約500ppm以下(例えば、約100ppm〜約300ppmの範囲内)の濃度の界面活性剤を含有する。界面活性剤は、イオン特性又は非イオン特性を有しうる。例示的な界面活性剤は、ポリエチレングリコール(PEG)、ポリプロピレングリコール(PPG)などといった、グリコールエーテルベースの界面活性剤を含む。PEG及びPPGは、有利な特性により、界面活性剤、阻害剤、及び/又は抑制剤として使用されうる。一例では、グリコールエーテルベースの界面活性剤は、The Dow Chemical Companyから入手可能なTRITON(R) 100などの、ポリオキシエチレンユニットを含有しうる。無電解銅溶液中で使用されうる他の界面活性剤は、ドデシル硫酸ナトリウム(SDS)などの硫酸ドデシルを含む。界面活性剤は、単一の化合物であっても、異なる長さの炭化水素鎖を含有する分子を有する化合物の混合体であってもよい。
上述のメッキ液の残部は、水(脱イオン水など)を含む極性溶媒などの溶媒、及び、有機溶媒(アルコールやグリコールなど)でありうる。
一実施形態では、1Lのメッキ液は、220g/LのCuSOと、27ml/LのHSOと、微量のHClと、脱イオン水である残部とを含む。

以下の非限定的な例は、本書に記載の実施形態を更に例示するものである。しかし、これらの例は、本書に記載の実施形態の全てを網羅することを意図しておらず、かつ、その範囲を限定することを意図するものでもない。
例1:
8×8cmのサイズのシリコン試片が、無電解ニッケルメッキ液に暴露された。このニッケルメッキ液は、100mlの水に0.5MのNiSOを添加すること、及び、この混合物を摂氏90度を上回るまで加熱することによって、形成された。次いで、30%のNHOH200mlが混合物に添加された。混合物の温度は摂氏70度に維持された。基板100はおよそ20分間この無電解メッキ液に浸漬された後、脱イオン水によるすすぎ、Nによる乾燥が続いた。
シリコン試片は、図3のメッキセル300に類似したメッキセル内に位置付けられた。シリコン試片の表側が、220g/LのCuSOと、27ml/LのHSOと、微量のHClと、脱イオン水とである残部とを含む1Lのメッキ液に暴露された。シリコン試片の裏側は、フッ化水素酸溶液、水(49%)、及びフッ化カリウムを含む湿式接触液に暴露された。0.005アンペア/平方センチメートル(A/cm)の電流密度、及び1.24〜1.3ボルトの電位が、およそ4分間にわたって印加された。シリコン試片上には銅薄層が堆積され、裏側の湿式接触を使用してシリコンに銅がメッキされうることが、成功裏に示された。
要約すると、本開示の実施形態の一部の利点のいくつかは、接触材料がボイド、シーム、及び他の不具合なしに堆積されるように導電性接触材料でフィーチャを充填するための方法を、提供するものである。本書に記載の改良型の方法は、高アスペクト比のフィーチャを充填するのに、特に有利である。本書に記載の実施形態は、Si貫通電極(TSV)の応用において特に有利である。一部の実施形態では、銅メッキ速度を最大化するために、最小限の添加物しか有しない単純な銅包含化学作用を用いて、ボトムアップメッキを行うことにより、不具合のない堆積が実現される。本書に記載の一部の実施形態では、ウエハ裏側の湿式接触を使用する、ボトムアップ式のビアメッキのための方法が、速いメッキ速度での共形銅堆積を実現するために使用される。本書において、「基板(substrate)」とは、その上に層が形成されることも、されないこともある、支持基板でありうる。パターン基板は、ドーピング濃度及びプロファイルが多種多様な絶縁体又は半導体であってよく、例えば、集積回路の製造で使用される種類の半導体基板でありうる。パターン基板の露出した「シリコン(silicon)」とは、主としてSiであるが、少量濃度の、窒素、酸素、水素、炭素などといった他の元素成分も含みうる。パターン基板の露出した「窒化ケイ素(silicon nitride)」とは、主としてSiであるが、少量濃度の、酸素、水素、炭素などといった他の元素成分も含みうる。パターン基板の露出した「酸化ケイ素(silicon oxide)」とは、主としてSiOであるが、少量濃度の、窒素、水素、炭素などといった他の元素成分も含みうる。
いくつかの実施形態を開示してきたが、開示されている実施形態の本質から逸脱しなければ、様々な変形例、代替構造、及び同等物が使用されうることが、当業者には認識されよう。あるいは、本発明を不必要に分かりにくくすることを避けるために、いくつかの周知のプロセス及び要素については説明しなかった。したがって、上記の説明は、本開示の範囲を限定するものと解釈すべきではない。
本開示の要素、又はそれらの例示的な態様又は実施形態(複数可)を紹介する場合の、冠詞の「1つの(a、an)」及び「前記(the、said)」は、一又は複数の要素が存在していることを意味するためのものである。
「備える(comprising)」、「含む(including)」、及び「有する(having)」という語は、包括的であることが意図されており、列挙された要素以外にも追加の要素がありうることを意味する。
量、比率、割合、及びその他の測定値は全て、別様の記載がない限り、重量によるものである。パーセンテージは全て、別様の記載がない限り、本開示の慣例にしたがった、全体組成に基づく重量パーセントに言及している。
以上の記述は本開示の実施形態を対象としているが、本開示の基本的な範囲から逸脱しなければ、本開示の他の実施形態及び更なる実施形態が考案されてよく、本開示の範囲は、下記の特許請求の範囲によって決まる。

Claims (15)

  1. 基板上に材料を堆積させるための方法であって、
    シリコン基板に形成されたフィーチャの少なくとも1つの側壁と底面とを覆って、共形酸化物層を堆積させることであって、前記基板が、
    前記フィーチャを取り囲むフィールド領域と、
    裏側とを備え、前記フィーチャは前記フィールド領域から前記裏側に向かって延在する、共形酸化物層を堆積させることと、
    前記シリコン基板の一部分を露出させるために、前記フィーチャの前記底面から前記共形酸化物層の一部分を選択的に除去することと、
    前記フィーチャの底部の前記シリコン基板が露出した部分に、金属シード層を堆積させることと、
    前記金属シード層上に金属層を形成するために、前記シリコン基板の前記裏側を通して電流を流すことによって前記シリコン基板を電気メッキプロセスに暴露することとを含む、方法。
  2. 前記フィーチャの前記底部の露出した前記シリコン基板上に前記金属シード層を堆積させた後に、前記酸化物層上に共形バリア層を形成することを更に含む、請求項1に記載の方法。
  3. 前記金属シード層上に金属層を形成するために、前記シリコン基板の前記裏側を通して電流を流すことによって前記シリコン基板を電気メッキプロセスに暴露することが、フッ化水素酸溶液を含む湿式接触液に前記シリコン基板の前記裏側を暴露すること、及び、銅を含有する溶液に前記シード層を暴露することを含む、請求項1に記載の方法。
  4. 前記湿式接触液はフッ化カリウムを更に含む、請求項3に記載の方法。
  5. バリア層が、窒化チタン(TiN)、窒化タングステン(WN)、又は窒化タングステン―シリコン(WSiN)を含む、請求項1に記載の方法。
  6. 前記共形酸化物層が二酸化ケイ素層である、請求項5に記載の方法。
  7. 前記金属シード層の金属がコバルトとニッケルから選択される、請求項1に記載の方法。
  8. 前記金属シード層の金属が、無電解プロセスによって堆積されたニッケルである、請求項7に記載の方法。
  9. 前記金属シード層の金属が、無電解プロセスと化学気相堆積プロセスのいずれかによって堆積されたコバルトである、請求項7に記載の方法。
  10. 前記金属層が銅を含む、請求項1に記載の方法。
  11. 前記フィーチャが、コンタクト、ビア、及びラインから選択された開孔である、請求項1に記載の方法。
  12. 前記金属シード層上に金属層を形成するために、前記シリコン基板の裏側を通して電流を流すことによって前記シリコン基板を電気メッキプロセスに暴露することが、水酸化カリウム溶液に前記シリコン基板の前記裏側を暴露すること、及び、銅を含有する溶液に前記シード層を暴露することを含む、請求項1に記載の方法。
  13. 基板上に材料を堆積させるための方法であって、
    シリコン基板に形成されたフィーチャの少なくとも1つの側壁と底面とを覆って、酸化物層を堆積させることであって、前記基板が、
    前記フィーチャを取り囲む、表面上に酸化物層が配置されているフィールド領域と、
    裏側とを備え、前記フィーチャは前記フィールド領域から前記裏側に向かって延在する、酸化物層を堆積させることと、
    前記シリコン基板の一部分を露出させるために、前記フィーチャの前記底面から前記酸化物層の一部分を選択的に除去することであって、前記酸化物層の少なくとも一部分が、前記少なくとも1つの側壁に残る、選択的に除去することと、
    前記フィーチャの底部の前記シリコン基板が露出した前記部分に、金属シード層を堆積させることと、
    前記酸化物層の前記少なくとも1つの側壁に残っている前記部分の上に、バリア層を形成することと、
    前記金属シード層上に金属層を形成するために、前記シリコン基板の前記裏側を通して電流を流すことによって前記シリコン基板を電気メッキプロセスに暴露することとを含む、方法。
  14. 前記バリア層が、窒化チタン(TiN)、窒化タングステン(WN)、又は窒化タングステン―シリコン(WSiN)を含む、請求項13に記載の方法。
  15. 前記金属シード層上に金属層を形成するために、前記シリコン基板の前記裏側を通して電流を流すことによって前記シリコン基板を電気メッキプロセスに暴露することが、フッ化水素酸溶液を含む湿式接触液に前記シリコン基板の前記裏側を暴露すること、及び、銅を含有する溶液に前記シード層を暴露することを含む、請求項14に記載の方法。
JP2018537774A 2016-01-21 2016-12-19 Si貫通電極のメッキのプロセス及び化学作用 Active JP6903061B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662281470P 2016-01-21 2016-01-21
US62/281,470 2016-01-21
PCT/US2016/067571 WO2017127197A1 (en) 2016-01-21 2016-12-19 Process and chemistry of plating of through silicon vias

Publications (3)

Publication Number Publication Date
JP2019504503A true JP2019504503A (ja) 2019-02-14
JP2019504503A5 JP2019504503A5 (ja) 2020-02-06
JP6903061B2 JP6903061B2 (ja) 2021-07-14

Family

ID=59359138

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018537774A Active JP6903061B2 (ja) 2016-01-21 2016-12-19 Si貫通電極のメッキのプロセス及び化学作用

Country Status (6)

Country Link
US (1) US9935004B2 (ja)
JP (1) JP6903061B2 (ja)
KR (1) KR20180097179A (ja)
CN (1) CN108474129B (ja)
TW (1) TWI718227B (ja)
WO (1) WO2017127197A1 (ja)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3140838B1 (en) 2014-05-05 2021-08-25 3D Glass Solutions, Inc. Inductive device in a photo-definable glass structure
EP3420571A4 (en) 2016-02-25 2020-03-25 3D Glass Solutions, Inc. 3D CAPACITOR AND CAPACITOR ARRANGEMENT FOR THE PRODUCTION OF PHOTOACTIVE SUBSTRATES
WO2017177171A1 (en) 2016-04-08 2017-10-12 3D Glass Solutions, Inc. Methods of fabricating photosensitive substrates suitable for optical coupler
KR102424817B1 (ko) * 2016-10-17 2022-07-25 도쿄엘렉트론가부시키가이샤 금속 배선층 형성 방법, 금속 배선층 형성 장치 및 기억 매체
US10103056B2 (en) * 2017-03-08 2018-10-16 Lam Research Corporation Methods for wet metal seed deposition for bottom up gapfill of features
KR102420212B1 (ko) 2017-04-28 2022-07-13 3디 글래스 솔루션즈 인코포레이티드 Rf 서큘레이터
EP3649733A4 (en) 2017-07-07 2020-05-13 3D Glass Solutions, Inc. 2D AND 3D RF EMBOSSED ELEMENT DEVICES FOR RF SYSTEM IN GROUP PHOTOACTIVE GLASS SUBSTRATES
WO2019118761A1 (en) 2017-12-15 2019-06-20 3D Glass Solutions, Inc. Coupled transmission line resonate rf filter
AU2018399638B2 (en) 2018-01-04 2021-09-02 3D Glass Solutions, Inc. Impedance matching conductive structure for high efficiency RF circuits
JP6888105B2 (ja) 2018-04-10 2021-06-16 スリーディー グラス ソリューションズ,インク3D Glass Solutions,Inc Rf集積電力調整コンデンサ
EP3645476B1 (en) 2018-05-29 2023-06-14 3D Glass Solutions, Inc. Low insertion loss rf transmission line
KR102322938B1 (ko) 2018-09-17 2021-11-09 3디 글래스 솔루션즈 인코포레이티드 접지면을 갖는 고효율 컴팩트형 슬롯 안테나
US10651081B2 (en) * 2018-09-21 2020-05-12 Nanya Technology Corporation Semiconductor structure and manufacturing method thereof
JP7080781B2 (ja) * 2018-09-26 2022-06-06 株式会社東芝 多孔質層の形成方法、エッチング方法、物品の製造方法、半導体装置の製造方法、及びめっき液
US10734308B2 (en) 2018-11-20 2020-08-04 Nanya Technology Corporation Semiconductor device and method for manufacturing the same
KR102642603B1 (ko) 2018-12-28 2024-03-05 3디 글래스 솔루션즈 인코포레이티드 광활성 유리 기판들에서 rf, 마이크로파, 및 mm 파 시스템들을 위한 이종 통합
CA3107812C (en) 2018-12-28 2023-06-27 3D Glass Solutions, Inc. Annular capacitor rf, microwave and mm wave systems
FR3092589A1 (fr) * 2019-02-08 2020-08-14 Aveni Electrodéposition d’un alliage de cobalt et utilisation en microélectronique
JP7273170B2 (ja) * 2019-02-08 2023-05-12 アヴニ コバルト又は銅合金の電着、及びマイクロエレクトロニクスにおける使用
CA3172853A1 (en) 2019-04-05 2020-10-08 3D Glass Solutions, Inc. Glass based empty substrate integrated waveguide devices
WO2020214788A1 (en) 2019-04-18 2020-10-22 3D Glass Solutions, Inc. High efficiency die dicing and release
KR102633148B1 (ko) 2019-05-28 2024-02-06 삼성전자주식회사 관통 비아를 포함하는 반도체 장치 및 이의 제조 방법
US11121088B2 (en) * 2019-10-16 2021-09-14 Advanced Semiconductor Engineering, Inc. Semiconductor package structure and method of manufacturing the same
CN110767604B (zh) * 2019-10-31 2022-03-18 厦门市三安集成电路有限公司 化合物半导体器件和化合物半导体器件的背面铜制程方法
CA3177603C (en) 2020-04-17 2024-01-09 3D Glass Solutions, Inc. Broadband induction
JP2022141425A (ja) * 2021-03-15 2022-09-29 キオクシア株式会社 半導体製造方法および半導体装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003273107A (ja) * 2002-03-13 2003-09-26 Seiko Epson Corp 半導体装置の製造方法及び半導体装置並びに回路基板及び電子機器
JP2010517267A (ja) * 2007-01-17 2010-05-20 ヒューレット−パッカード デベロップメント カンパニー エル.ピー. 基板貫通相互接続を形成する方法
JP2013520830A (ja) * 2010-02-25 2013-06-06 エスピーティーエス テクノロジーズ リミティド ビア及びエッチングされた構造におけるコンフォーマル絶縁層の形成方法及びパターン形成方法
JP2013201353A (ja) * 2012-03-26 2013-10-03 Renesas Electronics Corp 半導体集積回路装置の製造方法
WO2014204620A1 (en) * 2013-06-17 2014-12-24 Applied Materials, Inc. Method for copper plating through silicon vias using wet wafer back contact

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4321283A (en) 1979-10-26 1982-03-23 Mobil Tyco Solar Energy Corporation Nickel plating method
US6498091B1 (en) 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US20020111013A1 (en) * 2001-02-15 2002-08-15 Okada Lynn A. Method for formation of single inlaid structures
JP4043234B2 (ja) 2001-06-18 2008-02-06 株式会社荏原製作所 電解加工装置及び基板処理装置
US6509267B1 (en) 2001-06-20 2003-01-21 Advanced Micro Devices, Inc. Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer
US6897148B2 (en) 2003-04-09 2005-05-24 Tru-Si Technologies, Inc. Electroplating and electroless plating of conductive materials into openings, and structures obtained thereby
US20070125657A1 (en) 2003-07-08 2007-06-07 Zhi-Wen Sun Method of direct plating of copper on a substrate structure
US20060246217A1 (en) 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
CN101578141A (zh) 2005-09-08 2009-11-11 应用材料股份有限公司 大面积电子设备的图案化化学电镀金属化制程
WO2007035880A2 (en) 2005-09-21 2007-03-29 Applied Materials, Inc. Method and apparatus for forming device features in an integrated electroless deposition system
JP2008053568A (ja) 2006-08-25 2008-03-06 Nec Electronics Corp 半導体装置および半導体装置の製造方法
KR100883806B1 (ko) 2007-01-02 2009-02-17 삼성전자주식회사 반도체 장치 및 그 형성방법
CN100483677C (zh) * 2007-01-29 2009-04-29 清华大学 用超声化学镀制备集成电路铜互连线和阻挡层的方法
WO2009093483A1 (ja) * 2008-01-23 2009-07-30 Nippon Mining & Metals Co., Ltd. バリア層上にルテニウム電気めっき層を有するulsi微細配線部材
KR101300587B1 (ko) 2009-12-09 2013-08-28 한국전자통신연구원 반도체 소자의 제조 방법
US20130213816A1 (en) * 2010-04-06 2013-08-22 Tel Nexx, Inc. Incorporating High-Purity Copper Deposit As Smoothing Step After Direct On-Barrier Plating To Improve Quality Of Deposited Nucleation Metal In Microscale Features
JP5996244B2 (ja) 2011-04-19 2016-09-21 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 半導体上の銅のめっき
US8664126B2 (en) * 2011-06-10 2014-03-04 Applied Materials, Inc. Selective deposition of polymer films on bare silicon instead of oxide surface
WO2013095433A1 (en) 2011-12-21 2013-06-27 Intel Corporation Electroless filled conductive structures
US9330939B2 (en) * 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US8791005B2 (en) * 2012-06-18 2014-07-29 International Business Machines Corporation Sidewalls of electroplated copper interconnects
US20140374907A1 (en) 2012-06-21 2014-12-25 Applied Materials, Inc. Ultra-thin copper seed layer for electroplating into small features
US9029258B2 (en) 2013-02-05 2015-05-12 Lam Research Corporation Through silicon via metallization
US20150137323A1 (en) * 2013-11-15 2015-05-21 United Microelectronics Corp. Method for fabricating through silicon via structure
US9617648B2 (en) * 2015-03-04 2017-04-11 Lam Research Corporation Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003273107A (ja) * 2002-03-13 2003-09-26 Seiko Epson Corp 半導体装置の製造方法及び半導体装置並びに回路基板及び電子機器
JP2010517267A (ja) * 2007-01-17 2010-05-20 ヒューレット−パッカード デベロップメント カンパニー エル.ピー. 基板貫通相互接続を形成する方法
JP2013520830A (ja) * 2010-02-25 2013-06-06 エスピーティーエス テクノロジーズ リミティド ビア及びエッチングされた構造におけるコンフォーマル絶縁層の形成方法及びパターン形成方法
JP2013201353A (ja) * 2012-03-26 2013-10-03 Renesas Electronics Corp 半導体集積回路装置の製造方法
WO2014204620A1 (en) * 2013-06-17 2014-12-24 Applied Materials, Inc. Method for copper plating through silicon vias using wet wafer back contact

Also Published As

Publication number Publication date
US20170213762A1 (en) 2017-07-27
US9935004B2 (en) 2018-04-03
KR20180097179A (ko) 2018-08-30
CN108474129A (zh) 2018-08-31
WO2017127197A1 (en) 2017-07-27
JP6903061B2 (ja) 2021-07-14
TWI718227B (zh) 2021-02-11
TW201739959A (zh) 2017-11-16
CN108474129B (zh) 2020-12-25

Similar Documents

Publication Publication Date Title
JP6903061B2 (ja) Si貫通電極のメッキのプロセス及び化学作用
US10879116B2 (en) Method for copper plating through silicon vias using wet wafer back contact
CN102124551B (zh) 穿硅通孔填充工艺
US7514353B2 (en) Contact metallization scheme using a barrier layer over a silicide layer
US8138084B2 (en) Electroless Cu plating for enhanced self-forming barrier layers
US7651934B2 (en) Process for electroless copper deposition
TW527666B (en) Electroless method of seed layer deposition, repair, and fabrication of Cu interconnects
US7968455B2 (en) Copper deposition for filling features in manufacture of microelectronic devices
US20120315756A1 (en) Process for electroless copper deposition on a ruthenium seed
US20070071888A1 (en) Method and apparatus for forming device features in an integrated electroless deposition system
JP2009527912A (ja) 半導体デバイスの製造において直接銅めっきし、かつ充填して相互配線を形成するための方法及び組成物
KR20160105808A (ko) 전기화학적 불활성 양이온을 함유하는 구리 전착 배쓰
KR102403843B1 (ko) 상호접속부의 코발트 충전
JP2012512967A (ja) 非水溶液からの無電解析出
TWI638424B (zh) 利用濕式晶圓背側接觸進行銅電鍍矽穿孔的方法
US20050092616A1 (en) Baths, methods, and tools for superconformal deposition of conductive materials other than copper
WO2006102182A2 (en) Process for electroless copper deposition
TW202331009A (zh) 用於鍍銅的組合物和使用該組合物製造含銅導體的方法
KR20230146586A (ko) 전해질 및 코발트 전착 방법
Dubin 3D THROUGH-SILICON VIA FILLING WITH ELECTROCHEMICAL NANOMATERIALS

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191219

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191220

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20201214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201222

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210319

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210525

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210622

R150 Certificate of patent or registration of utility model

Ref document number: 6903061

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150