JP2018011070A - 集積回路構造、非平面型半導体デバイスおよび非平面型半導体デバイスを製造する方法 - Google Patents

集積回路構造、非平面型半導体デバイスおよび非平面型半導体デバイスを製造する方法 Download PDF

Info

Publication number
JP2018011070A
JP2018011070A JP2017169678A JP2017169678A JP2018011070A JP 2018011070 A JP2018011070 A JP 2018011070A JP 2017169678 A JP2017169678 A JP 2017169678A JP 2017169678 A JP2017169678 A JP 2017169678A JP 2018011070 A JP2018011070 A JP 2018011070A
Authority
JP
Japan
Prior art keywords
layer
gate electrode
electrode stack
heterostructure
channel region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017169678A
Other languages
English (en)
Other versions
JP6555622B2 (ja
Inventor
ピラリセティ、ラヴィ
Pillarisetty Ravi
ラシュマディ、ウィリー
Rachmady Willy
エイチ. レー、ヴァン
H Le Van
エイチ. レー、ヴァン
フーン スン、セゥン
Seung Hoon Sung
フーン スン、セゥン
エス. カチアン、ジェシカ
S Kachian Jessica
エス. カチアン、ジェシカ
ティー. カヴァリエロス、ジャック
T Kavalieros Jack
ティー. カヴァリエロス、ジャック
ウイ テン、ハン
Han Wui Then
ウイ テン、ハン
ドゥウェイ、ギルバート
Dewey Gilbert
ラドサヴルジェヴィック、マルコ
Radosavljevic Marko
チュ−クン、ベンジャミン
Chu-Kung Benjamin
ムケルジェー、ニロイ
Mukherjee Niloy
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of JP2018011070A publication Critical patent/JP2018011070A/ja
Application granted granted Critical
Publication of JP6555622B2 publication Critical patent/JP6555622B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78609Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device for preventing leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/201Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys
    • H01L29/205Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78681Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising AIIIBV or AIIBVI or AIVBVI semiconductor materials, or Se or Te
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

【課題】ゲルマニウム活性層またはIII−V族活性層を有する深いゲートオールアラウンド半導体デバイスを提供する。【解決手段】非平面型半導体デバイス100は、基板104の上方に配置されたヘテロ構造を含む。ヘテロ構造は、異なる組成の上層107と下層106との間にヘテロ接合を含む。活性層102は、ヘテロ構造の上方に配置され、ヘテロ構造の上層107および下層106とは異なる組成を有する。ゲート電極スタック116は、活性層102のチャネル領域上に配置されてチャネル領域を完全に包囲するとともに、ヘテロ構造の上層中および少なくとも部分的に下層中のトレンチ中に配置される。ソース・ドレイン領域110および112は、ゲート電極スタック116のどちらかの側において活性層中および上層中に配置されるが、下層中には配置されない。【選択図】図1

Description

本発明の複数の実施形態は、半導体デバイスの分野に係り、特に、複数のゲルマニウム活性層またはIII−V族活性層を有する深いゲートオールアラウンド半導体デバイスに係る。
過去数十年間にわたり、集積回路中の構造のスケーリングは、拡大を続ける半導体産業を支える原動力であった。ますます小さな構造へとスケーリングすることは、半導体チップの限られた敷地上での機能ユニットの密度増大を可能にする。例えば、トランジスタサイズを縮小することは、より多くの数のメモリデバイスをチップ上に組み込むことを可能にするので、容量の増大した製品の製造につながる。しかしながら、これまで以上の容量に向かうことには問題が無いわけではない。それぞれのデバイスの性能を最適化する必要性が次第に顕著になる。
集積回路デバイスの製造において、トライゲートトランジスタのようなマルチゲートトランジスタは、デバイス寸法が縮小し続けるに連れて一層普及してきた。従来のプロセスにおいてトライゲートトランジスタは、一般的に、バルクシリコン基板か、あるいはシリコン・オン・インシュレーター基板のどちらかの上で製造されている。いくつかの例においては、その低コストと、より複雑でないトライゲート製造プロセスを可能にするので、バルクシリコン基板が好ましい。その他の例においては、リークの低減を提供できるので、シリコン・オン・インシュレーター基板が好ましい。
バルクシリコン基板上でのトライゲートトランジスタの製造プロセスは、メタルゲート電極の底部をトランジスタ本体底部のソース・ドレインエクステンションの先端(すなわち"フィン")と位置合わせする際に、しばしば問題に遭遇する。トライゲートトランジスタがバルク基板上に形成される場合、最適なゲート制御と、短チャネル効果の低減のために、適切な位置合わせが必要とされる。例えば、もしもソース・ドレインエクステンションの先端がメタルゲート電極よりも深いと、パンチスルーが生じかねない。あるいは、もしもメタルゲート電極がソース・ドレインエクステンションの先端よりも深いと、その結果、望ましくないゲート寄生容量となりかねない。
多くの様々な技術が、トランジスタのジャンクションリークを低減するために試みられてきた。しかしながら、ジャンクションリーク抑制の分野においては、顕著な改善が依然として必要とされている。
リーク抑制のためのボトムゲート分離(BGI:bottom gate isolation)構造を有する、ゲルマニウムをベースとする半導体デバイスの断面図を示す。 本発明の一実施形態に従った、深いゲートオールアラウンド構造を持ったゲルマニウム活性層を有する半導体デバイスの断面図を示す。 本発明の一実施形態に従った、ゲルマニウム活性層および深いゲートオールアラウンド構造を有する非平面型半導体デバイスの上面概略図を示す。 本発明の一実施形態に従った、図3Aの非平面型半導体デバイスの断面概略図を示す。 本発明の一実施形態に従った、ゲルマニウム活性層および深いゲートオールアラウンド構造を有するFinFET型半導体デバイスの斜視図を示す。 本発明の一実施形態に従った、ナノワイヤベースの半導体構造の3次元断面図を示す。 本発明の一実施形態に従った、図5Aのナノワイヤベースの半導体構造をa−a'軸に沿って切り出した断面チャネル図を示す。 本発明の一実施形態に従った、図5Aのナノワイヤベースの半導体構造をb−b'軸に沿って切り出した断面スペーサ図を示す。 本発明の一実施形態に従った、ゲルマニウムベースのデバイスのチャネル領域に沿って切り出された断面図のトンネル電子顕微鏡(TEM)画像、および、ゲルマニウムベースのデバイス中の複数の層に対応するゲート電圧(Vg)の関数としての飽和電流(Idsat)の対応するプロットを含む。 本発明の1つの実施例に従ったコンピューティングデバイスを示す。
複数のゲルマニウム活性層またはIII−V族活性層を有する深いゲートオールアラウンド半導体デバイスが記載される。以下の記載においては、本発明の複数の実施形態の深い理解を提供するために、具体的なインテグレーションおよび材料の体制のような、多くの具体的な詳細が説明される。これらの具体的な詳細が無くとも本発明の複数の実施形態が実施され得ることは、当業者には明らかとなるであろう。その他の例において、集積回路設計レイアウトのようなよく知られた構造は、本発明の複数の実施形態を不必要に不明瞭としないように、詳細には記載されていない。さらには、複数の図面に示される様々な実施形態は例示的な表示であって、必ずしも原寸に比例して描写されるものでないことが理解されるべきである。
本明細書に記載される一または複数の実施形態は、デバイスのソース・ドレイン領域の深さよりもずっと下まで、活性領域またはスタック内へと拡張するゲートスタックを有するデバイスを目標としている。構造的には異なるが、リーク抑制を提供するための結果として生じる能力は、オメガFET型デバイスと類似のものとして説明されるであろう。本明細書に記載される深いゲートオールアラウンドデバイスは、ゲルマニウムまたはIII−V族材料をベースとし、複数のナノワイヤまたはナノリボンチャネルを有する電界効果トランジスタ(FET)に特に適しているであろう。以下に記載される一または複数の実施形態は、ゲルマニウムまたはIII−V族材料活性層デバイスにおける寄生リークを低減するためのアプローチおよび結果として生じる構造に対して向けられている。例えば、一または複数の実施形態は、ナノワイヤまたはゲートオールアラウンドデバイスの性能を改善するために特に有効であろう。
我々は、ボトムゲート分離(BGI)構造の使用による、ラップアラウンド型ゲートを有する高移動度デバイスにおけるリークの抑制を試みてきた。しかしながら、例えばゲルマニウムをベースとするナノワイヤまたはナノリボントランジスタデバイスにおけるBGI構造の使用は、実現することが困難であろう。例えば、BGI構造はリークを抑制することには適しているであろうが、BGI構造の配置は、通常、活性領域材料層またはスタック中深く拡張する必要があり、これは統合することが困難であり得る。そのようなBGI製造プロセスはまた、もっと著しく複雑な複数のプロセスステップを必要とし、よりコストが高いことがわかる。さらに、BGI構造が製造されても完全なリーク抑制には十分な深さまででない場合、複数の分離領域と複数のゲルマニウムベースのバッファ層との間に形成される粗悪な界面が、顕著な界面状態を生成し、寄生リークを引き起こす、または寄生リークに寄与しかねない。一般的に、どのようにして発生されたかに関わらず、寄生リークはトランジスタの性能を妨げることができる。これは、デバイスのオフステートリークを劣化させ得るからである。結局のところそのような寄生リークは、低リークのゲルマニウムをベースとする半導体デバイスを製造することを、実現困難にし得る。
本明細書に記載される概念を例示するため、図1は、リーク抑制用にボトムゲート分離(BGI)構造を有する、ゲルマニウムをベースとする半導体デバイスの断面図を示す。図1を参照すると、半導体デバイス100は、GeとSiとの間の格子不整合に対処するためのシリコンゲルマニウム(SiGe)バッファ層106(例えば、Si30Ge70層)および107(例えば、Si50Ge50層)を介してシリコン(Si)基板104(例えば、シリコンウエハの一部として)の上方に成長されたゲルマニウム(Ge)チャネル領域102を含む。しかしながら、これらのSiGeバッファ層106および107は、少なくともSiGeバッファ層106および107内においてチャネル領域102の下にある領域内での平行な伝導を可能にするという点において、かなり導電性である。平行な伝導は、矢印108によって描かれるように、ソース領域110からドレイン領域112へのデバイス100中での寄生リークを引き起こし得る。図1はまた、複数の分離領域114、および、メタルゲート116Bとhigh−kゲート誘電体116Aとの電極スタック116のようなゲート電極スタック116も描いていることがわかる。ボトムゲート絶縁(BGI)構造120上に配置されたボトムゲート電極スタック116'が含まれるラップアラウンド型またはナノワイヤ配置の場合でさえも、そのようなリークが生じ得ることが理解されるべきである。BGI構造120は、(矢印108のXによって示される)リーク抑制を提供するために拡張されてよい。しかしながら、上記のように、これは通常、図1に示されるように、スタック106/107深くまでBGI構造120を形成する必要がある。
上記の問題に対処するために、一実施形態においては、BGI構造に代わって深いゲートオールアラウンド構造が製造される。例えば、一実施形態においては、デバイスに対するリーク抑制を提供するために、ゲート電極の底部が、デバイスのソース・ドレイン領域よりも十分下方に形成される。そうした特定の実施形態においては、BGI構造に代わって深いゲートオールアラウンド構造を使用することが、上記のようなBGI構造を製造することに関連した複雑さおよび欠点の可能性を緩和する。一実施形態においては、(深いHSiエッチングのような)深い活性領域エッチングを用いることにより、深いゲートオールアラウンド構造が製造される。そのような一実施形態においては、シャロートレンチアイソレーション(STI)製造における製造スキームにおいて、予め深いエッチングが実行される。別のそのような実施形態においては、例えばリプレースメントメタルゲート(RMG)ポリ除去後のリセス処理によって、製造スキームの後の方で深いエッチングが実行される。
一実施形態においては、深いゲート構造を用いることに関連し得るあらゆるゲート容量(Cgate)の不利益を抑制するために、深いゲートオールアラウンド構造の使用は、Ge層とSiGe層との間の電圧閾値(Vt)差を利用する。リーク抑制に対しては依然として有効なままで、そのような不利益を低減するためにVtを操作する能力の一例は、図6に関連して、以下でより詳細に説明される。他の実施形態において、本明細書に詳細に記載される複数の解決法は、III−V族材料系に対して容易に適用され得る。そこでは、深いゲート構造を適応させるために類似のVt操作が適用されてよい。
従って深いゲート構造が、高移動度材料デバイスに対して製造されてよい。例として図2は、本発明の一実施形態に従った、深いゲートオールアラウンド構造を持ったゲルマニウム活性層を有する半導体デバイスの断面図を示す。
図2を参照すると、半導体デバイス200は、GeとSiとの間の格子不整合に対処するためのシリコンゲルマニウム(SiGe)バッファ層206(例えば、Si30Ge70層)および207(例えば、Si50Ge50層)を介してシリコン(Si)基板204(例えば、シリコンウエハの一部として)の上に成長されたゲルマニウム(Ge)チャネル領域202を含む。しかしながら、これらのSiGeバッファ層206および207は、少なくともSiGeバッファ層206および207内においてチャネル領域202の下にある領域内での平行な伝導を可能にするという点において、かなり導電性である。半導体デバイス200は、複数の分離領域214、および、ゲート216Bとゲート誘電体216Aとのスタック216のようなゲート電極スタック216も含んでよい。ラップアラウンド型またはナノワイヤ配置が形成されてよく、そこでは誘電体層部分216A'およびゲート電極部分216B'を含む、ボトムゲート電極スタック216'が含まれる。図2にも描かれるように、ソース・ドレイン領域210および212が、それぞれ、ゲート電極スタック216のどちらかの側に含まれる。
図2を参照すると、バッファ層206および207は、バッファ層206と207との間にヘテロ接合を有するヘテロ構造を形成する。ゲート電極スタック(216+216')は、活性層202のチャネル領域上に配置されてチャネル領域を完全に包囲するとともに、バッファ層207中および少なくとも部分的にバッファ層206中に形成されたトレンチに配置される。一実施形態においてソース・ドレイン領域210および212は、ゲート電極スタック(216+216')のどちらかの側において活性層202中およびバッファ層207中に配置されるが、バッファ層206中には配置されない。そのような一実施形態においてゲート電極スタック(216+216')は、ヘテロ構造(206+207)中にて、ヘテロ構造中のソース・ドレイン領域210および212の深さの約2−4倍の深さまで配置される。別の実施形態においてゲート電極スタック(216+216')は、ヘテロ構造(206+207)中にて、複数の分離領域214の深さよりも深い深さまで配置される。一実施形態においてゲート電極スタックの底部(つまり部分216')は、図2に描かれるように、部分216'のトレンチと並ぶ誘電体層の一部(つまり部分216A")を含む。そのような一実施形態においては、部分216A"(および、故に216Aと216A')はhigh−kゲート誘電体層である。
全体にわたって使用されるように、ゲルマニウム、純粋なゲルマニウム、または本質的に純粋なゲルマニウムという用語は、全てとは言わないまでも、非常に多くの量のゲルマニウムで構成されるゲルマニウム材料を記述するために使用されてよい。しかしながら、事実上、100%純粋なGeを形成することは難しく、それ故に、Siをわずかなパーセント含み得ることが理解されるべきである。Siは、Geを堆積する間の避けられない不純物または成分として含まれてよい。あるいは、堆積後の工程の間に拡散してGeを"汚染"し得る。このように、本明細書に記載され、Geチャネルへと向けられた複数の実施形態は、かなり少量、例えば"不純物"レベルのGeではない原子または種、例えばSiを含むGeチャネルを含んでよい。
図2を参照すると、一例となる実施形態においては、基板204は本質的にシリコンで構成され、第1バッファ層206は、約30%のSiおよび70%のGeを有するシリコンゲルマニウムで構成され、第2バッファ層207は第1バッファ層206よりも低いゲルマニウム濃度を有する(例えば70%のGeに対して50%のGe)シリコンゲルマニウムで構成され、ゲルマニウム活性層202は本質的にゲルマニウムで構成される。この配置は、チャネル領域としての使用に向けた高移動度且つ低バンドギャップ材料を有する材料スタックを提供する。高移動度且つ低バンドギャップ材料は、高バンドギャップ材料上に配置され、高バンドギャップ材料は中程度のバンドギャップ材料上に配置される。類似のバンドギャップ配置を提供するその他のスタックが使用されてもよい。例えば、一実施形態においては、ゲルマニウムおよびシリコンゲルマニウム層に基づいた上記のヘテロ構造の代わりに、III−V族材料の適切な配置がヘテロ構造にて使用されてよい。
一実施形態においてソース・ドレイン領域210/212は、ゲルマニウム活性層202中および第2バッファ層207中に配置される。しかし、図2に描かれるように、第1バッファ層206ほど深くまでは形成されない。図2は、様々なオプションを代表するべく一般的に示されている。第1の実施形態においては、ソース・ドレイン領域は、ゲルマニウム活性層202のドープ部分、および第2バッファ層207中のドープ部分によって形成されている。例えば、特定の実施形態においては、ドーパント原子であるホウ素が、ゲルマニウム活性層202中および部分的に第2バッファ層207中へと注入されて、ソース・ドレイン領域210および212を形成する。第2の実施形態においては、ゲルマニウム活性層202および第2バッファ層207の一部分が除去され、異なる半導体材料が成長されてソース・ドレイン領域210/212を形成する。
基板204は、製造プロセスに持ちこたえられ、且つ、その中を電荷が移動できる半導体材料で構成されてよい。一実施形態において基板204は、半導体産業において通常使用されているP型シリコン基板のようなバルク基板である。一実施形態において基板204は、これらに限定されるものではないが、リン、ヒ素、ホウ素、またはこれらの組み合わせのような電荷キャリアがドープされた結晶シリコン、シリコン/ゲルマニウム、またはゲルマニウム層で構成される。一実施形態においては、基板204中のシリコン原子の濃度は97%よりも大きい。あるいは、ドーパント原子の濃度は1%未満である。別の実施形態において基板204は、例えば、ホウ素ドープされたバルクシリコン単結晶基板上に成長されたシリコンエピタキシャル層である、異なる結晶基板上で成長されたエピタキシャル層で構成される。
その代わりに基板204は、例えばシリコン・オン・インシュレーター基板を形成するために、バルク結晶基板とエピタキシャル層との間に配置された絶縁層を含んでよい。一実施形態において絶縁層は、これらに限定されるものではないが、二酸化ケイ素、窒化シリコン、酸窒化シリコン、またはhigh−k誘電体層のような材料で構成される。基板204は、あるいはIII−V族材料で構成されてよい。一実施形態において基板204は、これらに限定されるものではないが、窒化ガリウム、リン化ガリウム、ヒ化ガリウム、リン化インジウム、アンチモン化インジウム、インジウムガリウムヒ化物、アルミニウムガリウムヒ化物、インジウムガリウムリン化物、またはこれらの組み合わせのようなIII−V族材料で構成される。別の実施形態において基板204は、III−V族材料と、これらに限定されるものではないが、炭素、シリコン、ゲルマニウム、酸素、硫黄、セレン、またはテルルのような電荷キャリアドーパント不純物原子とで構成される。
一実施形態においては、ゲート電極スタック216(および対応する216')のゲート電極はメタルゲートで構成され、ゲート誘電体層はhigh−k材料で構成される。例えば、一実施形態においてゲート誘電体層は、これらに限定されるものではないが、酸化ハフニウム、酸窒化ハフニウム、ケイ酸ハフニウム、酸化ランタン、酸化ジルコニウム、ケイ酸ジルコニウム、酸化タンタル、チタン酸バリウムストロンチウム、チタン酸バリウム、チタン酸ストロンチウム、酸化イットリウム、酸化アルミニウム、鉛スカンジウムタンタル酸化物、ニオブ酸鉛亜鉛、またはこれらの組み合わせのような材料で構成される。さらに、チャネル領域に隣接するゲート誘電体層の一部は、ゲルマニウム活性層202の上部の数層から形成された自然酸化物の層を含んでよい。一実施形態においてゲート誘電体層は、最上部のhigh−k部分と半導体材料の酸化物で構成される下部とで構成される。一実施形態においてゲート誘電体層は、酸化ハフニウムの上部と二酸化ケイ素または酸窒化シリコンの底部とで構成される。
一実施形態においてゲート電極は、これらに限定されるものではないが、金属窒化物、金属炭化物、金属シリサイド、金属アルミナイド、ハフニウム、ジルコニウム、チタン、タンタル、アルミニウム、ルテニウム、パラジウム、白金、コバルト、ニッケル、または導電性金属酸化物のような金属層で構成される。特定の実施形態においてゲート電極は、金属の仕事関数設定層(metal workfunction-setting layer)の上方に形成された非仕事関数設定充填材料(non-workfunction-setting fill material)で構成される。一実施形態においてゲート電極は、P型またはN型の材料で構成される。ゲート電極スタック216(対応する底部216')はまた、誘電体スペーサ(不図示)を含んでもよい。
半導体デバイス200は、ゲートオールアラウンドデバイスを含む非平面型デバイスに及ぶように、一般的に示されている。そのようなデバイスは、以下において、図3Aおよび図3B(一般的な非平面型デバイス)、図4(ラップアラウンドフィンFETデバイス)、および図5A−5C(ナノワイヤベースのデバイス)によって、より詳細に説明される。すべの場合において、深いゲートオールアラウンド構造がデバイスに統合される。深いゲートオールアラウンド構造は、そのようなデバイスにおいてリークを抑制するのに有効であってよい。従って、半導体デバイス200は、ゲート、チャネル領域、および一対のソース/ドレイン領域を組み込んだ半導体デバイスであってよい。一実施形態において半導体デバイス200は、これらに限定されるものではないが、MOS−FETまたはマイクロマシンシステム(MEMS)のようなものである。一実施形態において半導体デバイス200は、平面型または3次元MOS−FETであり、孤立したデバイスである。あるいは、複数の入れ子状のデバイス中の1つのデバイスである。典型的な集積回路に対して認められるであろうように、N型およびP型チャネルトランジスタの両者が単一の基板に製造されて、CMOS集積回路を形成してよい。さらに、そのような複数のデバイスを集積回路に統合するために、付加的な相互接続配線が製造されてよい。
例として図3Aおよび図3Bは、本発明の一実施形態に従った、ゲルマニウム活性層および深いゲートオールアラウンド構造を有する非平面型半導体デバイスの上面および断面の概略図をそれぞれ示す。
図3Aおよび図3Bを参照すると、非平面型半導体デバイス300は、基板204の上方に配置された第1バッファ層206を含む。第2バッファ層207が、第1バッファ層206の上方に配置される。ゲルマニウム活性層202が、第2バッファ層207の上方に配置される。上部216および底部216'を含むゲート電極スタックが、ゲルマニウム活性層202を包囲するように配置される。ソース・ドレイン領域210/212、および対応するコンタクト210'および212'が、ゲート電極スタック(216+216')のどちらかの側において、ゲルマニウム活性層202中および部分的に第2バッファ層207中に配置される。より詳細には、一実施形態においてソース・ドレイン領域210/212は、図3A−3Bに描かれるように、ゲルマニウム活性層202のドープ部分、および第2バッファ層207中のドープ部分によって形成されている。図3A−3Bに描かれるように、半導体デバイス300はまた、複数の分離領域214を含んでもよい。一実施形態においては、ゲートスタックの底部216'は深いゲートスタックであり、ソース・ドレイン領域212および210よりも十分下方に形成され、ソース領域210からドレイン領域212へのリーク経路308を阻止するように作用する。図3A−3Bにおける同様な構造の記号表示は、図2に関連して上記されたものであってよいことが理解されるべきである。
上述したように、本発明の複数の実施形態は、ゲートオールアラウンド部分を有するフィンFET型のデバイスのような非平面型MOS−FETに適用され得る。例えば図4は、本発明の一実施形態に従った、ゲルマニウム活性層および深いゲートオールアラウンド構造を有するFinFET型半導体デバイスの斜視図を示す。
図4を参照すると、非平面型半導体デバイス400は、基板204の上方に配置された第1バッファ層206を含む。第2バッファ層207が、第1バッファ層206の上方に配置される。3次元ゲルマニウム活性層202が、第2バッファ層207の上方に配置される。ゲート電極216Bおよびゲート誘電体216Aを含むゲート電極スタック216が、3次元ゲルマニウム活性層202上に配置されて、これを完全に包囲する。但し、領域202の下方を包囲している部分は、この視点からは見ることができない。ソース・ドレイン領域210/212が、ゲート電極スタック216のどちらかの側に配置される。また、複数の分離領域214およびゲート電極スペーサ440も描かれている。本発明の一実施形態に従うと、ゲート電極スタック216は、第1バッファ層206中にまで拡張する深いゲートオールアラウンド構造である。
図4では、第1バッファ層206の底部といく分か位置合わせされるように描かれているが、複数の分離領域214の深さは変動してよいことが理解されるべきである。また、図4では、第2バッファ層207の上部といく分か位置合わせされるように描かれているが、複数の分離領域214の高さは変動してよいことが理解されるべきである。図4における同様な構造の記号表示は、図2に関連して説明されたものであってよいこともまた、理解されるべきである。
別の態様において、図5Aは、本発明の一実施形態に従った、ゲルマニウムナノワイヤベースの半導体構造の3次元断面図を示す。図5Bは、図5Aのゲルマニウムナノワイヤベースの半導体構造をa−a'軸に沿って切り出した断面チャネル図を示す。図5Cは、図5Aのゲルマニウムナノワイヤベースの半導体構造をb−b'軸に沿って切り出した断面スペーサ図を示す。
図5Aを参照すると、半導体デバイス500は、基板204の上方に配置された、垂直に積層された一または複数のゲルマニウムナノワイヤ(550の組)を含む。本明細書の複数の実施形態は、単一ワイヤのデバイスおよび複数ワイヤのデバイスの両者を目標としている。例として、ナノワイヤ550A、550B、および550Cを有する3つのナノワイヤベースのデバイスが例示目的で示されている。複数のナノワイヤのうちの1つのみに記載の焦点が当てられる場合には、記載の便宜上、ナノワイヤ550Aが例として使用される。1つのナノワイヤの複数の特質が説明される場合、複数のナノワイヤに基づいた複数の実施形態は、複数のナノワイヤのそれぞれについて、同一の複数の特質を有するであろうことが理解されるべきである。
少なくとも第1ナノワイヤ550Aはゲルマニウムチャネル領域202を含む。ゲルマニウムチャネル領域202は長さ(L)を有する。図5Bを参照すると、ゲルマニウムチャネル領域202はまた、長さ(L)に直交する外周も有する。図5Bを参照すると、ゲート電極スタック216は、ゲルマニウムチャネル領域202を含むそれぞれのナノワイヤ550のチャネル領域のそれぞれの外周全体を包囲する。ゲート電極スタック216は、チャネル領域とゲート電極との間に配置されたゲート誘電体層に沿ったゲート電極を含む(個別には図示されていない)。ゲルマニウムチャネル領域202および付加的なナノワイヤ550Bおよび550Cのチャネル領域は、それらがゲート電極スタック216によって完全に包囲されているという点において離れており、下にある基板材料または上を覆うチャネル製造材料のような介在する材料が何も無い。従って、複数のナノワイヤ550を有する複数の実施形態においては、複数のナノワイヤのチャネル領域はまた、図5Bに描かれるよに、互いに対して離れている。
図5A−5Cを参照すると、第2バッファ層207が、基板204の上方に配置された第1バッファ層206の上方に配置される。図5Bに示されるように、チャネル領域の下方には、第2バッファ層207中および部分的に第1バッファ層206中にゲート電極スタック216が形成されている。図5Aを参照すると、複数のナノワイヤ550のそれぞれもまた、ゲルマニウムチャネル領域202のどちらかの側を含むチャネル領域のどちらかの側において、ナノワイヤ中に配置されたソース・ドレイン領域210および212を含む。一実施形態においてソース・ドレイン領域210/212は、埋め込み型のソース・ドレイン領域であり、例えば、複数のナノワイヤの少なくとも一部が除去され、ソース/ドレイン材料領域によって置き換えられる。しかしながら、別の実施形態においてソース・ドレイン領域210/212は、一または複数のゲルマニウムナノワイヤ550のドープされた部分で構成される。
一対のコンタクト570がソース/ドレイン領域210/212上にわたって配置される。一実施形態においては、半導体デバイス500はさらに一対のスペーサ540を含む。スペーサ540は、ゲート電極スタック216と一対のコンタクト570との間に配置される。上記のように、複数のチャネル領域およびソース/ドレイン領域は、少なくともいくつかの実施形態においては、離れて作成される。しかしながら、複数のナノワイヤ550の全ての領域が離れて作成される必要は無く、あるいは、離れて作成されることができさえしない。例えば、図5Cを参照すると、ナノワイヤ550A―550Cは、スペーサ540下の位置においては離れてはいない。一実施形態においては、ナノワイヤ550A―550Cのスタックが、複数のゲルマニウムナノワイヤ間に介在するシリコンゲルマニウムまたはシリコンのような、それらのナノワイヤ間に介在する半導体材料580を含む。一実施形態において底部ナノワイヤ550Aは、第2バッファ層207の一部と依然として接触している。従って、一実施形態においては、垂直に積層された複数のナノワイヤ550の一部は、スペーサ540の一方または両方の下では離れてはいない。
図5A−5Cにおける同様な構造の記号表示は、図2に関連して説明されたものであってよいことが理解されるべきである。また、上記のデバイス500は単一デバイス用であるが、同一基板の上または上方に配置されるNMOSおよびPMOSナノワイヤベースのデバイスの両者を含むために、CMOSアーキテクチャーもまた形成されてよい。一実施形態において複数のナノワイヤ550は、ワイヤまたはリボンのサイズであってよく、角型または丸型のコーナーを有してよい。
さらに、一実施形態において複数のナノワイヤ550は、リプレースメントゲートプロセスの間に、(少なくともチャネル領域において)離れて作成されてよい。そのような一実施形態においては、複数のゲルマニウム層の一部分が、最終的には、ナノワイヤベースの構造における複数のチャネル領域になる。従って、複数のチャネル領域をダミーゲート除去にさらすプロセス段階において、チャネルの操作または調整が実行されてよい。例えば、一実施形態においては、複数のゲルマニウム層の離れた部分が、酸化とエッチングプロセスを用いて薄膜化される。そのようなエッチングプロセスは、複数のワイヤが分離されるまたは個別化されるのと同時に実行されてよい。従って、複数のゲルマニウム層から形成される複数の最初のワイヤは厚くなり始めてよく、デバイスのソース・ドレイン領域の大きさとは独立して、ナノワイヤデバイス中のチャネル領域用に適したサイズへと薄膜化される。そのような複数の離れたチャネル領域の形成に続いて、high−kゲート誘電体およびメタルゲート工程が実行されてよく、ソース・ドレインコンタクトが追加されてよい。
上記のように、一または複数の実施形態は、複数の材料のヘテロ構造スタックのいくつかの層内へと拡張する深いゲートオールアラウンド構造の形成を含む。そのような一実施形態においては、高移動度且つ低バンドギャップ材料がチャネル領域として使用される。高移動度且つ低バンドギャップ材料は、高バンドギャップ材料上に配置され、高バンドギャップ材料は中程度のバンドギャップ材料上に配置される。ゲルマニウムベースの構造を含んだ特定の例においては、チャネル領域は、本質的に、純粋なゲルマニウムで構成される。(ゲートがゲルマニウム層を包囲している)チャネル領域以外の領域においては、ゲルマニウム層は、ゲルマニウムよりも高いバンドギャップを有するSi50Ge50上に配置される。Si50Ge50は、Si50Ge50とGeとの中間のバンドギャップを持つSi30Ge70層上に配置される。図6は、本発明の一実施形態に従った、ゲルマニウムベースのデバイスのチャネル領域に沿って切り出された断面図のトンネル電子顕微鏡(TEM)画像600、および、ゲルマニウムベースのデバイス中の複数の層に対応するゲート電圧(Vg)の関数としての飽和電流(Idsat)の対応するプロット602を含む。
図6の画像600を参照すると、ゲルマニウムチャネル610がSi30Ge70層(フィン)612の上方に配置される。ゲートスタック614が、チャネル領域610においてゲルマニウム層を包囲する。一実施形態においては、チャネル領域以外の領域において、ゲルマニウム層とSi30Ge70層との間にSi50Ge50層が配置され、ゲートスタック614はそれらの位置(例えば、ソース・ドレイン領域)には存在しないことが理解されるべきである。プロット602を参照すると、図6に示されるように、Ge層は、対応するSi30Ge70層よりもずっと高く、Si50Ge50よりもさらに高いIdsatを有する。そのように、深いゲートオールアラウンド構造の形成は、複数の材料のヘテロ構造スタックのその他の層中への深いゲートスタックの形成を含むが、チャネル層以外の複数の層とのゲートスタックの対応する相互作用は、製造されるデバイスの高性能を妨げはしない。より詳細には、その他の複数の層においては、ゲート性能に影響を及ぼすターンオンが、ほとんどから全く無い。また、恐らく最も重要なこととして、深いゲート構造は、オフ状態にあるデバイスのリークを抑制するように作用できる。
従って、本明細書に記載される一または複数の実施形態は、深いゲートオールアラウンドゲート電極スタックと統合された、ゲルマニウムまたはIII−V族材料の活性領域配置を目標としている。そうした配置は、非平面型デバイス、フィンまたはトライゲートベースのデバイス、および、ナノワイヤベースのデバイスを含めたゲートオールアラウンドデバイスのような、ゲルマニウムまたはIII−V族材料をベースとするトランジスタを形成するために含まれてよい。本明細書に記載される複数の実施形態は、金属酸化物半導体電界効果トランジスタ(MOSFET)における接合分離に対して有効であり得る。第1および第2バッファ層206/207、並びにゲルマニウム活性領域202のような複数の材料の形成は、これらに限定されるものではないが、化学気相堆積(CVD)または分子ビームエピタキシー(MBE)、あるいは、その他の同様のなプロセスのような技術によって形成されてよいことが理解されるべきである。
図7は、本発明の一実施例に従ったコンピューティングデバイス700を示す。コンピューティングデバイス700はボード702を収容する。ボード702は、これらに限定されるものではないが、プロセッサ704および少なくとも一つの通信チップ706を含め、多数の構成部品を含んでよい。プロセッサ704は、ボード702に対して物理的および電気的に連結される。いくつかの実施例においては、少なくとも一つの通信チップ706もまた、ボード702に対して物理的および電気的に連結される。さらなる複数の実施例においては、通信チップ706はプロセッサ704の一部である。
その複数のアプリケーションに応じて、コンピューティングデバイス700は、その他複数の構成部品を含んでよい。これらの構成部品は、ボード702に対して物理的および電気的に連結されてよいし、あるいは連結されなくてもよい。こうしたその他複数の構成部品としては、これらに限定されるものではないが、揮発性メモリ(例えばDRAM)、不揮発性メモリ(例えばROM)、フラッシュメモリ、グラフィクプロセッサ、デジタルシグナルプロセッサ、暗号プロセッサ、チップセット、アンテナ、ディスプレイ、タッチスクリーンディスプレイ、タッチスクリーンコントローラー、バッテリー、音声コーデック、映像コーデック、出力増幅器、全地球測位システム(GPS)デバイス、コンパス、加速度計、ジャイロスコープ、スピーカ、カメラ、および、(ハードディスクドライブ、コンパクトディスク(CD)、デジタル多用途ディスク(DVD)等のような)大容量格納デバイスを含む。
通信チップ706によって、コンピューティングデバイス700へのデータ転送およびコンピューティングデバイス700からのデータ転送に対して無線通信が可能となる。"無線"という用語およびその派生語は、変調された電磁放射を使用して、非固体の媒体を介してデータを通信し得る回路、デバイス、システム、方法、技術、通信チャネル等を記載するために使用されてよい。この用語は、いくつかの実施形態においては配線を含んでいないかもしれないけれど、関連するデバイスが配線を全く含まないということを暗示するものではない。通信チップ706は、これらに限定されるものではないが、Wi−Fi(IEEE 802.11系統)、WiMAX(IEEE 802.16系統)、IEEE 802.20、ロング・ターム・エボリューション(LTE)、Ev−DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM(登録商標)、GPRS、CDMA、TDMA、DECT、ブルートゥース、それらの派生物、並びに、3G、4G、5Gおよびそれ以上に指定されるその他任意の無線プロトコルを含む多数の無線規格または無線プロトコルの任意のものを実施してよい。コンピューティングデバイス700は、複数の通信チップ706を含んでよい。例えば、第1通信チップ706は、Wi−Fiおよびブルートゥースのような短距離無線通信に専用化されてよいし、第2通信チップ706は、GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev−DO、およびその他のような長距離無線通信に専用化されてよい。
コンピューティングデバイス700のプロセッサ704は、プロセッサ704内にパッケージ化された集積回路ダイを含む。本発明のいくつかの実施例において、プロセッサの集積回路ダイは、本発明の複数の実施例に従って構築されたMOS−FETトランジスタのような、一または複数のデバイスを含む。"プロセッサ"という用語は、レジスタおよび/またはメモリからの電子データを処理して、この電子データを、レジスタおよび/またはメモリに貯蔵され得る他の電子データに変換する、任意のデバイスまたはデバイスの一部分のことを指してよい。
通信チップ706もまた、通信チップ706内にパッケージ化された集積回路ダイを含む。本発明の別の実施例に従うと、通信チップの集積回路ダイは、本発明の複数の実施例に従って構築されたMOS−FETトランジスタのような、一または複数のデバイスを含む。
さらなる複数の実施例においては、コンピューティングデバイス700内に収容される別の構成部品は、本発明の複数の実施例に従って構築されたMOS−FETトランジスタのような、一または複数のデバイスを含む集積回路ダイを含んでよい。
様々な実施例においてコンピューティングデバイス700は、ラップトップ、ネットブック、ノートブック、ウルトラブック、スマートフォン、タブレット、携帯情報端末(PDA)、ウルトラモバイルPC、携帯電話、デスクトップコンピュータ、サーバ、プリンタ、スキャナ、モニタ、セットトップボックス、エンターテイメントコントロールユニット、デジタルカメラ、携帯音楽プレーヤー、またはデジタルビデオレコーダであってよい。さらなる複数の実施例においてコンピューティングデバイス700は、データを処理するその他任意の電子デバイスであってよい。
従って、本発明の複数の実施形態は、ゲルマニウム活性層またはIII−V族活性層を有する深いゲートオールアラウンド半導体デバイスを含む。
一実施形態において非平面型半導体デバイスは、基板の上方に配置されたヘテロ構造を含む。ヘテロ構造は、異なる組成の上層と下層との間にヘテロ接合を含む。活性層は、ヘテロ構造の上方に配置され、ヘテロ構造の上層および下層とは異なる組成を有する。ゲート電極スタックは、活性層のチャネル領域上に配置されてチャネル領域を完全に包囲するとともに、ヘテロ構造の上層中および少なくとも部分的に下層中のトレンチ中に配置される。ソース・ドレイン領域は、ゲート電極スタックのどちらかの側において活性層中および上層中に配置されるが、下層中には配置されない。
一実施形態においては、活性層のチャネル領域は下層よりも低いバンドギャップを有し、下層は上層よりも低いバンドギャップを有する。
一実施形態においては、活性層のチャネル領域は本質的にゲルマニウムから成り、下層はSiGe1−xで構成され、上層はSiGe1−yで構成され、y>xである。
一実施形態においてyは約0.5であり、xは約0.3である。
一実施形態においては、活性層のチャネル領域、下層、および上層は、それぞれ、異なるIII−V族材料で構成される。
一実施形態においてゲート電極スタックは、ヘテロ構造中にて、ヘテロ構造中のソース・ドレイン領域の深さの約2−4倍の深さまで配置される。
一実施形態においてデバイスは、ソース・ドレイン領域に隣接し、少なくとも部分的にヘテロ構造中に配置される複数の分離領域をさらに含む。
一実施形態においてゲート電極スタックは、ヘテロ構造中にて、複数の分離領域の深さよりも深い深さまで、配置される。
一実施形態においてゲート電極スタックは、トレンチと並ぶhigh−kゲート誘電体層と、high−kゲート誘電体層内のメタルゲート電極とで構成される。
一実施形態においてデバイスは、活性層の上方において、垂直に並ぶように配置された一または複数のナノワイヤをさらに含み、ゲート電極スタックは、複数のナノワイヤのそれぞれのチャネル領域上に配置され、チャネル領域を完全に包囲する。
一実施形態において非平面型半導体デバイスは、基板上に配置されたバッファ層を含む。活性層がバッファ層上に配置される。ゲート電極スタックは、活性層のチャネル領域上に配置されてチャネル領域を完全に包囲するとともに、バッファ層中のトレンチ中に配置される。ソース・ドレイン領域は、ゲート電極スタックのどちらかの側において活性層中およびバッファ層中に配置される。ソース領域からドレイン領域へのリークのかなりの部分を阻止するために、ゲート電極スタックは、バッファ層中にて、バッファ層中のソース・ドレイン領域の深さよりも十分に下方の深さまで配置される。
一実施形態において活性層のチャネル領域は、バッファ層のどの部分よりも低いバンドギャップを有する。
一実施形態においては、活性層のチャネル領域は本質的にゲルマニウムから成り、バッファ層はシリコンゲルマニウムで構成される。
一実施形態においては、活性層およびバッファ層は、それぞれ、III−V族材料で構成される。
一実施形態においてゲート電極スタックは、バッファ層中にて、バッファ層中のソース・ドレイン領域の深さの約2−4倍の深さまで配置される。
一実施形態においてデバイスは、ソース・ドレイン領域に隣接し、少なくとも部分的にバッファ層中に配置される複数の分離領域をさらに含む。
一実施形態においてゲート電極スタックは、バッファ層中にて、複数の分離領域の深さよりも深い深さまで配置される。
一実施形態においてゲート電極スタックは、トレンチと並ぶhigh−kゲート誘電体層と、high−kゲート誘電体層内のメタルゲート電極とで構成される。
一実施形態においてデバイスは、活性層の上方において、垂直に並ぶように配置された一または複数のナノワイヤをさらに含み、ゲート電極スタックは、複数のナノワイヤのそれぞれのチャネル領域上に配置され、チャネル領域を完全に包囲する。
一実施形態において非平面型半導体デバイスを製造する方法は、基板の上方にヘテロ構造を形成することを含む。ヘテロ構造は、異なる組成の上層と下層との間にヘテロ接合を含む。活性層は、ヘテロ構造の上方に形成され、ヘテロ構造の上層および下層とは異なる組成を有する。上層中および少なくとも部分的に下層中にトレンチが形成される。ゲート電極スタックは、活性層のチャネル領域上に形成されてチャネル領域を完全に包囲するとともに、上層中および少なくとも部分的に下層中のトレンチ中に形成される。ソース・ドレイン領域は、ゲート電極スタックのどちらかの側において活性層中および上層中に形成されるが、下層中には形成されない。
一実施形態においては、上層中および少なくとも部分的に下層中にトレンチを形成することは、リプレースメントゲートプロセスにおけるダミーゲート構造の除去の後に続けて実行される。
一実施形態においては、活性層のチャネル領域は下層よりも低いバンドギャップを有し、下層は上層よりも低いバンドギャップを有する。
一実施形態においては、活性層のチャネル領域は本質的にゲルマニウムから成り、下層はSiGe1−xで構成され、上層はSiGe1−yで構成され、y>xである。
一実施形態においてyは約0.5であり、xは約0.3である。
一実施形態においては、活性層のチャネル領域、下層、および上層は、それぞれ、異なるIII−V族材料で構成される。
一実施形態においてゲート電極スタックは、ヘテロ構造中にて、ヘテロ構造中のソース・ドレイン領域の深さの約2−4倍の深さまで形成される。
一実施形態において方法は、ソース・ドレイン領域に隣接する複数の分離領域を、少なくとも部分的にヘテロ構造中に形成することをさらに含む。
一実施形態においてゲート電極スタックは、ヘテロ構造中にて、複数の分離領域の深さよりも深い深さまで形成される。
一実施形態においてゲート電極スタックは、トレンチと並ぶhigh−kゲート誘電体層と、high−kゲート誘電体層内のメタルゲート電極とで構成される。
一実施形態において方法は、活性層の上方において、一または複数のナノワイヤを垂直に並ぶように形成することをさらに含み、ゲート電極スタックは、複数のナノワイヤのそれぞれのチャネル領域上に形成され、チャネル領域を完全に包囲する。

Claims (20)

  1. 基板の上方に配置され、組成の異なる上層と下層との間にヘテロ接合を有するヘテロ構造と、
    前記ヘテロ構造の上方に配置され、前記ヘテロ構造の前記上層および前記下層とは異なる組成を有する活性層と、
    前記活性層のチャネル領域上に配置されて前記チャネル領域を完全に包囲するとともに、前記ヘテロ構造の前記上層中および少なくとも部分的に前記下層中のトレンチに配置されるゲート電極スタックと、
    前記ゲート電極スタックのどちらかの側において前記活性層中および前記上層中に配置され、前記下層中には配置されないソース・ドレイン領域と、
    を備える非平面型半導体デバイス。
  2. 前記活性層の前記チャネル領域は前記下層よりも低いバンドギャップを有し、前記下層は前記上層よりも低いバンドギャップを有する、請求項1に記載の非平面型半導体デバイス。
  3. 前記活性層の前記チャネル領域は本質的にゲルマニウムから成り、前記下層はSiGe1−xを含み、前記上層はSiGe1−yを含み、y>xである、請求項2に記載の非平面型半導体デバイス。
  4. yは約0.5であり、xは約0.3である、請求項3に記載の非平面型半導体デバイス。
  5. 前記活性層、前記下層、および前記上層は、それぞれ異なるIII−V族材料を含む、請求項2に記載の非平面型半導体デバイス。
  6. 前記ゲート電極スタックは、前記ヘテロ構造中にて、前記ヘテロ構造中の前記ソース・ドレイン領域の深さの約2−4倍の深さまで配置される、請求項1から請求項5のいずれか1項に記載の非平面型半導体デバイス。
  7. 前記ソース・ドレイン領域に隣接し、少なくとも部分的に前記ヘテロ構造中に配置される複数の分離領域をさらに備え、
    前記ゲート電極スタックは、前記ヘテロ構造中にて、前記複数の分離領域の深さよりも深い深さまで配置される、請求項1から請求項6のいずれか1項に記載の非平面型半導体デバイス。
  8. 前記ゲート電極スタックは、前記トレンチと並ぶhigh−kゲート誘電体層、および、前記high−kゲート誘電体層内のメタルゲート電極を含む、請求項1から請求項7のいずれか1項に記載の非平面型半導体デバイス。
  9. 前記活性層の上方において、垂直に並ぶように配置された一または複数のナノワイヤをさらに備え、
    前記ゲート電極スタックは、前記一または複数のナノワイヤのそれぞれのチャネル領域上に配置され、前記チャネル領域を完全に包囲する、請求項1から請求項8のいずれか1項に記載の非平面型半導体デバイス。
  10. 組成の異なる上層と下層との間にヘテロ接合を有するヘテロ構造を基板の上方に形成する段階と、
    前記ヘテロ構造の前記上層および前記下層とは異なる組成を有する活性層を前記ヘテロ構造の上方に形成する段階と、
    前記上層中および少なくとも部分的に前記下層中にトレンチを形成する段階と、
    ゲート電極スタックを、前記活性層のチャネル領域上にて前記チャネル領域を完全に包囲するように、且つ、前記上層中および少なくとも部分的に前記下層中の前記トレンチに形成する段階と、
    ソース・ドレイン領域を、前記下層中には形成せずに、前記ゲート電極スタックのどちらかの側において前記活性層中および前記上層中に形成する段階と、
    を備える、非平面型半導体デバイスを製造する方法。
  11. 前記上層中および少なくとも部分的に前記下層中に前記トレンチを形成する段階は、リプレースメントゲートプロセスにおけるダミーゲート構造の除去の後に続けて実行される、請求項10に記載の方法。
  12. 前記活性層の前記チャネル領域は前記下層よりも低いバンドギャップを有し、前記下層は前記上層よりも低いバンドギャップを有する、請求項10または請求項11に記載の方法。
  13. 前記活性層の前記チャネル領域は本質的にゲルマニウムから成り、前記下層はSiGe1−xを含み、前記上層はSiGe1−yを含み、y>xである、請求項12に記載の方法。
  14. yは約0.5であり、xは約0.3である、請求項13に記載の方法。
  15. 前記活性層の前記チャネル領域、前記下層、および前記上層は、それぞれ異なるIII−V族材料を含む、請求項12に記載の方法。
  16. 前記ゲート電極スタックは、前記ヘテロ構造中にて、前記ヘテロ構造中の前記ソース・ドレイン領域の深さの約2−4倍の深さまで形成される、請求項10から請求項15のいずれか1項に記載の方法。
  17. 前記ソース・ドレイン領域に隣接する複数の分離領域を、少なくとも部分的に前記ヘテロ構造中に形成する段階をさらに備える、請求項10から請求項16のいずれか1項に記載の方法。
  18. 前記ゲート電極スタックは、前記ヘテロ構造中にて、前記複数の分離領域の深さよりも深い深さまで形成される、請求項17に記載の方法。
  19. 前記ゲート電極スタックは、前記トレンチと並ぶhigh−kゲート誘電体層、および、前記high−kゲート誘電体層内のメタルゲート電極を含む、請求項10から請求項18のいずれか1項に記載の方法。
  20. 前記活性層の上方に、一または複数のナノワイヤを垂直に並ぶように形成する段階をさらに備え、前記ゲート電極スタックは、前記一または複数のナノワイヤのそれぞれのチャネル領域上に形成され、前記チャネル領域を完全に包囲する、請求項10から請求項19のいずれか1項に記載の方法。
JP2017169678A 2013-01-24 2017-09-04 集積回路構造、非平面型半導体デバイスおよび非平面型半導体デバイスを製造する方法 Active JP6555622B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/749,139 US9136343B2 (en) 2013-01-24 2013-01-24 Deep gate-all-around semiconductor device having germanium or group III-V active layer
US13/749,139 2013-01-24

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2015555180A Division JP6205432B2 (ja) 2013-01-24 2014-01-09 ゲルマニウム活性層またはiii−v族活性層を有する深いゲートオールアラウンド半導体デバイス

Publications (2)

Publication Number Publication Date
JP2018011070A true JP2018011070A (ja) 2018-01-18
JP6555622B2 JP6555622B2 (ja) 2019-08-07

Family

ID=51207056

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2015555180A Active JP6205432B2 (ja) 2013-01-24 2014-01-09 ゲルマニウム活性層またはiii−v族活性層を有する深いゲートオールアラウンド半導体デバイス
JP2017169678A Active JP6555622B2 (ja) 2013-01-24 2017-09-04 集積回路構造、非平面型半導体デバイスおよび非平面型半導体デバイスを製造する方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2015555180A Active JP6205432B2 (ja) 2013-01-24 2014-01-09 ゲルマニウム活性層またはiii−v族活性層を有する深いゲートオールアラウンド半導体デバイス

Country Status (8)

Country Link
US (6) US9136343B2 (ja)
JP (2) JP6205432B2 (ja)
KR (3) KR102049414B1 (ja)
CN (2) CN104885228B (ja)
DE (1) DE112014000536B4 (ja)
GB (1) GB2524677B (ja)
TW (3) TWI640097B (ja)
WO (1) WO2014116433A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022249678A1 (ja) * 2021-05-27 2022-12-01 ソニーセミコンダクタソリューションズ株式会社 固体撮像装置およびその製造方法

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9136343B2 (en) 2013-01-24 2015-09-15 Intel Corporation Deep gate-all-around semiconductor device having germanium or group III-V active layer
US9306019B2 (en) * 2014-08-12 2016-04-05 GlobalFoundries, Inc. Integrated circuits with nanowires and methods of manufacturing the same
KR102325894B1 (ko) 2015-06-10 2021-11-12 삼성전자주식회사 반도체 소자 및 이의 제조방법
US9716142B2 (en) * 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9870953B2 (en) 2015-10-26 2018-01-16 International Business Machines Corporation System on chip material co-integration
US9685539B1 (en) 2016-03-14 2017-06-20 International Business Machines Corporation Nanowire isolation scheme to reduce parasitic capacitance
US11152468B2 (en) * 2016-03-31 2021-10-19 Tohoku University Semiconductor device
WO2018004571A1 (en) * 2016-06-29 2018-01-04 Intel Corporation Wide bandgap group iv subfin to reduce leakage
KR102559010B1 (ko) 2016-08-05 2023-07-25 삼성전자주식회사 반도체 소자 제조방법
US9853114B1 (en) 2016-10-24 2017-12-26 Samsung Electronics Co., Ltd. Field effect transistor with stacked nanowire-like channels and methods of manufacturing the same
US10312152B2 (en) 2016-10-24 2019-06-04 Samsung Electronics Co., Ltd. Field effect transistor with stacked nanowire-like channels and methods of manufacturing the same
US10050107B1 (en) 2017-02-13 2018-08-14 International Business Machines Corporation Nanosheet transistors on bulk material
CN108538914A (zh) * 2017-03-02 2018-09-14 中芯国际集成电路制造(上海)有限公司 场效应晶体管及其制作方法
US10475902B2 (en) 2017-05-26 2019-11-12 Taiwan Semiconductor Manufacturing Co. Ltd. Spacers for nanowire-based integrated circuit device and method of fabricating same
WO2019059920A1 (en) * 2017-09-22 2019-03-28 Intel Corporation SUBSTRATE DEFECT BLOCKING LAYERS FOR CONCEALED CHANNEL SEMICONDUCTOR DEVICES
DE112017007824T5 (de) * 2017-09-26 2020-04-16 Intel Corporation Gruppe-iii-v-halbleitervorrichtungen mit gate-elektroden mit doppelter austrittsarbeit
US10170304B1 (en) 2017-10-25 2019-01-01 Globalfoundries Inc. Self-aligned nanotube structures
EP3729514A4 (en) * 2017-12-20 2021-07-07 INTEL Corporation TRANSISTOR WITH INSULATION UNDER THE SOURCE AND DRAIN
US10818800B2 (en) * 2017-12-22 2020-10-27 Nanya Technology Corporation Semiconductor structure and method for preparing the same
TWI705565B (zh) * 2018-12-26 2020-09-21 新唐科技股份有限公司 半導體元件
KR20200131070A (ko) 2019-05-13 2020-11-23 삼성전자주식회사 집적회로 소자
US11888034B2 (en) 2019-06-07 2024-01-30 Intel Corporation Transistors with metal chalcogenide channel materials
US11777029B2 (en) 2019-06-27 2023-10-03 Intel Corporation Vertical transistors for ultra-dense logic and memory applications
US11171243B2 (en) * 2019-06-27 2021-11-09 Intel Corporation Transistor structures with a metal oxide contact buffer
US11233130B2 (en) * 2019-10-25 2022-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
CN113903809A (zh) * 2020-07-06 2022-01-07 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
KR20220053265A (ko) 2020-10-22 2022-04-29 삼성전자주식회사 반도체 장치
US11569353B2 (en) 2021-02-02 2023-01-31 Micron Technology, Inc. Apparatuses including passing word lines comprising a band offset material, and related methods and systems
US20220336585A1 (en) * 2021-04-16 2022-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices having parasitic channel structures

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5965914A (en) * 1997-06-18 1999-10-12 Mitsubishi Denki Kabushiki Kaisha Thin film transistor having a branched gate and channel
JP2005064500A (ja) * 2003-08-14 2005-03-10 Samsung Electronics Co Ltd マルチ構造のシリコンフィンおよび製造方法
JP2008311678A (ja) * 2008-08-22 2008-12-25 Toshiba Corp 電界効果トランジスタ、集積回路素子、及びそれらの製造方法
US20100047973A1 (en) * 2006-12-21 2010-02-25 Commissariat A L'energie Atomique Method for forming microwires and/or nanowires
US20120292665A1 (en) * 2011-05-16 2012-11-22 Fabio Alessio Marino High performance multigate transistor

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6607948B1 (en) * 1998-12-24 2003-08-19 Kabushiki Kaisha Toshiba Method of manufacturing a substrate using an SiGe layer
JP3435632B2 (ja) * 1999-03-12 2003-08-11 株式会社豊田中央研究所 双方向電流阻止機能を有する電界効果トランジスタ及びその製造方法
MY127672A (en) * 1999-03-12 2006-12-29 Ibm High speed ge channel heterostructures for field effect devices
US7145167B1 (en) * 2000-03-11 2006-12-05 International Business Machines Corporation High speed Ge channel heterostructures for field effect devices
KR20010011621A (ko) * 1999-07-29 2001-02-15 윤종용 소규모 분산 계통연계형의 태양광 및 디젤 복합 발전시스템
CN1331240A (zh) 2000-06-30 2002-01-16 上海博德基因开发有限公司 一种新的多肽——人dna修复蛋白18.26和编码这种多肽的多核苷酸
GB0210886D0 (en) * 2002-05-13 2002-06-19 Zap Wireless Technologies Ltd Improvements relating to contact-less power transfer
WO2004081982A2 (en) * 2003-03-07 2004-09-23 Amberwave Systems Corporation Shallow trench isolation process
US6902965B2 (en) * 2003-10-31 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Strained silicon structure
US6943087B1 (en) 2003-12-17 2005-09-13 Advanced Micro Devices, Inc. Semiconductor on insulator MOSFET having strained silicon channel
KR20060109956A (ko) * 2003-12-23 2006-10-23 코닌클리즈케 필립스 일렉트로닉스 엔.브이. 이종접합을 포함하는 반도체 장치
DE102004001340A1 (de) * 2004-01-08 2005-08-04 Infineon Technologies Ag Verfahren zum Herstellen eines Nanoelement-Feldeffektransistors, Nanoelement-Feldeffekttransistor und Nanoelement-Anordnung
KR100618831B1 (ko) * 2004-06-08 2006-09-08 삼성전자주식회사 게이트 올 어라운드형 반도체소자 및 그 제조방법
US7319252B2 (en) * 2004-06-28 2008-01-15 Intel Corporation Methods for forming semiconductor wires and resulting devices
KR100594327B1 (ko) 2005-03-24 2006-06-30 삼성전자주식회사 라운드 형태의 단면을 가지는 나노와이어를 구비한 반도체소자 및 그 제조 방법
US7485908B2 (en) * 2005-08-18 2009-02-03 United States Of America As Represented By The Secretary Of The Air Force Insulated gate silicon nanowire transistor and method of manufacture
JP2007123657A (ja) 2005-10-31 2007-05-17 Nec Corp 半導体装置及びその製造方法
FR2895835B1 (fr) 2005-12-30 2008-05-09 Commissariat Energie Atomique Realisation sur une structure de canal a plusieurs branches d'une grille de transistor et de moyens pour isoler cette grille des regions de source et de drain
KR100763542B1 (ko) * 2006-10-30 2007-10-05 삼성전자주식회사 다중 채널 모오스 트랜지스터를 포함하는 반도체 장치의제조 방법
US7948050B2 (en) * 2007-01-11 2011-05-24 International Business Machines Corporation Core-shell nanowire transistor
GB0801494D0 (en) 2007-02-23 2008-03-05 Univ Ind & Acad Collaboration Nonvolatile memory electronic device using nanowire used as charge channel and nanoparticles used as charge trap and method for manufacturing the same
FR2917896B1 (fr) * 2007-06-21 2009-11-06 Commissariat Energie Atomique Transistor a effet de champ a contacts electriques alternes.
KR101391927B1 (ko) * 2007-09-07 2014-05-07 삼성전자주식회사 무선 전력 수신 장치 및 송수신 시스템
WO2009072984A1 (en) 2007-12-07 2009-06-11 Agency For Science, Technology And Research A silicon-germanium nanowire structure and a method of forming the same
CN101903992B (zh) * 2007-12-21 2012-06-27 Nxp股份有限公司 用于平面独立栅或环栅晶体管的改进的制造方法
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
CA2685928A1 (en) * 2008-12-24 2010-06-24 Toru Sugama Transmission device
DE102009006802B3 (de) * 2009-01-30 2010-06-17 Advanced Micro Devices, Inc., Sunnyvale Verfahren und Halbleiterbauelement mit Einstellung der Austrittsarbeit in einer Gateelektrodenstruktur mit großem ε nach der Transistorherstellung unter Anwendung von Lanthanum
US7884004B2 (en) * 2009-02-04 2011-02-08 International Business Machines Corporation Maskless process for suspending and thinning nanowires
US7893492B2 (en) * 2009-02-17 2011-02-22 International Business Machines Corporation Nanowire mesh device and method of fabricating same
US8080456B2 (en) * 2009-05-20 2011-12-20 International Business Machines Corporation Robust top-down silicon nanowire structure using a conformal nitride
JP4991814B2 (ja) * 2009-09-16 2012-08-01 株式会社東芝 半導体装置およびその製造方法
US7948307B2 (en) * 2009-09-17 2011-05-24 International Business Machines Corporation Dual dielectric tri-gate field effect transistor
US8614492B2 (en) * 2009-10-26 2013-12-24 International Business Machines Corporation Nanowire stress sensors, stress sensor integrated circuits, and design structures for a stress sensor integrated circuit
US8008146B2 (en) * 2009-12-04 2011-08-30 International Business Machines Corporation Different thickness oxide silicon nanowire field effect transistors
US8313990B2 (en) * 2009-12-04 2012-11-20 International Business Machines Corporation Nanowire FET having induced radial strain
US8384065B2 (en) 2009-12-04 2013-02-26 International Business Machines Corporation Gate-all-around nanowire field effect transistors
US8283653B2 (en) 2009-12-23 2012-10-09 Intel Corporation Non-planar germanium quantum well devices
WO2011101463A1 (en) * 2010-02-19 2011-08-25 University College Cork - National University Of Ireland, Cork A transistor device
US8404539B2 (en) * 2010-07-08 2013-03-26 International Business Machines Corporation Self-aligned contacts in carbon devices
US8361869B2 (en) * 2010-12-08 2013-01-29 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing suspended fin and gate-all-around field effect transistor
US20120153352A1 (en) 2010-12-15 2012-06-21 Gilbert Dewey High indium content transistor channels
US8710490B2 (en) * 2012-09-27 2014-04-29 Intel Corporation Semiconductor device having germanium active layer with underlying parasitic leakage barrier layer
US20140151757A1 (en) * 2012-12-03 2014-06-05 International Business Machines Corporation Substrate-templated epitaxial source/drain contact structures
US8927405B2 (en) * 2012-12-18 2015-01-06 International Business Machines Corporation Accurate control of distance between suspended semiconductor nanowires and substrate surface
US8957476B2 (en) * 2012-12-20 2015-02-17 Intel Corporation Conversion of thin transistor elements from silicon to silicon germanium
US9136343B2 (en) * 2013-01-24 2015-09-15 Intel Corporation Deep gate-all-around semiconductor device having germanium or group III-V active layer
US9437501B1 (en) * 2015-09-22 2016-09-06 International Business Machines Corporation Stacked nanowire device width adjustment by gas cluster ion beam (GCIB)
US11581410B2 (en) * 2021-02-12 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5965914A (en) * 1997-06-18 1999-10-12 Mitsubishi Denki Kabushiki Kaisha Thin film transistor having a branched gate and channel
JP2005064500A (ja) * 2003-08-14 2005-03-10 Samsung Electronics Co Ltd マルチ構造のシリコンフィンおよび製造方法
US20100047973A1 (en) * 2006-12-21 2010-02-25 Commissariat A L'energie Atomique Method for forming microwires and/or nanowires
JP2008311678A (ja) * 2008-08-22 2008-12-25 Toshiba Corp 電界効果トランジスタ、集積回路素子、及びそれらの製造方法
US20120292665A1 (en) * 2011-05-16 2012-11-22 Fabio Alessio Marino High performance multigate transistor

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022249678A1 (ja) * 2021-05-27 2022-12-01 ソニーセミコンダクタソリューションズ株式会社 固体撮像装置およびその製造方法

Also Published As

Publication number Publication date
KR20150087361A (ko) 2015-07-29
TW201448214A (zh) 2014-12-16
KR102234935B1 (ko) 2021-03-31
WO2014116433A1 (en) 2014-07-31
CN107833910B (zh) 2021-06-15
TWI640097B (zh) 2018-11-01
US10950733B2 (en) 2021-03-16
US9337291B2 (en) 2016-05-10
US20180301563A1 (en) 2018-10-18
US9136343B2 (en) 2015-09-15
KR101710466B1 (ko) 2017-02-27
GB2524677A (en) 2015-09-30
US20140203327A1 (en) 2014-07-24
CN104885228B (zh) 2018-01-02
KR20170023200A (ko) 2017-03-02
JP6205432B2 (ja) 2017-09-27
JP6555622B2 (ja) 2019-08-07
JP2016508669A (ja) 2016-03-22
TW201707212A (zh) 2017-02-16
TWI599047B (zh) 2017-09-11
DE112014000536T5 (de) 2015-11-05
DE112014000536B4 (de) 2019-06-13
KR102049414B1 (ko) 2019-11-28
US20160233344A1 (en) 2016-08-11
US10026845B2 (en) 2018-07-17
GB201511424D0 (en) 2015-08-12
US20170194506A1 (en) 2017-07-06
KR20190133060A (ko) 2019-11-29
CN107833910A (zh) 2018-03-23
CN104885228A (zh) 2015-09-02
US11894465B2 (en) 2024-02-06
US20210167216A1 (en) 2021-06-03
TW201804620A (zh) 2018-02-01
US9640671B2 (en) 2017-05-02
TWI565071B (zh) 2017-01-01
GB2524677B (en) 2018-08-01
US20150349077A1 (en) 2015-12-03

Similar Documents

Publication Publication Date Title
JP6555622B2 (ja) 集積回路構造、非平面型半導体デバイスおよび非平面型半導体デバイスを製造する方法
US9691843B2 (en) Common-substrate semiconductor devices having nanowires or semiconductor bodies with differing material orientation or composition
CN108198852B (zh) 具有带有多电介质栅极堆叠体的ⅲ-ⅴ族材料有源区的非平面半导体器件
EP2901486B1 (en) Method of manufacturing strained gate-all-around semiconductor devices formed on locally isolated substrates
US8710490B2 (en) Semiconductor device having germanium active layer with underlying parasitic leakage barrier layer
KR102138063B1 (ko) 도핑되지 않은 드레인 언더랩 랩-어라운드 영역들을 갖는 터널링 전계 효과 트랜지스터들(tfet들)

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20181113

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190213

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190611

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190628

R150 Certificate of patent or registration of utility model

Ref document number: 6555622

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250