JP2017522715A - 集積回路のためのピラー抵抗器構造 - Google Patents

集積回路のためのピラー抵抗器構造 Download PDF

Info

Publication number
JP2017522715A
JP2017522715A JP2016566645A JP2016566645A JP2017522715A JP 2017522715 A JP2017522715 A JP 2017522715A JP 2016566645 A JP2016566645 A JP 2016566645A JP 2016566645 A JP2016566645 A JP 2016566645A JP 2017522715 A JP2017522715 A JP 2017522715A
Authority
JP
Japan
Prior art keywords
pillar
resistor
contact
conductive trace
height
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016566645A
Other languages
English (en)
Other versions
JP6399463B2 (ja
Inventor
リー、チェン−グアン
ハフェズ、ワリド
ジャン、チア−ホン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of JP2017522715A publication Critical patent/JP2017522715A/ja
Application granted granted Critical
Publication of JP6399463B2 publication Critical patent/JP6399463B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/20Resistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/66High-frequency adaptations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/07Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common
    • H01L27/0705Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common comprising components of the field effect type
    • H01L27/0727Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common comprising components of the field effect type in combination with diodes, or capacitors or resistors
    • H01L27/0738Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common comprising components of the field effect type in combination with diodes, or capacitors or resistors in combination with resistors only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/20Resistors
    • H01L28/24Resistors with an active material comprising a refractory, transition or noble metal, metal compound or metal alloy, e.g. silicides, oxides, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

基板の表面の上方に配置されるピラー抵抗器を含む集積回路構造であり、基板の上方にトランジスタを製造することと併せて、そのような抵抗器を形成するための製造技術である。本明細書の実施形態に従うと、抵抗長を基板の表面に対し直交するように方向付けることにより、小さな抵抗器のフットプリントが実現され得る。複数の実施形態において、縦型抵抗器ピラーが導電トレースの第1の端部の上方に配置され、第1の抵抗器コンタクトがピラーにさらに配置され、第2の抵抗器コンタクトが導電トレースの第2の端部の上方に配置され、抵抗器のフットプリントを抵抗値から実質的に独立させる。抵抗器ピラーの形成は、抵抗器ピラーおよび犠牲ゲートをポリシリコン等の同一材料から並行して形成することによって、リプレースメントゲートトランジスタプロセスと統合されてよい。ピラー抵抗器コンタクトも、1または複数のトランジスタコンタクトと並行して形成されてよい。

Description

本発明の複数の実施形態は概して、集積回路(IC)およびモノリシックデバイスの製造に関し、より具体的には抵抗器構造に関する。
モノリシックICは概して、基板の上方に製造された、抵抗器等の複数のパッシブデバイス、および/または、金属酸化膜半導体電界効果トランジスタ(MOSFET)等の複数のアクティブデバイスを含む。図1Aは、基板の絶縁誘電体106の上方に配置された従来のモノリシックプレーナ型抵抗器110の平面図である。図1Bは、従来のプレーナ型抵抗器110の断面図である。技術のある世代から次の世代へとアクティブデバイスの寸法がスケールダウンする中、IC内の抵抗器のフットプリントもスケールダウンすることが望ましい。プレーナ型抵抗器110では、電圧降下の大半は、基板に対し平行な平面(例えば、図1Aおよび図1B中のx方向)に沿って起こるので、製造可能性および/またはパラメータ制御等の観点からは高抵抗のコンタクトは有利ではない。現行の密度の制約は、例えばプレーナ型抵抗器110の厚みTの低減化を制限する等、抵抗器の断面積の低減化を制限する可能性がある。プレーナ型抵抗器110の水平方向の長さは利用される材料のシート抵抗によって支配されるので、抵抗器のフットプリントは特定の回路に必要な抵抗値に対し強い影響を持つ。従って、製造技術のある世代から次の世代へと、回路の設計制約により、プレーナ型抵抗器110の有意な面積スケーリングの実現が阻まれる可能性がある。従って、より大きなスケーラビリティをもたらす抵抗器構造が有利である。
添付図面中、本明細書で記載される材料は、限定ではなく、例示として示されている。説明を簡単かつ明確にするため、図面中に示される要素は必ずしも縮尺通り描かれていない。例えば、いくつかの要素の寸法は、明確性のために、他の要素と比べて誇張されることがある。さらに適切と考えられる場合は、対応するまたは類似する要素を示すために、複数の図にわたり参照ラベルが反復して使用される。
従来のプレーナ型抵抗器の平面図である。 図1Aに示される従来のプレーナ型抵抗器の断面図である。 一実施形態による、ピラー抵抗器の平面図である。 一実施形態による、図2Aに示されるピラー抵抗器の断面図である。 一実施形態による、図2Aに示されるピラー抵抗器の抵抗値の成分を持つコンポーネントを示す回路図である。 一実施形態による、ピラー抵抗器の直列接続の平面図である。 一実施形態による、トランジスタおよびピラー抵抗器を含む集積回路構造の平面図である。 一実施形態による、図3Aに示される集積回路構造の第1の断面図である。 一実施形態による、図3Aに示される集積回路構造の第2の断面図である。 一実施形態による、ピラー抵抗器を形成する方法を示すフロー図である。 一実施形態による、トランジスタおよびピラー抵抗器を含むIC相互接続構造を形成する方法を示すフロー図である。 一実施形態による、図4Bに示される方法において選択された処理が実行されると、もたらされるトランジスタおよびピラー抵抗器を含むIC構造の断面図である。 一実施形態による、図4Bに示される方法において選択された処理が実行されると、もたらされるトランジスタおよびピラー抵抗器を含むIC構造の断面図である。 一実施形態による、図4Bに示される方法において選択された処理が実行されると、もたらされるトランジスタおよびピラー抵抗器を含むIC構造の断面図である。 一実施形態による、図4Bに示される方法において選択された処理が実行されると、もたらされるトランジスタおよびピラー抵抗器を含むIC構造の断面図である。 一実施形態による、図4Bに示される方法において選択された処理が実行されると、もたらされるトランジスタおよびピラー抵抗器を含むIC構造の断面図である。 一実施形態による、図4Bに示される方法において選択された処理が実行されると、もたらされるトランジスタおよびピラー抵抗器を含むIC構造の断面図である。 一実施形態による、図4Bに示される方法において選択された処理が実行されると、もたらされるトランジスタおよびピラー抵抗器を含むIC構造の断面図である。 一実施形態による、図4Bに示される方法において選択された処理が実行されると、もたらされるトランジスタおよびピラー抵抗器を含むIC構造の断面図である。 本発明の複数の実施形態による、ピラー抵抗器を含むIC構造を用いるモバイルコンピューティングプラットフォームおよびデータサーバマシンを示す。 本発明の一実施形態による、電子コンピューティングデバイスの機能ブロック図である。
添付図面を参照し、1または複数の実施形態が記載される。具体的な構成および配置が示され、詳細に記載されているものの、これは専ら例示目的でなされていることを理解されたい。当業者は、詳細な説明の精神および範囲から逸脱することなく他の構成および配置が可能であることを理解するであろう。当業者にとっては、本明細書に記載の技術および/または配置は、本明細書の詳細な説明とは異なる様々な他のシステムおよび用途に、用いられ得ることが自明であろう。
以下の詳細な説明において、本明細書の一部を形成し、例示的な実施形態を示す添付図面への参照がなされる。さらに、特許請求された主題の範囲から逸脱することなく、複数の他の実施形態が利用可能であり、構造的および/または論理的な変更がなされ得ることを理解されたい。例えば、上へ、下へ、上部、底部等の方向および基準は、図面における特徴部の説明を容易にするために使用されているにすぎないことも留意すべきである。従って、以下の詳細な説明は限定的な意味に理解されるべきではなく、特許請求された主題の範囲は添付の特許請求の範囲およびその均等内容によってのみ規定される。
以下の詳細な説明においては、多数の詳細が記載される。しかしながら、本発明はこれらの具体的な詳細を省いても実施可能であることが当業者には明らかであろう。いくつかの例においては、本発明を不明瞭にすることを回避すべく、周知の方法およびデバイスは、詳細な形ではなく、ブロック図の形で示される。本明細書全体における「実施形態」または「一実施形態」という言及は、当該実施形態に関連して説明される特定の特徴、構造、機能、または特性が、本発明の少なくとも一実施形態に含まれることを意味する。故に、本明細書全体の様々な箇所における「実施形態において」または「一実施形態において」という文言は、必ずしも本発明の同一実施形態を指すわけではない。さらに、特定の特徴、構造、機能、または特性は、1または複数の実施形態において、任意の好適な態様で組み合わせられてよい。例えば、第1の実施形態は第2の実施形態と任意の箇所において組み合わされてよく、当該2つの実施形態に関連付けられた特定の特徴、構造、機能または特性は互いに排他的ではない。
文脈上明示的に別途の記載がない限り、本発明の詳細な説明および添付の特許請求の範囲において使用されるように、単数形の「a」、「an」および「the」は複数形も含む意図である。本明細書で使用されるように、用語「および/または」は、関連付けられた列挙項目のうちの1または複数の任意のおよびすべての可能な組み合わせを指し、それらを包含することも理解されたい。
用語「連結され(coupled)」および「接続され(connected)」並びにそれらの派生語は、複数のコンポーネント間の機能的または構造的な関係を記載するために本明細書において使用されることがある。これらの用語は、互いに同義語であることを意図してないことを理解されたい。むしろ、特定の実施形態において、「接続された(connected)」は2または2より多い要素が物理的、光学的または電気的に互いに直接接触していることを示すために使用されることがある。「連結され(coupled)」は、2または2より多い要素が物理的、光学的または電気的に互いに直接的または間接的(当該要素間に他の介在要素を備えた状態)に接触していること、および/または、2または2より多い要素が互いに連携または相互作用(例えば、因果関係において)することを示すために使用されることがある。
本明細書で使用されるように、「上方(over)」、「下方(under)」、「間(between)」および「上(on)」という用語は、そのような物理的関係を特記すべき場合における、1コンポーネントまたは材料の、他の複数のコンポーネントまたは材料に対する相対的位置を言及している。例えば、材料の文脈では、別の材料の上方若しくは下方に配置された1材料若しくは材料は直接的に接触してよい、または1または複数の介在材料を有してよい。さらに、2つの材料若しくは複数の材料間に配置される1つの材料は2つのレイヤと直接的に接触してよい、または1または複数の介在レイヤを有してよい。対照的に、第2の材料または材料の「上」にある第1の材料または材料は、その第2の材料/材料と直接的に接触する。同様の区別がコンポーネントアセンブリの文脈においてなされる。
詳細な説明全体および特許請求の範囲で使用されるように、「のうちの少なくとも1つ」または「のうちの1または複数」という用語によって接続される項目のリストは、列挙された用語の任意の組み合わせを意味し得る。例えば、「A、BまたはCのうちの少なくとも1つ」という文言は、「A、B、C」、「AおよびB」、「AおよびC」、「BおよびC」または「A、BおよびC」を意味し得る。
基板の表面の上方に配置されるピラー抵抗器を含む集積回路構造、およびトランジスタの製造と併せてそのような抵抗器を形成するための製造技術が本明細書に記載される。実施形態に従うと、抵抗器の抵抗長を基板の表面に対し直交するように方向付けることにより、小さな抵抗器のフットプリントが実現され得る。そのような縦向き抵抗器「ピラー」は、finFETおよび積層メモリ等の無数の3D ICアーキテクチャを補完してよい。アクティブデバイスおよびパッシブデバイスの両方を「z方向」に構築する有益な利点は、例えば、x‐y面の尺度である、基板面積のスケーリングである。複数の実施形態において、抵抗器ピラーが導電トレースの第1の端部の上方に配置され、第1の抵抗器コンタクトが上記ピラーと接触してさらに配置され、第2の抵抗器コンタクトが導電トレースの第2の端部と接触と接触して配置され、その結果、抵抗器のフットプリントを抵抗値に実質的に依存させないようにし、代わりにコンタクトスケーリングに主に依存させるようにする。抵抗器コンタクトの寸法は、トランジスタコンタクトのスケーリングと共に、スケーリング可能である。複数の有利な実施形態において、抵抗器ピラーおよび犠牲ゲートをポリシリコン等の同一材料から並行して形成することによって、抵抗器ピラーの製造はリプレースメントゲートトランジスタ(finFETまたはプレーナ型)のプロセスと統合されてよい。複数のピラー抵抗器コンタクトが、1または複数のトランジスタコンタクトと並行してさらに形成されてよい。
図2Aは、一実施形態による、ピラー抵抗器201の平面図である。図2Bは、一実施形態による、図2Aに示されるb‐b'面に沿ったピラー抵抗器201の断面図である。図2Cは、一実施形態による、ピラー抵抗器201の複数の抵抗成分を示す回路図であり、合計の抵抗値が示されている。図2Aおよび図2Bに図示される通り、導電トレース205が、介在する絶縁誘電材料106を備えた状態で基板105の上方に配置される。ピラー抵抗器201に加え、トランジスタ(例えば、MOSFET)、光検出器(例えば、PINダイオード)、レーザ、モジュレータ等の1または複数のアクティブデバイス(不図示)が基板105内、基板105上、または基板105の上方に同様に配置されてよい。ピラー抵抗器201に加え、コンデンサ、インダクタ、光導波路等の1または複数の他のパッシブデバイスも基板105内、基板105上、または基板105の上方に配置されてよい。
基板105は、本明細書において概してICと称される、モノリシックに統合された電気デバイス、光学デバイスまたは微小な電気機械(MEM)デバイスを形成するために好適な任意の基板であってよい。例示的な基板としては、半導体基板、半導体オンインシュレータ(SOI)基板、絶縁基板(例えば、サファイア)等および/またはこれらの組み合わせが含まれる。例示的な一実施形態において、基板105は限定ではないがシリコン等の実質的に単結晶の半導体を含む。例示的な半導体基板の組成としてはまた、ゲルマニウム、またはSiGe等のIV族の合金系、GaAs、InP、InGaAs等のIII‐V族の系、またはGaN等のIII‐N族の系が含まれる。
絶縁誘電材料106は、導電トレース205を基板105から電気的に絶縁するのに好適な当該技術分野で既知の任意の誘電材料であってよい。多くのそのような材料が使用され、そのようなものとしては限定ではないが、酸化ケイ素(SiO)、窒化ケイ素(SiN)、酸窒化ケイ素(SiON)、炭窒化ケイ素(SiCN)および低誘電率材料(例えば、炭素ドープされた二酸化ケイ素(SiOC)、多孔性誘電体等)が含まれる。
導電トレース205は導電線またはパッド等であってよい。図2Bに示される通り、導電トレース205の水平方向の寸法の外部にある、すなわち導電トレース205の水平方向の寸法を超える誘電材料106部分の上面は、導電トレース205の上面と同一平面ではない。複数の代替の実施形態において、導電トレース205は誘電体106内に埋め込まれてよく、その場合、導電トレース205の上面は誘電材料106と同一平面にあるか、または誘電材料106によって上方を覆われるかのいずれかの状態となる。導電トレース205は、適度に低抵抗の水平方向の導電性パスを提供するのに好適な任意の導電材料を含んでよく、および/または、好適な低抵抗のコンタクトのためのランドを提供してよい。複数の例示的な実施形態において、導電トレース205は、大量にドープされた半導体および/または金属成分を含む。例示的な半導体としては、シリコン、ゲルマニウム、およびシリコンゲルマニウムが含まれる。そのような半導体は単結晶またはアモルファスであってよいが、例示的な実施形態においては、半導体は多結晶である。導電トレース205のドープは、半導体材料系に依存してよく、導電トレース205をN型またはP型にしてよい。導電トレース205がポリシリコンである例示的な一実施形態において、不純物はP型(例えば、ホウ素)である。不純物ドーパントのレベルは、所望のシート抵抗に影響し、例えば1017〜1019/cmの範囲内であってよい。導電トレース205が金属である他の実施形態においては、金属成分は、好適な低シート抵抗および/または低コンタクト抵抗を持つ任意の既知のものであってよく、そのようなものとしては限定ではないが銅(Cu)、コバルト(Co)、タングステン(W)、チタン(Ti)、アルミニウム(Al)、プラチナ(Pt)、ニッケル(Ni)およびこれらの合金が含まれる。
導電トレース205は、水平方向の寸法WおよびLを有し、これらにより、ピラー抵抗器201の水平方向のフットプリント(すなわち、基板面積)が規定される。長さLは、抵抗器のフットプリントを低減すべく有利に最小化される。例示的な実施形態においては、特定材料のレイヤの設計規則に従い、長さLは最小コンタクト寸法CDcおよび最小ピッチPの2つの抵抗器コンタクト115、116をちょうど収容すべくサイズ設定される。コンタクト寸法およびコンタクトピッチは技術の進展とともにスケーリングされ、これらの値は、CDおよびPがそれぞれ10〜30nmである例示的範囲であるが経時的に変わることが予期され得る。幅Wはフットプリントを低減すべく有利に最小化され、例示的な一実施形態において、幅Wは最小コンタクト寸法CDのコンタクト115、116をちょうど収容すべくサイズ設定される。他の複数の実施形態においては、Wは、位置合わせずれに対応し、または導電トレース205の導電性の断面を増大すべく、最小コンタクト寸法CDcを超えて増大されてよい。導電トレース205は、その膜厚に関連付けられたz高さhを有し、z高さhは所望される抵抗の関数として変わってよい。例示的なポリシリコンの実施形態において、hは10〜50nmの間で変わってよく、幅Wを有してよく、導電トレース205が100オーム以下の電気抵抗を有するレベルまでドープされてよい。
複数の実施形態において、抵抗材料から構成されるピラー210が導電トレース205の第1の端部と接触して配置される。抵抗材料210は、所望の範囲内で制御可能なシート抵抗を有する、またはさもなければ基板処理と適合性のある任意の既知の材料であってよい。複数の実施形態において、抵抗材料210は、導電トレース205が有するものよりも大きなシート抵抗を有する。さらなる実施形態において、抵抗材料210は限定ではないが、シリコン、ゲルマニウム、またはシリコンゲルマニウム合金等の半導体を含む。導電トレース205がポリシリコンを含む例示的な一実施形態においては、抵抗材料210もポリシリコンであるが、抵抗材料210は導電トレース205よりも低いレベルでドープされる(例えば、抵抗材料210は意図的にドープされなくてよい)。他の実施形態においては、抵抗材料210は薄膜抵抗器の用途に好適であることが周知である金属または合金を含み、それらとしては限定ではないが、タンタル、タングステン、アルミニウム、ニッケル、チタン、コバルト、それらの合金、窒化物および炭化物が含まれる。
抵抗材料から構成されるピラー210は導電トレース205の第1の端部から第1のz高さh(z軸に沿って)分延びる。さらに本明細書の他の箇所に記載された通り、z高さhは抵抗材料の膜厚の関数である。図2Cに示される通り、電気抵抗Rは特定の抵抗材料のz高さhと共にスケーリングする。その結果、z高さhは選択される抵抗材料に基づき、設計される抵抗器の抵抗値に関し選択されてよい。z高さhが50nm未満(例えば、25nm)である複数の特定の例示的な実施形態においては、z高さhは50〜200nmの範囲内である。複数の実施形態において、z高さhは、導電トレース205と接合するピラーの水平方向の長さLより大きくてよい。さらなる複数の実施形態において、z高さhは、水平方向の長さLと抵抗器コンタクト116の水平方向の長さ(すなわち、CD)との合計より大きい。さらなる複数の実施形態において、z高さhは導電トレース205の水平方向の長さLより大きく、水平方向の長さLはさらに、抵抗材料210と抵抗器コンタクト116との間の間隔の関数である。
電気抵抗Rはまた、抵抗材料から構成されるピラー210の水平方向の幅Wと共にスケーリングする。例示的な実施形態においては、Wは例えば抵抗器コンタクト115の限界寸法(例えば、CD)に実質的に等しい。この最小の水平方向の幅Wにおいて、抵抗Rは特定のz高さhにおいて実現され得る。このz高さは、設計される抵抗器の最大値に設定されてよい。同一のz高さhで製造される抵抗器については、水平方向の幅Wを増大させることにより、より低い抵抗値が実現されてよく、その結果、縦型抵抗器は依然リソグラフィ的に定義可能な抵抗値を有する。従って、特定の実施形態において、複数の水平方向の寸法から成る一範囲にわたる複数の縦型抵抗器から構成されるアレイが、複数の抵抗値から成る一範囲(例えば、トリミング等)を提供してよい。このようにして、ある設計値より低い抵抗値を持つ抵抗器は、増大する抵抗器値に合わせフットプリントをスケールアップするのではなく、フットプリントのペナルティを課せられてよい。
さらなる複数の実施形態においては、図2Dに示される通り、ピラー抵抗器列202は、相互接続された複数のピラー抵抗器構造201A、201B、201Nを含み、複数のピラー抵抗器構造201A、201B、201Nの各々はピラー抵抗器構造201の複数の属性を有する。複数のピラー抵抗器は、例えばピラー抵抗器構造201の設計される最大抵抗を超える抵抗値をもたらすべく、上位レベルの相互接続メタライゼーション290によって共に結合される。複数の縦型抵抗器から構成される非常に大きなアレイを共に結合すべく、相互接続メタライゼーション290を定義する単一マスクは変更されてよく、任意の数の抵抗器ネットワークを形成する。ピラー抵抗器リンク201A、201B、201Nはすべて、同一の固定のz高さ(h)を有してよく、各相互接続を用いて合計抵抗値を増分的に増大させる。結合された各ピラー抵抗器はリソグラフィで恣意的に定義された水平方向の幅Wの抵抗器ピラーを有することが可能であるので、任意の合計抵抗値はピラー抵抗器の個数で実現されてよい(例えば、独立的にW2a,W2b,W2nを変更させることによって)。
図2Aおよび2Bに戻ると、抵抗器コンタクト115はピラー210と接触して配置され、導電トレース205からはz高さhだけ分離されている。第2の抵抗器コンタクト116が導電トレース205の第2の端部と接触して配置される。抵抗器コンタクト115、116は既知の材料であってよく、コンタクト115は有利に抵抗器ピラー210にオーム接点を提供し、コンタクト116は有利に導電トレース205にオーム接点を提供する。一実施形態において、抵抗器コンタクト115、116は同一の材料組成である。さらなる複数の実施形態において、抵抗器コンタクト115、116は限定ではないがトランジスタコンタクトに好適な既知の任意の金属または合金等の同一金属成分である。
図2Cに図示される通り、コンタクト115と116との間を通る電流に関連付けられる電気抵抗値は、抵抗材料210の抵抗(R)、導電トレース205の抵抗(R)、コンタクト115の抵抗(Rc1)、コンタクト116の抵抗(Rc2)、および抵抗材料210と導電トレース205との間の抵抗(Rc3)の関数である。抵抗材料のz高さhの強い影響として、抵抗電圧降下は主にz次元に沿って発生することになる。複数の実施形態において、抵抗材料210の電気抵抗の寄与度は、導電トレースの抵抗R、第1のコンタクトの抵抗Rc1、第2のコンタクトの抵抗Rc2、および第3のコンタクトの抵抗Rc3の合計の2倍より大きい。さらなる複数の実施形態において、抵抗器ピラー210の電気抵抗の寄与度は、導電トレースの抵抗R、第1の抵抗器コンタクトの抵抗Rc1、第2の抵抗器コンタクトの抵抗Rc2、および第3のコンタクトの抵抗RC3の合計の3倍より大きい。抵抗器ピラー210および導電トレース205の両方がポリシリコンである(後者はより低い抵抗のために不純物がドープされている)特定の実施形態においては、少なくとも50nmのz高さhを有する抵抗器ピラー210のRは少なくとも2000Ωであり、一方R、Rc1、Rc2およびRc3の合計は500Ω以下である。そのような特定の実施形態においては、導電トレース205は、50nm以下のz高さ(厚み)hの材料については、100Ω以下の電気抵抗を有する。
図3Aは、一実施形態による、トランジスタ302およびピラー抵抗器303を含む集積回路構造301の平面図である。図3Bは、一実施形態による、図3Aに示されるb‐b'線に沿った集積回路構造301の第1の断面図である。図3Cは、一実施形態による、図3Aに示されるc‐c'線に沿った集積回路構造301の第2の断面図である。ピラー抵抗器303は、抵抗器コンタクト315および導電トレース205と物理的および電気的に接触する、抵抗材料から構成されるピラー210を含む。導電トレース205はさらに、抵抗器コンタクト316と物理的および電気的に接触する。抵抗材料210は、図2A〜図2Cの文脈で上記した任意のまたはすべての属性を有してよい。同様に、抵抗器コンタクト315、316はそれぞれ、抵抗器コンタクト215、216について上記した任意のまたはすべての属性を有してよい。導電トレース205も、図2A〜図2Cの文脈で上記した任意のまたはすべての属性を有してよい。従って、ピラー抵抗器303はピラー抵抗器201の追加の実施形態についてさらに特定する。
図3Bに図示される通り、ピラー抵抗器303は、実質的に平坦な抵抗器コンタクト315、316を含み、抵抗器コンタクト315、316は互いに同一平面の上面を有する。その結果、抵抗器コンタクト316は導電トレース205からのz次元のz高さhを有し、z高さhは、抵抗材料のz高さhと第1の抵抗器コンタクト315のz次元のz高さhとの合計と実質的に等しい。抵抗器コンタクト316には低抵抗の材料が用いられており、同等の垂直方向の寸法を持つ充填された任意のビアの場合と同様、h沿いの抵抗降下は無視可能である。図3Aおよび図3Bにさらに示される通り、抵抗器コンタクト315は、抵抗材料から構成されるピラー210の上面面積全体と接合し、抵抗器コンタクト315は、導電トレース205とのピラーの界面の水平方向の長さと実質的に等しい水平方向の長さLを有する。コンタクト315はピラーの断面全体にランドされており、コンタクト315に関連付けられるコンタクトの抵抗は、最小の水平方向の寸法Lの関数である断面積を有する抵抗材料から構成されるピラーについて最小化されてよい。さらなる特記事項として、コンタクト315は抵抗材料から構成されるピラー210と完全に位置合わせされており、その結果、コンタクト315のフットプリントは抵抗材料210のフットプリントと一致する。すべての既知のリソグラフィベースのパターニングプロセスは何らかの本質的なオーバーレイエラーを引き起こすので、コンタクト315は当該技術分野において「自己アライメント」と呼ばれる構造の分類に属するものとして記載するのが一番である。本明細書の他の箇所でさらに記載される通り、コンタクト315は抵抗材料210と「自己アライメント」されており、それにより、IC構造301の有利な水平方向のスケーリングを可能にする。複数の特定の実施形態においては、抵抗材料210および/またはコンタクト316は、導電トレース205に対するフォトリソグラフィパターニングのアライメント(すなわち、「非自己アライメント」)に依存してよい。図3A、図3Bおよび図3Cに示される例示的な実施形態においては、抵抗材料210およびコンタクト316の両方は、導電トレース205上に完全にランドされており、対応する低いコンタクトの抵抗を保証する。完全なランドの場合、導電トレース205は、抵抗材料210(およびコンタクト315)の幅wより大きい水平方向の幅wを有してよく、水平方向の長さlは抵抗材料210の水平方向の長さl、コンタクト316の水平方向の長さl3、および介在間隙sの合計より大きい。導電トレース205の水平方向の寸法を超えるコンタクトの位置合わせずれは、コンタクトの抵抗を増大させると予期され得る。
複数の実施形態において、トランジスタ302はゲートスタックの下方に配置される半導体チャネルを含むMOSFETであり、当該チャネルの対向する側に配置される半導体ソース/ドレイン領域を備える。図3A、3Bおよび3Cに図示される例示的な実施形態において、トランジスタ302は基板105から延びる1または複数の非プレーナ型半導体本体325を含む。従って、半導体本体325は基板105と実質的に同一の単結晶半導体(例えば、シリコン)であってよい。図3A、3Bおよび3CにはfinFETが例示されているが、複数のピラー抵抗器は、また本明細書で記載した態様と実質的に同一態様で、複数のプレーナ型トランジスタと統合されてよい。半導体本体325は、第1のソース/ドレインコンタクト317と電気的に接触する非プレーナ型半導体本体325の第1の端部に第1のソース/ドレイン領域をさらに含む。半導体本体325は、第2のソース/ドレインコンタクト318と電気的に接触する非プレーナ型半導体本体325の第2の端部に第2のソース/ドレイン領域をさらに含む。半導体本体325は、当該2つのソース/ドレイン領域間にチャネル領域をさらに含む。複数の実施形態において、一組のソース/ドレインコンタクト317、318は、第1の抵抗器コンタクト315および第2の抵抗器コンタクト316と実質的に同一の組成を有する。図示された例示的な実施形態において、ソース/ドレインコンタクト317、318の上面も、抵抗器コンタクト315、316の上面と同一平面である。
図3Aおよび図3Bにさらに示される通り、ゲート電極331は半導体本体325のチャネル部分の上方に配置される。ゲート誘電体(不図示)がゲート電極331と半導体本体325との間に配置されてゲートスタックを完成させ、チャネルのゲート電極331への静電結合を可能にする。ピラー抵抗器303を複数のトランジスタ間に配置可能な方法について例示すべく、第2のゲート電極332が図3A、3B中にさらに示されている。ゲート電極331が、絶縁誘電体106の上面からz高さh分延びる。複数の実施形態において、抵抗材料ピラーのz高さhは、ゲート電極のz高さhより大きくない。さらなる複数の実施形態において、ゲート電極のz高さhは、抵抗材料ピラーのz高さhと、導電トレースのz高さhとの合計より小さくなく、抵抗材料ピラーのz高さhと、導電トレースのz高さhと、コンタクトのz高さhとの合計より大きくない。抵抗材料210が犠牲ゲート電極材料としても機能し(例えば、本明細書の他の箇所でさらに記載されるゲートリプレースメントプロセスにおいて)、コンタクト315が抵抗材料210に自己アライメントする有利な実施形態においては、ゲート電極のz高さhは、ピラーのz高さhと、導電トレースのz高さhとの合計より大きい。
図3Bおよび3Cに示される通り、抵抗器コンタクト315は、絶縁誘電体340によって囲まれている。抵抗器コンタクト315は、間隙s(図3A)を埋める絶縁誘電体340によって同様に囲まれており、抵抗器コンタクト315、316を電気的に絶縁する。抵抗器コンタクト315、316はさもなければ抵抗材料210を電気的に短絡する可能性がある。絶縁誘電体340はさらに、ゲート電極331を抵抗材料210から離間させ、トランジスタ302をピラー抵抗器303から電気的に絶縁する。絶縁誘電体340はさらに、ゲート電極332を抵抗器コンタクト316および/または導電トレース205から離間させ、電気的にゲート電極332をピラー抵抗器303から絶縁する。例示的な実施形態において、ゲートキャップ誘電体351がゲート電極331の上方に配置され、ゲートスタックを抵抗器コンタクト315、316の上面と同一平面化する。一実施形態において、絶縁誘電体340およびゲートキャップ誘電体351は異なる材料であり、2つの材料間で選択的にエッチングすることを可能にする。絶縁誘電体340およびゲートキャップ誘電体351のいずれかまたは両方は、絶縁誘電体106とは異なる組成であってよく、複数の材料間で選択的にエッチングすることを可能にする。複数の例示的な実施形態において、絶縁誘電体340およびゲートキャップ誘電体351のいずれかまたは両方は、SiO、SiON、SiN、SiCN、SiC、低誘電率の誘電体(例えば、炭素ドープされた酸化物)等の1または複数を含む。
ピラー抵抗器およびそれらを組み込むIC構造は様々な技術で製造されてよい。図4Aは、例示的な一実施形態により、ピラー抵抗器を形成するための方法401を示すフロー図である。方法401を実施して、例えば、図2A、2Bに示されるピラー抵抗器201、または図3A、3Bに示されるピラー抵抗器303を製造してよい。
方法401は処理410で開始し、処理410において、基板の上方を水平方向に延びる導電トレースまたは相互接続機能が、例えば絶縁誘電材料の上方または絶縁誘電材料の内部に形成される。処理401において、任意の製造技術が利用されてよい。例えば、導電材料の膜が堆積され、フォトレジストが当該導電膜の上方に堆積されてよく、当該導電膜の一部をマスクすべく、フォトレジストリソグラフィがパターニングされてよい。導電膜のマスクされていない部分は、除去されるマスクを除くべくエッチングされてよい。
次に方法401は処理420に進み、処理420において、抵抗材料の膜が処理410で形成された導電トレースの上方に堆積される。限定ではないが、化学気相成長(CVD)、プラズマ強化CVD(PECVD)、物理気相成長(PVD)、原子層堆積(ALD)等の任意の堆積プロセスを利用して、抵抗材料を、例えば少なくとも50nmの膜厚まで堆積してよい。また処理420において、抵抗材料の膜は、例えば1または複数のフォトリソグラフィマスキングおよびエッチングプロセスを用いてパターニングされる。抵抗器ピラーマスクが、処理410において形成された導電トレースに位置合わせされてよい。次に、導電トレースの一部(例えば、第1の端部)にランドされた残存する抵抗材料から構成されるピラーを除くべく、マスクされていない抵抗材料がエッチングされてよい。オプションで、ピラーをパターニングする前に、処理410で形成された導電トレースと位置合わせされた抵抗材料の膜に、10〜50nmのリセスがエッチングされてよい。次にこのリセスは、抵抗材料の膜と同一平面になるよう誘電材料でバックフィルされてよく、その後、ピラーはピラーエッチング中に、マスクとして機能する誘電材料と自己アライン手法でエッチングされてよい。
処理430で、抵抗器ピラーへのコンタクトが形成される。処理440で、導電トレースの第2の端部への別のコンタクトがさらに形成される。処理430および440は任意の順序、または並行して実行されてよい。誘電体マスクを用いてピラーエッチングが実行された一実施形態においては、絶縁誘電体が誘電体でマスクされたピラーの上方に、例えば任意の既知のCVDまたはスピンオンプロセスによって堆積される。用いられる絶縁誘電体の堆積プロセスが自己平坦化ではない場合、絶縁誘電体は任意の平坦化技術(例えば、化学機械研磨)を使用して、抵抗器ピラー上の誘電体マスクと同一平面化されてよい。次にピラー上の誘電体マスクが除去され、ピラーを露出させてよい。次にコンタクト金属が、ピラーにバックフィルされ、絶縁誘電体と同一平面化されてよい。別の実施形態においては、マスクされていない抵抗器ピラーの上方に絶縁誘電体をまず堆積させ、抵抗器ピラーに対し自己アライメントされたコンタクトが形成されてよい。繰り返しになるが、ここでも任意の既知のCVDまたはスピンオンプロセスによって行われてよい。用いられる絶縁誘電体の堆積プロセスが自己平坦化ではない場合、絶縁誘電体は任意の平坦化技術(例えば、化学機械研磨)を使用して、抵抗器ピラーと同一平面化されてよい。次に抵抗器ピラーは、例えば選択性エッチングプロセスを用いて、絶縁誘電体に対して10〜50nmだけリセスされてよく、その結果、ピラーのz高さは50〜200nmの間となる。次に、コンタクト金属が抵抗器ピラーのリセスの中にバックフィルされてよい。
コンタクト金属は、コンタクト金属を抵抗器ピラーの中のみに閉じ込めるために、周囲の絶縁誘電体と同一平面化されてよい。 さらなる実施形態においては、抵抗材料を導電トレースの第2の端部の上方に配置される犠牲ピラーにさらにパターニング(例えば、処理420において)することによって、導電トレースへのコンタクトが形成されてよい。このパターニングは、抵抗器ピラーのパターニングと並行して行われてよい。次に、抵抗器ピラーと並行して、絶縁誘電体が犠牲ピラーの上方に堆積されてよい。次に、犠牲ピラーは後に、絶縁誘電体に対し選択的に除去されてよく、導電トレースの第2の端部を露出させる。次に、犠牲ピラーの除去により残された開口部の中にコンタクト金属がバックフィルされてよい。次に、コンタクト金属の絶縁誘電体との同一平面化はまた、コンタクト金属を抵抗器ピラーから電気的に絶縁されたビア内に閉じ込める。次に、方法401は、基板の上方に形成されるICの複数の他のコンポーネントへの複数の抵抗器コンタクトを相互接続することで終了する。コンポーネントとしては限定ではないが、MOSFETゲート電極、MOSFETソース/ドレイン、または複数の他の抵抗器等が含まれる。
図4Bは、方法401の特定の実施形態に従って、MOSFETおよび抵抗器ピラーを含むIC構造を形成するための方法402を示すフロー図である。方法401の文脈の中で記載された特定の処理が、図4Bに示される例示的な実施形態のためにさらに詳細に記載される。図5A、5B、5C、5D、5E、5F、5Gおよび5Hは、複数の有利な実施形態に従い、方法402において選択された処理が実行され、もたらされるFETおよび抵抗器ピラーを含むIC構造の断面図である。図2A、図2B、図3A、図3Bおよび図3Cに振られた参照番号は、図5A〜図5Hでさらに示される対応構造について維持されている。
図4Bを参照すると、方法402は処理404で開始し、処理404においては、トランジスタ半導体本体が基板の上方に配置される絶縁誘電体内に形成される。図5Aに示される例では、非プレーナ型半導体本体、すなわちフィン325が基板105内にエッチングされる。半導体本体325および基板105は、例えば実質的に単結晶シリコン、または上記の材料のうちの任意のもの等、トランジスタの形成に好適な任意の他の半導体材料系であってよい。さらに示される通り、半導体本体325は絶縁誘電体106へと延在し、絶縁誘電体106は例えば、半導体本体325の上方に堆積済みで、半導体本体325の上面と同一平面化済みであってよく、次に従来技術を使用してリセスされ、所望のフィンのz高さを露出させてよい。
図4Bに戻ると、処理405において、処理404で形成済みのトランジスタ半導体本体に隣接して、導電トレースが形成される。図5Bは、任意の従来技術を使用して、絶縁誘電材料106の上方に堆積された、例示的な導電性(低い抵抗)薄膜を示し、任意の従来技術としては、限定ではないがPVD、CVDまたはALDが含まれる。例示的な一実施形態において、ポリシリコンがCVDによって堆積される。ポリシリコンはインサイチュまたはエクスシチューで所望の低抵抗率にドープされる。次に導電薄膜は、例えば従来のフォトリソグラフィおよびエッチング技術を用いてパターニングされ、基板105の一部の上方に水平方向に延びる導電トレース205を形成する。導電薄膜に好適な任意のエッチングが利用されてよい。導電薄膜がドープされたポリシリコンで、半導体本体325がシリコンを有し、ウェットエッチングプロセスがドープされていない、より少なくドープされた、または相補的にドープされたものよりも、ドープされた(例えば、大量のホウ素)ポリシリコンを優先(すなわち、選択的)してエッチングする有利な一実施形態においては、導電トレース205をパターニングするためにシリコンが用いられる。
図4Bに戻ると、方法402は処理406で継続し、処理406において、抵抗材料が処理404で形成されたトランジスタ半導体本体の上方に、および処理405で形成された導電トレースの上方に堆積される。図5Bに示される例示的な実施形態においては、抵抗材料510(例えば、目的の抵抗率にドープされたポリシリコン)が、例えば半導体325の上方および導電トレース205の上方に、少なくとも50nmの厚みに堆積される。さらに後述される通り、抵抗材料510がゲートリプレースメントプロセスにおける犠牲トランジスタゲートとしてさらに機能することになる実施形態については、抵抗材料510が、犠牲ゲートに関する制約によって許容される通り、200nmを超える厚みに堆積されてよく、これによりピラー抵抗器がピラーの特定の水平方向の寸法に対し、より大きな最大抵抗を有することを可能にする。例示的な一実施形態において、ポリシリコンがCVDによって50nmを超える厚みに、有利には75nmを超える厚みに堆積される。ポリシリコンは、例えば導電トレース205の抵抗率より高い等、所望の抵抗率にインサイチュまたはエクスシチューでドープされる。抵抗材料510は平坦化され、SiON、SiN、SiO、SiC、SiCN、SiOC等の誘電体ハードマスク551でキャップされる。
図4Bに戻ると、処理407において、抵抗材料がパターニングされ、処理405で形成された導電トレースの上方(すなわち、導電トレースと位置合わせされ)にリセスが形成される。例示的な一実施形態において、従来のフォトリソグラフィ処理が、導電トレースの端部に開口部が位置合わせされた状態でマスクをパターニングする。誘電体ハードマスクの露出された部分がエッチングされ、抵抗材料の厚みの一部が除去され、リセスが形成される。処理408において、処理407で形成された抵抗材料のリセスは、犠牲材料でバックフィルされる。図5Cにさらに示される例示的な実施形態において、犠牲充填材料541が抵抗材料510にエッチングされたリセスに堆積される。犠牲充填材料541は、SiON、SiN、SiO等の任意の材料であってよく、それは後から抵抗材料510の上方で適切な選択性で除去可能である。そのような一実施形態において、処理408でバックフィルされた犠牲材料は、誘電体ハードマスク551とは異なる組成である。犠牲充填材料541を形成するには、CVD、ALD、または自己平坦化スピンオンプロセス等の任意の従来の堆積プロセスが利用されてよい。犠牲材料の堆積が自己平坦化でなかった場合、後から平坦化プロセスが行われてよく、犠牲充填材料541を抵抗材料510内のリセスの物理的範囲に限定する。
図4B中、方法402は処理409で継続し、処理409において、抵抗材料がパターニングされ、トランジスタ半導体本体の上方に配置された抵抗材料とは別個の導電トレースの第1の端部の上方に配置された抵抗器ピラーを形成し、それは犠牲ゲート電極として機能してよい。図5Dを参照すると、開口部509A、509Bおよび509Cが、抵抗材料510のz高さ(厚み)をパターニングされ、開口部509Aは導電トレース205の少なくとも一部を露出させ、これはピラー抵抗器210を、導電トレース205への後から形成されるコンタクトから絶縁させるために機能することになる。図5Dにさらに示される通り、開口部509Bはさらに導電トレース205およびピラー抵抗器210を、半導体本体325のチャネル部分の上方に配置される犠牲ゲート531から絶縁する。同様に、開口部509Cはさらに導電トレース205を犠牲ゲート532から絶縁する。有利な一実施形態において、フォトリソグラフィ的に定義されるマスクが利用されて犠牲ゲート531をパターニングする一方、犠牲充填材料541が自己アライメントピラーエッチングのためのハードマスクとして用いられ、それにより追加のマスクレイヤおよび/または位置合わせずれエラーを受けることなく、ピラー抵抗器210を既存のゲートリプレースメントプロセスに統合する。例えば、処理409においてフォトリソグラフィなしに、開口部509Aの第1の縁が抵抗材料210の輪郭を描出してよい一方、犠牲抵抗器ピラー512を描出する開口部509Aの第2の縁は、処理409においてプリントされたフォトリソグラフィマスクの結果であってよい。同様に、開口部509Bは、前に描出済みのハードマスク541および処理409においてプリントされたフォトリソグラフィマスクの組み合わせである複数の縁を有してよい。開口部509Cは、処理409においてフォトリソグラフィを用いて完全に描出されてよい。抵抗材料510は、特定の抵抗材料組成について既知の任意の手段によって、ピラー210、犠牲ピラー512、および犠牲ゲート531にエッチングされてよい。一例において、ポリシリコンの抵抗材料をパターニングするためにプラズマエッチングが利用される。
図4B中、方法402は処理411で継続し、処理411において、絶縁誘電体が処理409で形成された縦型抵抗器ピラー(および犠牲ゲート)の周囲に堆積される。処理411においては、限定はされないがCVD、ALD、およびスピンオンプロセス等の任意の従来の誘電体堆積技術が利用されてよい。図5Eにさらに示される例示的な実施形態においては、絶縁誘電体340が、犠牲ゲート531(およびハードマスク551)並びに抵抗器ピラー210(および犠牲充填材料541)の上方に堆積される。絶縁誘電体340は、低誘電率の誘電体(例えば、SiOC等)またはそれ以外のもの(例えば、SiCN、SiN、SiON、SiO)等、任意の既知の誘電材料であってよい。絶縁誘電体340が自己平坦化プロセスを用いて堆積されない場合、絶縁誘電体340の上面をハードマスク551および犠牲充填材料541と実質的に同一平面にすべく、堆積後に平坦化プロセスが行われてよく、それによりハードマスク551および犠牲充填材料541の両方の上面を露出させる。
図4Bに戻ると、方法402は処理420で継続し、処理420において、導電トレースの第2の端部の上方に残る抵抗材料が除去され、導電トレースの端部が露出され、その端部にコンタクトがランドされることになる。図5Eに示される例示的な実施形態においては、ハードマスク部分551にパターニングされたエッチングがなされ、抵抗器ピラー210または犠牲ゲート531、532を露出させずに、犠牲ピラー512を露出させる。処理420において、抵抗材料512を露出させるべく、フォトリソグラフィプロセスおよびハードマスクエッチング等の任意のパターニングプロセスが利用されてよい。次に、抵抗材料(例えば、ポリシリコン)に対するエッチング選択性が利用され、犠牲抵抗器ピラー512を周囲の絶縁誘電体340から自己アライン手法で除去してよい。図5Fにさらに示される通り、別の犠牲材料が、抵抗材料512が除去された領域の中にバックフィルされ、犠牲ピラー542を形成する。犠牲ピラー542は、絶縁誘電体340に対する適切な選択性で後から除去されてよい、SiN、SiON、SiO等の任意の既知の材料であってよい。有利な一実施形態において、犠牲ピラー542は犠牲充填材料541と同一の材料組成を有する。
図4Bに戻ると、方法402は処理425で継続し、処理425において、トランジスタ半導体本体の上方に配置された犠牲抵抗材料が、リプレースメントゲート電極プロセスの一環として除去される。ゲートリプレースメントプロセスは、犠牲抵抗材料を除去することによりもたらされた開口部をバックフィルすることで継続する。図5Fおよび5Gに示される例示的な実施形態において、犠牲抵抗材料531をゲート電極331で置換すべく、任意の既知のゲートリプレースメントプロセスが行われてよく、ゲート電極331はゲート電極331と半導体本体325との間に配置されるゲート誘電体(不図示)をさらに含むゲートスタックの一部である。一実施形態において、ゲートリプレースメントプロセスは、フォトリソグラフィパターニングを用いずに(例えば、任意の既知の研磨若しくは他のグローバルエッチングバックプロセスを用いて、または絶縁体340および/または犠牲充填材料541および/または犠牲ピラー542に対し、ハードマスク551への選択性がある既知のエッチングを介して)除去されるハードマスク551との自己アライン手法で行われる。犠牲抵抗材料531および532を露出させると、半導体本体325のチャネル領域を露出させるべく、選択性エッチングが利用される。次に、任意の既知のゲートスタック堆積プロセスが利用され、ゲートスタックを形成してよい。例えば、HfO、金属ケイ酸塩等の高誘電率のゲート材料がALD等の任意の好適な堆積プロセスで堆積される。1または複数の仕事関数金属および充填金属等のゲート電極材料が、ゲート誘電体の上方に堆積され、周囲の誘電体と同一平面化され、ゲート電極331、332を形成する。ゲートリセスエッチングおよびキャッププロセスが用いられ、ゲートキャップ誘電体351、352が形成されてよい。
図4Bに戻ると、処理440において、縦型抵抗器ピラーが露出され、コンタクトメタライゼーションに向けて、導電トレースの一部が露出される。次に、コンタクトメタライゼーションが処理450において堆積される。有利な一実施形態において、ピラー抵抗器のコンタクトメタライゼーションが、トランジスタのソース/ドレインのコンタクトメタライゼーションに並行して行われる。さらなる実施形態において、ピラー抵抗器のコンタクトメタライゼーションは、抵抗器ピラーの上面と完全に自己アライメントされる。図5Hに示される例示的な実施形態において、絶縁誘電体340に対し、選択的に犠牲充填材料541(図5G)および犠牲ピラー542を除去し、所望のコンタクト金属をバックフィルすることによって、抵抗器コンタクト315および316が並行して形成される。リコールピラー210がマスクとしての犠牲充填材料541でエッチング済みであり、従ってコンタクト金属315と縦型抵抗器ピラー210との間に位置合わせずれはない。同一のコンタクト金属も、ゲート電極331(図5Hに示されるz‐x面から出る)の下方の半導体チャネルの対向する側に配置される半導体本体325の一組の半導体ソース/ドレイン領域に並行して堆積されてよい。次に、任意の既知のプロセスを用いて、堆積されたコンタクト金属は、互いに平坦な複数の上面を有する完全にメタライゼーションされた複数の端子を有するトランジスタおよびピラー抵抗器に到達するまで平坦化される。
図4Bの説明を完了すると、次に方法402は処理455において、例えばトランジスタの少なくとも1つの端子をピラー抵抗器の少なくとも1つの端子と相互接続すること等による、ICの任意の従来のバックエンドプロセスで終了する。
図6は、モバイルコンピューティングプラットフォーム1005および/またはデータサーバマシン1006が、本発明の1または複数の実施形態による、縦型抵抗器ピラーを含むIC構造を用いるシステム1000を示す。サーバマシン1006は、例えば、ラック内に配置され、電子データ処理のために互いにネットワーク化された任意の数の高性能コンピューティングプラットフォームを含む任意の商用サーバであってよく、それは例示的な実施形態において、パッケージ化されたモノリシックIC 1050を含む。モバイルコンピューティングプラットフォーム1005は、電子データディスプレイ、電子データ処理、無線電子データ送信等の各々のために構成された任意のポータブルデバイスであってよい。例えば、モバイルコンピューティングプラットフォーム1005は、タブレット、スマートフォン、ラップトップコンピュータ等のうちの任意のものであってよく、モバイルコンピューティングプラットフォーム1005は、ディスプレイスクリーン(例えば、容量性、誘導性、抵抗性、または光学性タッチスクリーン)、チップレベルまたはパッケージレベルの統合システム1010、およびバッテリ1015を含んでよい。
拡大図1020に示される統合システム1010内に配置されるものであれ、またはサーバマシン1006内のスタンドアロンのパッケージチップとしてであれ、パッケージ化されたモノリシックIC 1050は、例えば本明細書の他の箇所で記載したような縦型抵抗器ピラーを用いるメモリチップ(例えば、RAM)、またはプロセッサチップ(例えば、マイクロプロセッサ、マルチコアマイクロプロセッサ、グラフィックプロセッサ等)を含む。モノリシックIC 1050はさらに、ボード、基板に連結され、または電力管理集積回路(PMIC)1030、広域RF(無線)送信機および/または受信機(TX/RX)(例えば、デジタルベースバンドを含み、アナログフロントエンドモジュールはさらに送信パスに電力増幅器および受信パスに低ノイズ増幅器を含む)を含むRF(無線)集積回路(RFIC)1025、およびそれらのコントローラ1035のうちの1または複数と共にシステムオンチップ(SOC)1060に統合されてよい。
機能的に、PMIC 1030はバッテリ電源制御、DC対DC変換等を実行してよく、そのため、PMIC 1030はバッテリ1015に連結された入力を有し、他の複数の機能モジュールに連結された電流供給を提供する出力を備える。さらに示される通り、例示的な実施形態において、RFIC 1025は、アンテナ(不図示)と連結された出力を有し、限定されるものではないがWi−Fi(IEEE802.11ファミリ)、WiMAX(IEEE802.16ファミリ)、IEEE802.20、ロングタームエボリューション(LTE)、Ev−DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM(登録商標)、GPRS、CDMA、TDMA、DECT、Bluetooth(登録商標)およびこれらの派生物、並びに3G、4G、5Gおよびそれ以降の世代として指定される任意の他の無線プロトコルを含む複数の無線規格またはプロトコルのうちの任意のものを実装する。代替の実装においては、これらの基板レベルのモジュールの各々は、モノリシックIC 1050のパッケージ基板に連結された別個の複数のIC上に統合されてよく、またはモノリシックIC 1050のパッケージ基板に連結された単一のIC内に統合されてよい。複数の特定の実施形態において、プロセッサIC、メモリ IC、RFIC、またはPMICのうちの少なくとも1つは、本明細書の他の箇所で記載された構造的機能のうちの1または複数を有するピラー抵抗器、並びに/または、トランジスタおよびピラー抵抗器構造を組み込む論理回路を含む。
図7は、本開示の少なくともいくつかの実装により配置されたコンピューティングデバイス1100の機能ブロック図である。コンピューティングデバイス1100は、例えばプラットフォーム1005またはサーバマシン1006内に配置されてよい。デバイス1100は、限定ではないがプロセッサ1104(例えば、アプリケーションプロセッサ)等の複数のコンポーネントをホストするマザーボード1102をさらに含み、プロセッサは、本発明の1または複数の実施形態による、ピラー抵抗器構造、並びに/または、トランジスタおよびピラー抵抗器構造をさらに組み込んでよい。プロセッサ1104は、マザーボード1102に物理的および/または電気的に連結されてよい。いくつかの例において、プロセッサ1104は、プロセッサ1104内にパッケージされた集積回路ダイを含む。概して、「プロセッサ」または「マイクロプロセッサ」という用語は、抵抗器および/またはメモリからの電子データを処理して、その電子データをレジスタおよび/またはメモリにさらに格納され得る他の電子データに変換する任意のデバイスまたはデバイスの一部を指してよい。
様々な例において、1または複数の通信チップ1106はまた、マザーボード1102に物理的および/または電気的に連結されてよい。さらなる実装において、通信チップ1106はプロセッサ1104の一部であってよい。コンピューティングデバイス1100はその用途に応じ、マザーボード1102に物理的および電気的に連結可能されてもよく、されなくてもよい複数の他のコンポーネントを含んでよい。これらの他のコンポーネントとしては限定ではないが、揮発性メモリ(例えば、DRAM)、不揮発性メモリ(例えば、ROM)、フラッシュメモリ、グラフィックプロセッサ、デジタル信号プロセッサ、暗号プロセッサ、チップセット、アンテナ、タッチスクリーンディスプレイ、タッチスクリーンコントローラ、バッテリ、オーディオコーデック、ビデオコーデック、電力増幅器、全地球測位システム(GPS)デバイス、コンパス、加速度計、ジャイロスコープ、スピーカ、カメラ、および大容量記憶装置(ハードディスクドライブ、ソリッドステートドライブ(SSD)、コンパクトディスク(CD)、デジタル多用途ディスク(DVD)等)が含まれる。
通信チップ1106は、コンピューティングデバイス1100への、およびコンピューティングデバイス1100からのデータ転送のための無線通信を有効にする。「無線」という用語およびその派生語は、非固体媒体を介した変調された電磁放射の使用によりデータを通信し得る、回路、デバイス、システム、方法、技術、通信チャネル等を記載するために使用され得る。当該用語は、関連するデバイスがいくつかの実施形態においては有線を含まない場合もあり得るが、いずれの有線も含まないことを示唆するものではない。通信チップ1106は、限定ではないが本明細書の他の箇所に記載されものを含む複数の無線規格またはプロトコルのうちの任意のものを実装してよい。記載した通り、コンピューティングデバイス1100は複数の通信チップ706を含んでよい。例えば、第1の通信チップは、Wi‐FiおよびBluetooth(登録商標)等、より短距離の無線通信専用であってよく、第2の通信チップはGPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev‐DOおよびその他等、より長距離の無線通信専用であってよい。
本明細書に記載の特定の機能が様々な実装に関連して記載されたが、この記載は限定的な意味に解釈されるものを意図していない。従って、本開示が属する技術分野における当業者に自明である、他の実装に加え、本明細書に記載の実装に対する様々な修正は、本開示の精神および範囲に属するものとみなされる。
本発明は記載された実施形態に限定されず、本発明は添付の特許請求の範囲に係る範囲から逸脱しない修正および変更をもって実施可能である。上記実施形態は、複数の機能の特定の組み合わせを含んでよい。例えば次のようである。
1または複数の第1の実施形態において、集積回路(IC)構造は基板の上方に水平方向に延びる導電トレースを含む。IC構造は、導電トレースの第1の端部と接触する抵抗材料から構成されるピラーであって、上記ピラーは上記第1の端部から第1のz高さ分延び、上記第1のz高さは、上記導電トレースと接合する上記ピラーの水平方向の長さより大きい、ピラーをさらに含む。IC構造は、上記ピラーと接触して配置される第1の抵抗器コンタクトをさらに含む。IC構造は、上記導電トレースの第2の端部と接触して配置される第2の抵抗器コンタクトをさらに含む。
1または複数の第1の実施形態を促進するため、上記ピラーの電気抵抗は、上記導電トレースの抵抗と、第1の抵抗器コンタクトの抵抗と、第2の抵抗器コンタクトの抵抗との累計の2倍より大きい。
1または複数の第1の実施形態を促進するため、上記導電トレースは、第2のz高さと、上記第1のz高さより小さいが、上記ピラーの上記水平方向の長さと上記第2の抵抗器コンタクトの水平方向の長さとの累計より大きい水平方向の長さと、を有する第1の材料を含む。
直前の上記実施形態を促進するため、上記第2の抵抗器コンタクトは、上記導電トレースの上記第2の端部からの第3のz高さを有し、上記第3のz高さは、上記第1のz高さと上記第1の抵抗器コンタクトの第4のz高さとの累計と実質的に等しい。
1または複数の第1の実施形態を促進するため、第1の抵抗器コンタクトは、上記ピラーの上面全体と接合し、上記導電トレースと接合する上記ピラーの上記水平方向の長さと実質的に等しい水平方向の長さを有する。
1または複数の第1の実施形態を促進するため、上記ピラーおよび上記導電トレースはポリシリコンを含み、上記導電トレースは上記ピラーより高い不純物濃度にドープされている。
上記実施形態のいずれかを促進するため、上記IC構造は、上記基板の上方に、上記ピラーに隣接して配置されるトランジスタをさらに含む。上記トランジスタは、半導体チャネルの上方に配置されるゲートスタックであって、上記ゲートスタックはゲート誘電体の上方に配置されるゲート電極を含む、ゲートスタックをさらに含む。上記トランジスタは、上記半導体チャネルの対向する側に配置される一組の半導体ソース/ドレインをさらに含む。 上記トランジスタは、上記一組の半導体ソース/ドレインに配置される一組のソース/ドレインコンタクトをさらに含む。上記導電トレースは、第2のz高さを有する第1の材料を含む。 上記ゲート電極は、上記基板の上方に配置される絶縁誘電体の表面からz高さ分延び、上記z高さは上記第1のz高さと上記第2のz高さとの累計より大きい。
直前の上記実施形態を促進するため、上記第2の抵抗器コンタクトは上記導電トレースの上記第2の端部からの第3のz高さを有し、上記第3のz高さは、上記第1のz高さと上記第1の抵抗器コンタクトの第4のz高さとの累計とほぼ等しい。
1または複数の第1の実施形態を促進するため、上記IC構造は、上記基板の上方に、上記ピラーに隣接して配置されるトランジスタをさらに含む。上記トランジスタは、半導体チャネルの上方に配置されるゲートスタックであって、上記ゲートスタックはゲート誘電体の上方に配置されるゲート電極を含む、ゲートスタックをさらに含む。上記トランジスタは、上記半導体チャネルの対向する側に配置される一組の半導体ソース/ドレインをさらに含む。上記トランジスタは、上記一組の半導体ソース/ドレインに配置される一組のソース/ドレインコンタクトをさらに含み、上記一組のソース/ドレインコンタクトは、上記第1の抵抗器コンタクトおよび上記第2の抵抗器コンタクトと実質的に同一の組成を有する。
1または複数の第1の実施形態を促進するため、上記IC構造は、上記基板の上方に、上記ピラーに隣接して配置されるトランジスタをさらに含む。上記トランジスタは、半導体チャネルの上方に配置されるゲートスタックであって、上記ゲートスタックはゲート誘電体の上方に配置されるゲート電極を含む、ゲートスタックをさらに含む。上記トランジスタは、上記半導体チャネルの対向する側に配置される一組の半導体ソース/ドレインをさらに含む。上記トランジスタは、上記一組の半導体ソース/ドレインに配置される一組のソース/ドレインコンタクトをさらに含む。上記トランジスタは、上記ピラーおよび上記第1の抵抗器コンタクトを囲む絶縁誘電体であって、上記絶縁誘電体は上記ピラーを上記ゲート電極から、および上記第2の抵抗器コンタクトから水平方向に分離する、絶縁誘電体をさらに含む。
上記実施形態のいずれかを促進するため、上記第1のz高さは50〜200nmである。上記ピラーの水平方向の長さは25nm以下である。上記第2の抵抗器コンタクトの水平方向の長さは25nm以下である。上記導電トレースは、上記第1のz高さから、上記ピラーの上記水平方向の長さと上記第2の抵抗器コンタクトの水平方向の長さとの累計までの範囲内である水平方向の長さを有するドープされたポリシリコンを含む。
1または複数の第2の実施形態において、システムオンチップ(SOC)はプロセッサ論理回路を含む。上記SOCは、上記プロセッサ論理回路に連結されるメモリ回路を含む。上記SOCは、上記プロセッサ論理回路に連結され、無線送信回路および無線受信回路を含む、RF回路を含む。上記SOCは、DC電力供給を受ける入力、および上記プロセッサ論理回路と、上記メモリ回路と、上記RF回路とのうちの少なくとも1つに連結される出力を含む電力管理回路であって、上記プロセッサ論理回路と、上記メモリ回路と、上記RF回路と、または上記電力管理回路とのうちの少なくとも1つは、上記のうちのいずれかの集積回路(IC)構造を含む、電力管理回路を含む。
1または複数の第2の実施形態を促進するため、上記ピラーの電気抵抗は少なくとも2000Ωであり、上記導電トレースの抵抗と、上記第1の抵抗器コンタクトの抵抗と、上記第2の抵抗器コンタクトの抵抗との累計の2倍より大きい。
1または複数の第3の実施形態において、集積回路(IC)構造を製造する方法は、基板の上方に水平方向に延びる導電トレースを形成する段階を含む。上記方法は、上記導電トレースの第1の端部に抵抗器ピラーを形成する段階をさらに含む。上記方法は、上記ピラーに配置される第1の抵抗器コンタクトを形成する段階をさらに含む。上記方法は、上記導電トレースの第2の端部に配置される第2の抵抗器コンタクトを形成する段階をさらに含む。
1または複数の第3の実施形態を促進するため、上記導電トレースを形成する段階は、上記基板の上方に導電膜を堆積する段階と、上記導電膜をトレースにパターニングする段階と、をさらに含む。上記導電トレースの第1の端部に抵抗器ピラーを形成する段階は、上記導電トレースの上方に抵抗材料を堆積する段階をさらに含む。上記抵抗器ピラーを形成する段階は、上記導電トレースの上記第1の端部の上方の上記抵抗材料内にリセスをパターニングする段階をさらに含む。上記抵抗器ピラーを形成する段階は、上記リセスを犠牲充填材料でバックフィルする段階をさらに含む。上記抵抗器ピラーを形成する段階は、上記犠牲充填材料に位置合わせされた上記ピラーを形成すべく、上記抵抗材料をパターニングする段階をさらに含む。
直前の上記実施形態を促進するため、上記第1の抵抗器コンタクトを形成する段階は、上記抵抗器ピラーの周囲に絶縁誘電体を堆積する段階をさらに含む。上記第1の抵抗器コンタクトを形成する段階は、上記ピラーを露出すべく、上記絶縁誘電体に対し選択的に上記犠牲充填材料を除去する段階をさらに含む。上記第1の抵抗器コンタクトを形成する段階は、露出された上記抵抗器ピラーにコンタクト金属を堆積する段階をさらに含む。上記第2の抵抗器コンタクトを形成する段階は、上記抵抗器ピラーをパターニングする段階と並行して、上記導電トレースの上記第2の端部の上方に配置される犠牲ピラーを形成すべく、上記抵抗材料をパターニングする段階をさらに含む。上記第2の抵抗器コンタクトを形成する段階は、上記導電トレースの上記第2の端部にランドするビアを形成すべく、上記絶縁誘電体に対し選択的に上記犠牲ピラーを除去する段階をさらに含む。上記第2の抵抗器コンタクトを形成する段階は、露出された上記抵抗器ピラーに上記コンタクト金属を堆積する段階と並行して、上記導電トレースの露出された端部に上記コンタクト金属を堆積する段階をさらに含む。
上記の実施形態を促進するため、上記方法は、上記基板の上方に、上記抵抗器ピラーに隣接するトランジスタを形成する段階をさらに含む。上記トランジスタを形成する段階は、半導体チャネル領域を形成する段階をさらに含む。上記トランジスタを形成する段階は、上記半導体チャネルの上方に配置されるゲートスタックを形成する段階であって、上記ゲートスタックはゲート誘電体の上方に配置されるゲート電極を含む、形成する段階をさらに含む。上記トランジスタを形成する段階は、上記半導体チャネルの対向する側に配置される一組の半導体ソース/ドレインを形成する段階をさらに含む。上記トランジスタを形成する段階は、上記一組の半導体ソース/ドレインに配置される一組のソース/ドレインコンタクトを形成する段階をさらに含む。上記一組のソース/ドレインコンタクトを形成する段階は、露出された上記抵抗器ピラーに上記コンタクト金属を堆積する段階と並行して、上記コンタクト金属を上記一組の半導体ソース/ドレインに堆積する段階をさらに含む。
上記直前の実施形態を促進するため、上記方法は、上記基板の上方に、上記抵抗器ピラーと隣接するトランジスタを形成する段階をさらに含む。上記トランジスタを形成する段階は、半導体チャネル領域を形成する段階をさらに含む。上記トランジスタを形成する段階は、上記半導体チャネルの上方に配置されるゲートスタックを形成する段階であって、上記ゲートスタックはゲート誘電体の上方に配置されるゲート電極を含む、形成する段階をさらに含む。上記ゲートスタックを形成する段階は、上記半導体チャネルの上方に上記抵抗材料を堆積する段階をさらに含む。上記ゲートスタックを形成する段階は、上記半導体チャネルの上方の上記抵抗材料を上記犠牲ゲートにパターニングする段階をさらに含む。上記ゲートスタックを形成する段階は、上記抵抗器ピラーの周囲および上記犠牲ゲートの周囲に絶縁酸化物を堆積する段階の後、上記犠牲ゲートを除去する段階をさらに含む。上記ゲートスタックを形成する段階は、上記半導体チャネルの対向する側に配置される一組の半導体ソース/ドレインを形成する段階をさらに含む。上記ゲートスタックを形成する段階は、上記一組の半導体ソース/ドレインに配置される一組のソース/ドレインコンタクトを形成する段階をさらに含む。
上記第3の実施形態のいずれかを促進するため、上記基板の上方に上記導電膜を堆積する段階は、上記基板の上方に不純物がドープされたポリシリコン膜を堆積する段階をさらに含む。上記導電トレースの上方に上記抵抗材料を堆積する段階は、上記不純物がドープされたポリシリコン膜の上方に、より少なくドープされたポリシリコン膜を堆積する段階をさらに含む。
上記第3の実施形態のいずれかを促進するため、上記基板の上方に上記導電膜を堆積する段階は、上記基板の上方に不純物がドープされたポリシリコン膜を堆積する段階をさらに含む。上記導電トレースの上方に上記抵抗材料を堆積する段階は、上記不純物がドープされたポリシリコン膜の上方に、より少なくドープされたポリシリコン膜を堆積する段階をさらに含む。上記ピラーに配置される第1の抵抗器コンタクトを形成する段階は、上記ピラーに自己アライメントされる第1のリセスをコンタクト金属でバックフィルする段階をさらに含む。上記導電トレースの第2の端部に配置される第2の抵抗器コンタクトを形成する段階は、第2のリセスを、z高さにおいて上記第1の抵抗器コンタクトとピラーとの累計にほぼ等しく、上記コンタクト金属でバックフィルする段階をさらに含む。
しかしながら、上記の実施形態はこの点に限定されず、様々な実装において、上記の実施形態は、そのような複数の特徴のうちのサブセットのみを実施すること、そのような複数の特徴を異なる順序で実施すること、そのような複数の特徴の異なる組み合わせを実施すること、および/または明示的に列挙されたそれらの特徴以外の追加の特徴を実施することを含んでよい。従って、本発明の範囲は、添付の特許請求の範囲を参照して、当該特許請求の範囲において保護される均等内容の全範囲を包含し、判断されるべきである。

Claims (23)

  1. 基板の上方に水平方向に延びる導電トレースと、
    前記導電トレースの第1の端部と接触する抵抗材料から構成されるピラーであって、前記ピラーは前記第1の端部から第1のz高さ分延び、前記第1のz高さは、前記導電トレースと接合する前記ピラーの水平方向の長さより大きい、ピラーと、
    前記ピラーと接触して配置される第1の抵抗器コンタクトと、
    前記導電トレースの第2の端部と接触して配置される第2の抵抗器コンタクトと、を備える、集積回路(IC)構造。
  2. 前記ピラーの電気抵抗は、前記導電トレースの抵抗と、第1の抵抗器コンタクトの抵抗と、第2の抵抗器コンタクトの抵抗との累計の2倍より大きい、請求項1に記載のIC構造。
  3. 前記導電トレースは、第2のz高さと、前記第1のz高さより小さいが、前記ピラーの前記水平方向の長さと前記第2の抵抗器コンタクトの水平方向の長さとの累計より大きい水平方向の長さと、を有する第1の材料を含む、請求項1に記載のIC構造。
  4. 前記第2の抵抗器コンタクトは、前記導電トレースの前記第2の端部からの第3のz高さを有し、前記第3のz高さは、前記第1のz高さと前記第1の抵抗器コンタクトの第4のz高さとの累計と実質的に等しい、請求項3に記載のIC構造。
  5. 第1の抵抗器コンタクトは、前記ピラーの上面全体と接合し、前記導電トレースと接合する前記ピラーの前記水平方向の長さと実質的に等しい水平方向の長さを有する、請求項1に記載のIC構造。
  6. 前記ピラーおよび前記導電トレースはポリシリコンを含み、前記導電トレースは前記ピラーより高い不純物濃度にドープされている、請求項1に記載のIC構造。
  7. 前記基板の上方に、前記ピラーに隣接して配置されるトランジスタをさらに備え、
    前記トランジスタは、
    半導体チャネルの上方に配置されるゲートスタックであって、前記ゲートスタックはゲート誘電体の上方に配置されるゲート電極を含む、ゲートスタックと、
    前記半導体チャネルの対向する側に配置される一組の半導体ソース/ドレインと、
    前記一組の半導体ソース/ドレインに配置される一組のソース/ドレインコンタクトと、をさらに含み、
    前記導電トレースは第2のz高さを有する第1の材料を含み、
    前記ゲート電極は前記基板の上方に配置される絶縁誘電体の表面からz高さ分延び、前記z高さは前記第1のz高さと前記第2のz高さとの累計より大きい、請求項1に記載のIC構造。
  8. 前記第2の抵抗器コンタクトは前記導電トレースの前記第2の端部からの第3のz高さを有し、前記第3のz高さは、前記第1のz高さと前記第1の抵抗器コンタクトの第4のz高さとの累計とほぼ等しい、請求項7に記載のIC構造。
  9. 前記基板の上方に、前記ピラーに隣接して配置されるトランジスタをさらに備え、
    前記トランジスタは、
    半導体チャネルの上方に配置されるゲートスタックであって、前記ゲートスタックはゲート誘電体の上方に配置されるゲート電極を含む、ゲートスタックと、
    前記半導体チャネルの対向する側に配置される一組の半導体ソース/ドレインと、
    前記一組の半導体ソース/ドレインに配置される一組のソース/ドレインコンタクトと、をさらに含み、
    前記一組のソース/ドレインコンタクトは、前記第1の抵抗器コンタクトおよび前記第2の抵抗器コンタクトと実質的に同一の組成を有する、請求項1に記載のIC構造。
  10. 前記基板の上方に、前記ピラーに隣接して配置されるトランジスタをさらに備え、
    前記トランジスタは、
    半導体チャネルの上方に配置されるゲートスタックであって、前記ゲートスタックはゲート誘電体の上方に配置されるゲート電極を含む、ゲートスタックと、
    前記半導体チャネルの対向する側に配置される一組の半導体ソース/ドレインと、
    前記一組の半導体ソース/ドレインに配置される一組のソース/ドレインコンタクトと、
    前記ピラーおよび前記第1の抵抗器コンタクトを囲む絶縁誘電体であって、前記絶縁誘電体は前記ピラーを前記ゲート電極から、および前記第2の抵抗器コンタクトから水平方向に分離する、絶縁誘電体と、をさらに含む、請求項1に記載のIC構造。
  11. 前記第1のz高さは50〜200nmであり、
    前記ピラーの水平方向の長さは25nm以下であり、
    前記第2の抵抗器コンタクトの水平方向の長さは25nm以下であり、
    前記導電トレースは、前記第1のz高さから、前記ピラーの前記水平方向の長さと前記第2の抵抗器コンタクトの水平方向の長さとの累計までの範囲内である水平方向の長さを有するドープされたポリシリコンを含む、請求項1に記載のIC構造。
  12. 集積回路(IC)構造を製造する方法であって、
    基板の上方に水平方向に延びる導電トレースを形成する段階と、
    前記導電トレースの第1の端部に抵抗器ピラーを形成する段階と、
    前記ピラーに配置される第1の抵抗器コンタクトを形成する段階と、
    前記導電トレースの第2の端部に配置される第2の抵抗器コンタクトを形成する段階と、を備える、方法。
  13. 前記導電トレースを形成する段階は、前記基板の上方に導電膜を堆積する段階と、前記導電膜を前記導電トレースにパターニングする段階と、をさらに含み、
    前記導電トレースの第1の端部に前記抵抗器ピラーを形成する段階は、
    前記導電トレースの上方に抵抗材料を堆積する段階と、
    前記導電トレースの前記第1の端部の上方の前記抵抗材料内にリセスをパターニングする段階と、
    犠牲充填材料で前記リセスをバックフィルする段階と、
    前記犠牲充填材料と位置合わせされた前記ピラーを形成すべく、前記抵抗材料をパターニングする段階と、をさらに含む、請求項12に記載の方法。
  14. 前記第1の抵抗器コンタクトを形成する段階は、
    前記抵抗器ピラーの周囲に絶縁誘電体を堆積する段階と、
    前記ピラーを露出すべく、前記犠牲充填材料を除去する段階と、
    露出された前記抵抗器ピラーにコンタクト金属を堆積する段階と、をさらに含み、
    前記第2の抵抗器コンタクトを形成する段階は、
    前記導電トレースの前記第2の端部の上方に配置される犠牲ピラーを形成すべく、前記抵抗材料をパターニングする段階と、
    前記導電トレースの前記第2の端部にランドするビアを形成すべく、前記絶縁誘電体に対し選択的に前記犠牲ピラーを除去する段階と、
    露出された前記抵抗器ピラーに前記コンタクト金属を堆積する段階と並行して、前記導電トレースの露出された端部に前記コンタクト金属を堆積する段階と、をさらに含む、請求項13に記載の方法。
  15. 前記基板の上方に、前記抵抗器ピラーに隣接するトランジスタを形成する段階をさらに備え、
    前記トランジスタを形成する段階は、
    半導体チャネル領域を形成する段階と、
    前記半導体チャネルの上方に配置されるゲートスタックを形成する段階であって、前記ゲートスタックはゲート誘電体の上方に配置されるゲート電極を含む、形成する段階と、
    前記半導体チャネルの対向する側に配置される一組の半導体ソース/ドレインを形成する段階と、
    前記一組の半導体ソース/ドレインに配置される一組のソース/ドレインコンタクトを形成する段階と、をさらに含み、
    前記一組のソース/ドレインコンタクトを形成する段階は、
    露出された前記抵抗器ピラーに前記コンタクト金属を堆積する段階と並行して、前記一組の半導体ソース/ドレインに前記コンタクト金属を堆積する段階をさらに含む、請求項14に記載の方法。
  16. 前記基板の上方に、前記抵抗器ピラーに隣接するトランジスタを形成する段階をさらに備え、
    前記トランジスタを形成する段階は、
    半導体チャネル領域を形成する段階と、
    前記半導体チャネルの上方に配置されるゲートスタックを形成する段階であって、前記ゲートスタックはゲート誘電体の上方に配置されるゲート電極を含む、形成する段階と、をさらに含み、
    前記ゲートスタックを形成する段階は、
    前記半導体チャネルの上方に前記抵抗材料を堆積する段階と、
    前記半導体チャネルの上方の前記抵抗材料を犠牲ゲートにパターニングする段階と、
    前記抵抗器ピラーの周囲および前記犠牲ゲートの周囲に絶縁酸化物を堆積する段階の後、前記犠牲ゲートを除去する段階と、
    前記半導体チャネルの対向する側に配置される一組の半導体ソース/ドレインを形成する段階と、
    前記一組の半導体ソース/ドレインに配置される一組のソース/ドレインコンタクトを形成する段階と、をさらに含む、請求項14に記載の方法。
  17. 前記基板の上方に前記導電膜を堆積する段階は、前記基板の上方に不純物がドープされたポリシリコン膜を堆積する段階をさらに含み、
    前記導電トレースの上方に前記抵抗材料を堆積する段階は、前記不純物がドープされたポリシリコン膜の上方に、より少なくドープされたポリシリコン膜を堆積する段階をさらに含む、請求項13に記載の方法。
  18. 前記基板の上方に前記導電膜を堆積する段階は、前記基板の上方に不純物がドープされたポリシリコン膜を堆積する段階をさらに含み、
    前記導電トレースの上方に前記抵抗材料を堆積する段階は、前記不純物がドープされたポリシリコン膜の上方に、より少なくドープされたポリシリコン膜を堆積する段階をさらに含み、
    前記ピラーに配置される前記第1の抵抗器コンタクトを形成する段階は、前記ピラーに自己アライメントされる第1のリセスをコンタクト金属でバックフィルする段階をさらに含み、
    前記導電トレースの第2の端部に配置される前記第2の抵抗器コンタクトを形成する段階は、第2のリセスを、z高さにおいて前記第1の抵抗器コンタクトとピラーとの累計にほぼ等しく、前記コンタクト金属でバックフィルする段階をさらに含む、請求項13に記載の方法。
  19. プロセッサ論理回路と、
    前記プロセッサ論理回路に連結されるメモリ回路と、
    前記プロセッサ論理回路に連結され、無線送信回路および無線受信回路を含むRF回路と、
    DC電力供給を受ける入力、および前記プロセッサ論理回路と、前記メモリ回路と、前記RF回路とのうちの少なくとも1つに連結される出力を含む電力管理回路であって、前記プロセッサ論理回路と、前記メモリ回路と、前記RF回路と、または前記電力管理回路とのうちの少なくとも1つは集積回路(IC)構造を含む、電力管理回路と、を備え、
    前記集積回路(IC)構造は、
    基板の上方に水平方向に延びる導電トレースと、
    前記導電トレースの第1の端部と接触する抵抗材料から構成されるピラーであって、前記ピラーは前記第1の端部から第1のz高さ分延び、前記第1のz高さは前記導電トレースと接合する前記ピラーの水平方向の長さより大きい、ピラーと、
    前記ピラーと接触して配置され、第1の厚みだけ前記導電トレースから分離される第1の抵抗器コンタクトと、
    前記導電トレースの第2の端部と接触して配置される第2の抵抗器コンタクトと、を含む、システムオンチップ(SOC)。
  20. 前記ピラーの電気抵抗は少なくとも2000Ωであり、前記導電トレースの抵抗と、前記第1の抵抗器コンタクトの抵抗と、前記第2の抵抗器コンタクトの抵抗との累計の2倍より大きい、請求項19に記載のSOC。
  21. プロセッサ論理回路と、
    前記プロセッサ論理回路に連結されるメモリ回路と、
    前記プロセッサ論理回路に連結され、無線送信回路および無線受信回路を含むRF回路と、
    DC電力供給を受ける入力、および前記プロセッサ論理回路と、前記メモリ回路と、前記RF回路とのうちの少なくとも1つに連結される出力を含む電力管理回路であって、前記プロセッサ論理回路と、前記メモリ回路と、前記RF回路と、または電力管理回路とのうちの少なくとも1つが、請求項1から11のいずれか一項に記載の前記集積回路(IC)構造を含む、電力管理回路と、を備える、システムオンチップ(SOC)。
  22. 前記基板の上方に、前記ピラーに隣接して配置されるトランジスタをさらに備え、
    前記トランジスタは、
    半導体チャネルの上方に配置されるゲートスタックであって、前記ゲートスタックはゲート誘電体の上方に配置されるゲート電極を含む、ゲートスタックと、
    前記半導体チャネルの対向する側に配置される一組の半導体ソース/ドレインと、
    前記一組の半導体ソース/ドレインに配置される一組のソース/ドレインコンタクトと、をさらに含み、
    前記導電トレースは第2のz高さを有する第1の材料を含み、
    前記ゲート電極は前記基板の上方に配置される絶縁誘電体の表面からz高さ分延び、前記z高さは前記第1のz高さと前記第2のz高さとの累計より大きい、請求項1から6のいずれか一項に記載のIC構造。
  23. 前記第1のz高さは50〜200nmであり、
    前記ピラーの水平方向の長さは25nm以下であり、
    前記第2の抵抗器コンタクトの水平方向の長さは25nm以下であり、
    前記導電トレースは、前記第1のz高さから、前記ピラーの前記水平方向の長さと前記第2の抵抗器コンタクトの水平方向の長さとの累計までの範囲内である水平方向の長さを有するドープされたポリシリコンを含む、請求項1から10のいずれか一項に記載のIC構造。
JP2016566645A 2014-06-18 2014-06-18 集積回路(ic)構造、方法、およびシステムオンチップ Active JP6399463B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2014/042865 WO2015195109A1 (en) 2014-06-18 2014-06-18 Pillar resistor structures for integrated circuitry

Publications (2)

Publication Number Publication Date
JP2017522715A true JP2017522715A (ja) 2017-08-10
JP6399463B2 JP6399463B2 (ja) 2018-10-03

Family

ID=54935922

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016566645A Active JP6399463B2 (ja) 2014-06-18 2014-06-18 集積回路(ic)構造、方法、およびシステムオンチップ

Country Status (7)

Country Link
US (2) US9748327B2 (ja)
EP (1) EP3158585A4 (ja)
JP (1) JP6399463B2 (ja)
KR (1) KR102226609B1 (ja)
CN (1) CN106463531B (ja)
TW (1) TWI575717B (ja)
WO (1) WO2015195109A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020044560A1 (ja) * 2018-08-31 2020-03-05 株式会社ソシオネクスト 半導体装置及びその製造方法

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106463531B (zh) * 2014-06-18 2021-08-17 英特尔公司 用于集成电路的柱状电阻器结构
US9917082B1 (en) 2017-01-17 2018-03-13 International Business Machines Corporation Approach to fabrication of an on-chip resistor with a field effect transistor
US10269426B2 (en) * 2017-06-15 2019-04-23 Intel Corporation Integrated circuits with complementary non-volatile resistive memory elements
US10090840B1 (en) * 2017-06-29 2018-10-02 Intel Corporation Integrated circuits with programmable non-volatile resistive switch elements
US10651170B2 (en) 2017-07-11 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Isolated wells for resistor devices
US10643887B2 (en) * 2017-10-06 2020-05-05 Microchip Technology Incorporated Method of manufacturing damascene thin-film resistor (TFR) in poly-metal dielectric
US10410966B2 (en) * 2017-12-19 2019-09-10 International Business Machines Corporation BEOL embedded high density vertical resistor structure
US11562999B2 (en) * 2018-09-29 2023-01-24 Intel Corporation Cost effective precision resistor using blocked DEPOP method in self-aligned gate endcap (SAGE) architecture
GB2610886B (en) * 2019-08-21 2023-09-13 Pragmatic Printing Ltd Resistor geometry
KR20210064593A (ko) 2019-11-26 2021-06-03 삼성전자주식회사 반도체 장치
CN113093482B (zh) * 2021-03-29 2022-07-22 长鑫存储技术有限公司 对准误差的测试方法、调整方法、测试系统和存储介质

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62113464A (ja) * 1985-11-12 1987-05-25 Nec Corp 溝型電界効果トランジスタ
JPS63229735A (ja) * 1987-03-18 1988-09-26 Nec Corp 半導体装置
JPH04361566A (ja) * 1991-06-10 1992-12-15 Nec Corp 半導体集積回路
JP2007250652A (ja) * 2006-03-14 2007-09-27 Sharp Corp 半導体装置
JP2013187325A (ja) * 2012-03-07 2013-09-19 Seiko Instruments Inc 半導体装置
JP2013197596A (ja) * 2012-03-21 2013-09-30 Samsung Electronics Co Ltd 電界効果トランジスタ構造
WO2014084818A1 (en) * 2012-11-28 2014-06-05 Intel Corporation Hinge configuration for an electronic device

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2186116B (en) * 1986-02-03 1989-11-22 Intel Corp Plasma enhanced chemical vapor deposited vertical resistor
US5254493A (en) 1990-10-30 1993-10-19 Microelectronics And Computer Technology Corporation Method of fabricating integrated resistors in high density substrates
JP3338178B2 (ja) 1994-05-30 2002-10-28 株式会社東芝 半導体装置およびその製造方法
US6130137A (en) 1997-10-20 2000-10-10 Micron Technology, Inc. Method of forming a resistor and integrated circuitry having a resistor construction
US6245627B1 (en) 1999-02-16 2001-06-12 United Microelectronics Corp. Method of fabricating a load resistor for an SRAM
US6376909B1 (en) * 1999-09-02 2002-04-23 Micron Technology, Inc. Mixed-mode stacked integrated circuit with power supply circuit part of the stack
US8058683B2 (en) * 2007-01-18 2011-11-15 Samsung Electronics Co., Ltd. Access device having vertical channel and related semiconductor device and a method of fabricating the access device
US7869257B2 (en) * 2007-12-17 2011-01-11 Qimonda Ag Integrated circuit including diode memory cells
KR101652826B1 (ko) * 2010-01-08 2016-08-31 삼성전자주식회사 반도체 소자 및 그 구동 방법
TW201207852A (en) * 2010-04-05 2012-02-16 Mosaid Technologies Inc Semiconductor memory device having a three-dimensional structure
KR101753256B1 (ko) * 2010-10-14 2017-07-05 삼성전자주식회사 가변 저항체를 포함하는 반도체 기억 소자 및 그 제조 방법
US8710481B2 (en) 2012-01-23 2014-04-29 Sandisk 3D Llc Non-volatile memory cell containing a nano-rail electrode
US9087719B2 (en) * 2012-09-28 2015-07-21 Intel Corporation Extended drain non-planar MOSFETs for electrostatic discharge (ESD) protection
CN106463531B (zh) * 2014-06-18 2021-08-17 英特尔公司 用于集成电路的柱状电阻器结构

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62113464A (ja) * 1985-11-12 1987-05-25 Nec Corp 溝型電界効果トランジスタ
JPS63229735A (ja) * 1987-03-18 1988-09-26 Nec Corp 半導体装置
JPH04361566A (ja) * 1991-06-10 1992-12-15 Nec Corp 半導体集積回路
JP2007250652A (ja) * 2006-03-14 2007-09-27 Sharp Corp 半導体装置
JP2013187325A (ja) * 2012-03-07 2013-09-19 Seiko Instruments Inc 半導体装置
JP2013197596A (ja) * 2012-03-21 2013-09-30 Samsung Electronics Co Ltd 電界効果トランジスタ構造
WO2014084818A1 (en) * 2012-11-28 2014-06-05 Intel Corporation Hinge configuration for an electronic device

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020044560A1 (ja) * 2018-08-31 2020-03-05 株式会社ソシオネクスト 半導体装置及びその製造方法
JPWO2020044560A1 (ja) * 2018-08-31 2021-08-26 株式会社ソシオネクスト 半導体装置及びその製造方法
JP7185149B2 (ja) 2018-08-31 2022-12-07 株式会社ソシオネクスト 半導体装置

Also Published As

Publication number Publication date
JP6399463B2 (ja) 2018-10-03
US20170162646A1 (en) 2017-06-08
US20180108727A1 (en) 2018-04-19
US9748327B2 (en) 2017-08-29
WO2015195109A1 (en) 2015-12-23
CN106463531B (zh) 2021-08-17
KR20170016324A (ko) 2017-02-13
EP3158585A4 (en) 2018-05-16
EP3158585A1 (en) 2017-04-26
TWI575717B (zh) 2017-03-21
TW201606995A (zh) 2016-02-16
KR102226609B1 (ko) 2021-03-12
US10243034B2 (en) 2019-03-26
CN106463531A (zh) 2017-02-22

Similar Documents

Publication Publication Date Title
JP6399463B2 (ja) 集積回路(ic)構造、方法、およびシステムオンチップ
CN109906513B (zh) 具有对深源极/漏极半导体的后侧互连的集成电路设备
KR102242279B1 (ko) 집적 회로 퓨즈 구조체
US10892261B2 (en) Metal resistor and self-aligned gate edge (SAGE) architecture having a metal resistor
JP6440038B2 (ja) 高電圧電界効果トランジスタのための延長型ドレイン構造
CN111668188A (zh) 具有栅极插塞或接触部插塞的自对准栅极端盖(sage)架构
US20200219997A1 (en) Wrap-around contact structures for semiconductor nanowires and nanoribbons
US11227799B2 (en) Wrap-around contact structures for semiconductor fins
US11329162B2 (en) Integrated circuit structures having differentiated neighboring partitioned source or drain contact structures
US20200286891A1 (en) Self-aligned gate endcap (sage) architecture having local interconnects
US20200058782A1 (en) Stacked group iii-nitride transistors for an rf switch and methods of fabrication
US20240145477A1 (en) Self-aligned gate endcap (sage) architecture having gate contacts
US11749733B2 (en) FIN shaping using templates and integrated circuit structures resulting therefrom
CN107924948B (zh) 用于集成电路的复合横向电阻器结构
WO2019164494A1 (en) Sidewall interconnect metallization structures for integrated circuit devices
TW202301479A (zh) 穿過金屬閘極切口的鰭對鰭溝槽接點

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170613

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180326

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180403

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180702

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180807

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180824

R150 Certificate of patent or registration of utility model

Ref document number: 6399463

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250