JP2015525480A - 応力が低減されたtsv及びインタポーザ構造体 - Google Patents

応力が低減されたtsv及びインタポーザ構造体 Download PDF

Info

Publication number
JP2015525480A
JP2015525480A JP2015516211A JP2015516211A JP2015525480A JP 2015525480 A JP2015525480 A JP 2015525480A JP 2015516211 A JP2015516211 A JP 2015516211A JP 2015516211 A JP2015516211 A JP 2015516211A JP 2015525480 A JP2015525480 A JP 2015525480A
Authority
JP
Japan
Prior art keywords
component
opening
substrate
conductive
conductive via
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2015516211A
Other languages
English (en)
Other versions
JP6143851B2 (ja
Inventor
サイプリアン エメカ ウゾ
サイプリアン エメカ ウゾ
チャールズ ジー ウォイチック
チャールズ ジー ウォイチック
テレンス カスキー
テレンス カスキー
キショール ヴイ デサイ
キショール ヴイ デサイ
フアイリアン ウェイ
フアイリアン ウェイ
クレイグ ミシェル
クレイグ ミシェル
ベルガセム ハーバ
ベルガセム ハーバ
Original Assignee
インヴェンサス・コーポレイション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by インヴェンサス・コーポレイション filed Critical インヴェンサス・コーポレイション
Publication of JP2015525480A publication Critical patent/JP2015525480A/ja
Application granted granted Critical
Publication of JP6143851B2 publication Critical patent/JP6143851B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05556Shape in side view
    • H01L2224/05558Shape in side view conformal layer on a patterned surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05567Disposition the external layer being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1133Manufacturing methods by local deposition of the material of the bump connector in solid form
    • H01L2224/1134Stud bumping, i.e. using a wire-bonding apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/1147Manufacturing methods using a lift-off mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13075Plural core members
    • H01L2224/1308Plural core members being stacked
    • H01L2224/13082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13075Plural core members
    • H01L2224/1308Plural core members being stacked
    • H01L2224/13083Three-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/13124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13184Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/1356Disposition
    • H01L2224/13563Only on parts of the surface of the core, i.e. partial coating
    • H01L2224/13565Only outside the bonding interface of the bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32104Disposition relative to the bonding area, e.g. bond pad
    • H01L2224/32105Disposition relative to the bonding area, e.g. bond pad the layer connector connecting bonding areas being not aligned with respect to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00011Not relevant to the scope of the group, the symbol of which is combined with the symbol of this group
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01322Eutectic Alloys, i.e. obtained by a liquid transforming into two solid phases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/06Polymers
    • H01L2924/078Adhesive characteristics other than chemical
    • H01L2924/0781Adhesive characteristics other than chemical being an ohmic electrical conductor
    • H01L2924/07811Extrinsic, i.e. with electrical conductive fillers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER

Abstract

構成要素10は、基板20と、開口部30内部に延在する導電ビア40とを含み得る。基板20は、対向する第1表面21及び第2表面22を有し得る。誘電材料60を、開口部30の内壁32に露出させることができる。導電ビア40は、第1表面21に隣接して、開口部30内部に緩和チャネル55を画定することができる。緩和チャネル55は、第1表面21に平行な平面Pの方向D2での、内壁32からの第1の距離D1の範囲内、かつ第1表面21の下方5マイクロメートルの範囲内に、縁部56を有し得るものであり、この第1の距離は、1マイクロメートル及び平面内での開口部30の最大幅の5パーセントのうちの小さいほうである。縁部56は、内壁の周囲の少なくとも5パーセントにわたるように、内壁32に沿って延在し得る。

Description

[関連出願の相互参照]
本出願は、2012年6月8日に出願された、米国特許出願第13/492,064号の継続出願であり、同文献の開示は、参照により本明細書に組み込まれる。
本発明は、超小型電子デバイス及びインタポーザ構造体のパッケージングに関し、特に、導電ビア構造体、並びに、そのようなビア構造体を半導体及びインタポーザパッケージ内に形成する方法に関する。
超小型電子素子は、通常、ダイ又は半導体チップと呼ばれる、シリコン若しくはヒ化ガリウムなどの半導体材料の薄スラブを一般的に含む。半導体チップは、通常、個別のあらかじめパッケージ化されたユニットとして提供される。一部のユニット設計では、半導体チップは、基板又はチップキャリアに実装され、その基板又はチップキャリアも同様に、プリント回路板などの回路パネル上に実装される。
半導体チップの第1面(例えば、第2表面)内には、能動回路が作り込まれる。この能動回路への電気的接続を容易にするために、そのチップには、同じ面上にボンドパッドが設けられる。ボンドパッドは、典型的には、ダイの縁部の周囲に、又は、多くのメモリ素子に関してはダイの中心に、規則的な配列で定置される。ボンドパッドは、一般的に、厚さ約0.5μmの、銅又はアルミニウムなどの導電性金属で作製される。ボンドパッドは、単一層又は複数層の金属を含み得る。ボンドパッドのサイズは、デバイスのタイプによって異なるものとなるが、典型的には、1辺が数十〜数百マイクロメートルの寸法となる。
ボンドパッドと、半導体チップの第1面とは反対側の第2面(例えば、第1表面)とを接続するために、シリコン貫通ビア(TSV)が使用される。従来のビアは、半導体チップを貫通する穴、及びその穴を貫通して第1面から第2面まで延在する導電材料を含む。ボンドパッドが、ビアに電気的に接続されることにより、ボンドパッドと半導体チップの第2面上の導電性要素との通信を、可能にすることができる。
従来のTSVの穴は、能動回路を収容するために使用可能な第1面の部分を、低減する場合がある。能動回路のために使用することが可能な、第1面上の利用可能空間の、そのような低減は、各半導体チップを生産するために必要とされるシリコンの量を増大させる可能性があり、このことにより、潜在的に各チップのコストが増大する。
従来のビアは、ビアから放射状に広がる非最適な応力分布、及び、半導体チップと、例えば、そのチップが結合される構造体との間の、熱膨張係数(CTE)の不整合のために、信頼性に課題を有する場合がある。例えば、半導体チップ内部の導電ビアが、比較的薄く硬い誘電材料によって絶縁される場合、ビアの導電材料と基板の材料との間のCTEの不整合により、著しい応力が、ビア内部に存在し得る。更には、半導体チップが、ポリマー基板の導電性要素に結合される場合、そのチップと、より高いCTEの基板の構造体との間の電気的接続は、CTEの不整合による応力を受けることとなる。
いずれのチップの物理的配置構成においても、サイズは重要な考慮事項である。よりコンパクトな、チップの物理的配置構成に対する需要は、携帯用電子デバイスの急速な進歩と共に、更に高まってきている。単なる例として、通常「スマートフォン」と称されるデバイスは、高解像度ディスプレイ及び関連する画像処理チップと共に、高性能のデータ処理装置、メモリ、並びに全地球測位システム受信器、電子カメラ、及びローカルエリアネットワーク接続などの付属デバイスを、携帯電話の機能に統合する。そのようなデバイスは、完全なインターネット接続性、フル解像度のビデオを含めた娯楽、ナビゲーション、エレクトロニックバンキングなどの能力を全て、ポケットサイズのデバイス内に提供することができる。複合型携帯デバイスは、小さい空間内に多数のチップを詰め込むことを必要とする。更には、一部のチップは、通常「I/O」と称される、多くの入出力接続を有する。これらのI/Oは、他のチップのI/Oと相互接続されなければならない。この相互接続は、信号伝搬遅延を最小限に抑えるために、短いものとするべきであり、かつ低インピーダンスを有するべきである。この相互接続を形成する構成要素は、その組立体のサイズを著しく増大させるものとするべきではない。同様の必要性は、例えば、インターネット検索エンジンで使用されるようなデータサーバ内でのように、他の用途でも生じる。例えば、複合型チップ間に、短い、低インピーダンスの多数の相互接続子を提供する構造体により、検索エンジンの帯域幅を増大させ、その電力消費を低減することができる。
半導体のビア及びインタポーザのビアの形成、並びに相互接続の点で達成されている、これらの進歩にもかかわらず、半導体チップ及びインタポーザ構造体のサイズを最小化しつつ、電気的相互接続の信頼性を高めるための改善が、依然として必要とされている。本発明のこれらの属性は、以降で説明されるような構成要素の構築、及び構成要素の製造方法によって、達成することができる。
本発明の一態様によれば、構成要素は、基板と、その基板内の開口部内部に延在する導電ビアとを含み得る。この基板は、対向する第1表面及び第2表面を有し得る。開口部は、第1表面から第2表面に向けて延在し得るものであり、第1表面から離れる方向に延在する内壁を有し得る。誘電材料を、この内壁に露出させることができる。導電ビアは、第1表面に隣接して、開口部内部に緩和チャネルを画定することができる。この緩和チャネルは、第1表面に平行な平面の方向での、内壁からの第1の距離の範囲内、かつ第1表面の下方5マイクロメートルの範囲内に、縁部を有し得るものであり、この第1の距離は、1マイクロメートル及び平面内での開口部の最大幅の5パーセントのうちの小さいほうである。縁部は、内壁の周囲の少なくとも5パーセントにわたるように、内壁に沿って延在し得る。
特定の実施形態では、基板は、20ppm/℃以下の、基板の平面内での実効CTEを有し得る。一実施例では、基板は、半導体材料、セラミック、ガラス、又は複合材料のうちの1つから本質的になるものとすることができる。例示的実施形態では、基板は、導電ビアのCTEに整合するように調整された、基板の平面内での実効CTEを有する複合材料を含み得る。特定の実施例では、基板は、第1表面に隣接する能動デバイス領域を有し得るものであり、平面は、この能動デバイス領域の下方に位置し得る。一実施形態では、平面は、能動デバイス領域の1マイクロメートル下方に位置し得る。
一実施形態では、基板は、第1表面に隣接する能動デバイス領域を有し得るものであり、能動デバイス領域内部の少なくとも一部の能動半導体デバイスは、開口部の最大幅の3倍の、開口部からの平面内での距離の範囲内に存在し得る。一実施例では、基板は、第1表面に隣接する能動デバイス領域を有し得るものであり、能動デバイス領域内部の少なくとも一部の能動半導体デバイスは、開口部の最大幅の2倍の、開口部からの平面内での距離の範囲内に存在し得る。例示的実施形態では、基板は、第1表面に隣接する能動デバイス領域を有し得るものであり、能動デバイス領域内部の少なくとも一部の能動半導体デバイスは、開口部の最大幅の1倍の、開口部からの平面内での距離の範囲内に存在し得る。
特定の実施例では、基板は、誘電材料から本質的になるものとすることができる。一実施形態では、基板は、ガラス又はセラミックから本質的になるものとすることができる。特定の実施形態では、基板は、半導体材料から本質的になるものとすることができ、誘電材料は、開口部内部で半導体材料の上に重ね合わされる誘電体層とすることができる。一実施例では、内壁の一部分を、緩和チャネル内部に露出させることができる。例示的実施形態では、緩和平面内での、内壁からの半径方向での緩和チャネルの幅は、5マイクロメートル未満とすることができる。特定の実施例では、緩和平面内での、内壁からの半径方向での緩和チャネルの幅は、1マイクロメートル未満とすることができる。一実施形態では、緩和平面内での、内壁からの半径方向での緩和チャネルの幅は、0.2マイクロメートル未満とすることができる。
特定の実施形態では、基板の第1表面の下方の緩和チャネルの深さは、最大で、開口部の最大幅の2倍とすることができる。一実施例では、基板の第1表面の下方の緩和チャネルの深さは、最大で、開口部の最大幅に等しくすることができる。例示的実施形態では、基板の第1表面の下方の緩和チャネルの深さは、最大で、開口部の最大幅の半分とすることができる。特定の実施例では、緩和チャネルは、内側緩和チャネルとすることができ、基板は、誘電材料を有し得るものであり、この誘電材料の第1表面は、開口部の内壁に露出させることができ、かつ開口部の内壁を画定することができる。基板は、基板の第1表面に隣接し、かつ誘電材料の第1表面とは反対側の誘電材料の第2表面に隣接する、外側緩和チャネルを有し得る。
一実施形態では、基板の第1表面の下方の外側緩和チャネルの深さは、基板の第1表面の下方の内側緩和チャネルの深さよりも大きくすることができる。特定の実施形態では、構成要素はまた、外側緩和チャネル内部に配置された誘電材料も含み得る。一実施例では、緩和チャネルは、導電ビアの材料の一部分によって互いに隔てられた複数の離散的緩和チャネルのうちの、1つの緩和チャネルとすることができる。例示的実施形態では、複数の離散的緩和チャネルは、総体として、導電ビアの周囲の少なくとも50%にわたって延在し得る。特定の実施例では、複数の離散的緩和チャネルは、少なくとも1つのリング形状チャネルを含み得る。
一実施形態では、緩和チャネルは、導電ビアの全周にわたって延在し得る。特定の実施例では、内壁の一部分は、導電ビアの全周にわたって、緩和チャネル内部に露出させることができる。例示的実施形態では、平面内での、内壁からの半径方向での緩和チャネルの幅は、導電ビアの周囲にわたって変化し得る。一実施例では、平面は、第1表面の5マイクロメートル下方に位置し得る。特定の実施形態では、緩和チャネルは、構成要素のBEOL層の上面まで延在し得る。一実施形態では、構成要素のBEOL層は、緩和チャネルの上に重ね合わせることができる。特定の実施例では、緩和チャネルは、基板の第1表面に対して傾斜する、テーパ状の内縁部を画定することができる。
例示的実施形態では、構成要素はまた、緩和チャネル内部で導電ビアに接合された、はんだも含み得る。一実施例では、構成要素はまた、緩和チャネル内部に配置されたポリマーも含み得る。特定の実施形態では、このポリマーは、導電ビアの材料によって完全に包囲することができる。一実施形態では、構成要素はまた、内壁に隣接して配置されたバリアメタル層も含み得る。特定の実施例では、このバリアメタル層の一部分は、緩和チャネル内部に露出させることができる。例示的実施形態では、構成要素はまた、導電ビアの上面から延出する、導電ポストも含み得る。一実施例では、この導電ポストは、銅、銅合金、及びニッケルのうちの少なくとも1つから本質的になるものとすることができる。特定の実施形態では、導電ポストは、緩和チャネルの上には重ね合わされない場合がある。
一実施形態では、導電ポストは、テーパ形状を有し得るものであり、この導電ポストは、導電ビアの上面に隣接する導電ポストの基底部での第1の幅、及び上面から隔たった導電ポストの先端部での第2の幅を有し、第1の幅及び第2の幅は、基板の第1表面に平行な方向であり、第2の幅は第1の幅とは異なる。特定の実施例では、導電ポストの少なくとも一部分は、基板の第1表面に垂直な平面内で曲線状の断面形状を画定する、外側表面を有し得る。例示的実施形態では、構成要素はまた、導電ビアの上面から延出する、複数の導電ポストも含み得る。
一実施例では、導電ビアは、基板の第1表面に平行な平面内で、非円形の断面形状を有し得る。特定の実施形態では、導電ビアは、細長形の断面形状を有し得るものであり、この導電ビアは、第1の方向での長さ、及び第1の方向を横断する第2の方向での幅を画定し、第1の方向及び第2の方向は、基板の第1表面に平行な平面の内部にあり、長さは幅よりも大きい。一実施形態では、開口部は、第1表面と第2表面との間に延在する貫通開口部とすることができる。
特定の実施例では、開口部は、テーパ形状を有し得るものであり、この開口部は、第1表面での第1の幅、及び第2表面での第2の幅を有し、第1の幅及び第2の幅は、基板の第1表面に平行な方向であり、第1の幅は第2の幅よりも小さい。例示的実施形態では、開口部の少なくとも一部分は、基板の第1表面に垂直な平面内で曲線状の断面形状を画定する表面によって、境界することができる。
一実施例では、緩和チャネルは第1緩和チャネルとすることができ、平面は第1の平面とすることができる。導電ビアはまた、第2表面に隣接して、開口部内部に第2緩和チャネルも含み得るものであり、この第2緩和チャネルは、第2表面に平行な第2の平面の方向での、内壁からの第2の距離の範囲内、かつ第2表面の下方5マイクロメートルの範囲内に、縁部を有し、この第2の距離は、1マイクロメートル及び第2の平面内での開口部の最大幅の5パーセントのうちの小さいほうであり、この第2緩和チャネルの縁部は、内壁の周囲の少なくとも5パーセントにわたるように、内壁に沿って延在する。
特定の実施形態では、導電ビアは、基板の第1表面の下方に位置する外側接触表面を有し得る。一実施形態では、構成要素は、導電ビアに対する外部応力の印加から生じる、平面内部での導電ビア内の応力を、200MPaを下回るまで低減するように、構成することができる。特定の実施例では、システムは、上述のような構成要素、及びその構成要素に電気的に接続される1つ以上の追加的電子構成要素を含み得る。例示的実施形態では、このシステムはまた、ハウジングも含み得るものであり、前述の構成要素及び前述の追加的電子構成要素は、そのハウジングに実装される。
本発明の別の態様によれば、構成要素は、対向する第1表面及び第2表面を有する半導体領域を含む基板と、第1表面から第2表面に向けて基板内部に延在する開口部と、この開口部内部に延在する中実の金属導電ビアと、半導体領域の第1表面に隣接する能動デバイス領域とを含み得る。開口部は、第1表面から離れる方向に延在する内壁を有し得る。無機誘電材料を、この内壁に露出させることができる。開口部は、第1表面に平行な平面の方向で、かつ第1表面の下方5マイクロメートルの範囲内に、最大幅を有し得る。能動デバイス領域内部の少なくとも一部の能動半導体デバイスは、開口部の最大幅の3倍の、平面内での内壁からの距離の範囲内に存在し得る。
一実施例では、能動デバイス領域内部の少なくとも一部の能動半導体デバイスは、開口部の最大幅の2倍の、平面内での内壁からの距離の範囲内に存在し得る。特定の実施形態では、能動デバイス領域内部の少なくとも一部の能動半導体デバイスは、開口部の最大幅の1倍の、平面内での内壁からの距離の範囲内に存在し得る。一実施形態では、導電ビアは、第1表面に隣接して、開口部内部に緩和チャネルを画定することができ、この緩和チャネルは、平面の方向での、内壁からの第1の距離の範囲内に縁部を有し、この第1の距離は、1マイクロメートル及び平面内での開口部の最大幅の5パーセントのうちの小さいほうであり、この縁部は、内壁の周囲の少なくとも5パーセントにわたるように内壁に沿って延在する。
本発明の更に別の態様によれば、構成要素は、対向する第1表面及び第2表面を有する、半導体領域を含む基板と、それぞれが第1表面から第2表面に向けて基板内部に延在する、複数の開口部と、複数の中実の金属導電ビアとを含み得るものであり、各導電ビアは、開口部のうちの対応する1つの内部に延在する。各開口部は、第1表面から離れる方向に延在する内壁、及びその内壁に露出する無機誘電材料を有し得る。各開口部は、第1表面に平行な平面の方向で、かつ第1表面の下方5マイクロメートルの範囲内に、最大幅を有し得る。複数の導電ビアは、それらの導電ビアのうちの任意の2つの隣り合う導電ビアの中心間に、平面内での最小ピッチを画定することができ、この最小ピッチは、それらの隣り合う導電ビアが中に延在する各開口部の最大幅の、3倍未満である。
特定の実施例では、この最小ピッチは、それらの隣り合う導電ビアが中に延在する各開口部の最大幅の、2倍未満とすることができる。例示的実施形態では、この最小ピッチは、それらの隣り合う導電ビアが中に延在する各開口部の最大幅の、1.2倍未満とすることができる。一実施例では、導電ビアのうちの少なくとも一部は、それぞれ、第1表面に隣接して、対応する開口部内部に緩和チャネルを画定することができる。各緩和チャネルは、平面の方向での、対応する内壁からの第1の距離の範囲内に、縁部を有し得るものであり、この第1の距離は、1マイクロメートル及び平面内での対応する開口部の最大幅の5パーセントのうちの小さいほうであり、この縁部は、内壁の周囲の少なくとも5パーセントにわたるように、対応する内壁に沿って延在する。
本発明の更に別の態様によれば、構成要素は、対向する第1表面及び第2表面を有する、半導体領域を含む基板と、第1表面から第2表面に向けて基板内部に延在する開口部と、この開口部内部に延在し、かつ第1表面に垂直な方向で基板の第1表面の下方に位置する外側接触表面を有する、中実の金属導電ビアと、外側接触表面で導電ビアに接合され、かつ基板の第1表面の下方で開口部内部に延在する、はんだとを含み得る。開口部は、第1表面から離れる方向に延在する内壁を有し得るものであり、無機誘電材料が、その内壁に露出する。
特定の実施形態では、導電ビアは、外側接触表面に隣接して、開口部内部に緩和チャネルを画定することができる。この緩和チャネルは、第1表面に平行な平面の方向での、内壁からの第1の距離の範囲内、かつ第1表面の下方5マイクロメートルの範囲内に、縁部を有し得るものであり、この第1の距離は、1マイクロメートル及び平面内での開口部の最大幅の5パーセントのうちの小さいほうであり、この縁部は、内壁の周囲の少なくとも5パーセントにわたるように、内壁に沿って延在する。
本発明の別の態様によれば、構成要素は、第1表面、この第1表面とは反対側の第2表面、及び第1表面から第2表面に向けて延在する開口部を有する基板と、開口部内部に延在し、かつ第1表面に隣接して、開口部内部に少なくとも1つの毛管チャネルを画定する、導電ビアとを含み得る。開口部は、第1表面から離れる方向に延在する内壁を有し得る。毛管チャネルのうちの少なくとも1つは、第1表面に平行な平面の方向での、内壁からの第1の距離の範囲内、かつ第1表面の下方5マイクロメートルの範囲内に、縁部を有し得るものであり、この第1の距離は、1マイクロメートル及び平面内での開口部の最大幅の5パーセントのうちの小さいほうであり、この縁部は、内壁の周囲の少なくとも5パーセントにわたるように、内壁に沿って延在する。各毛管チャネルは、5マイクロメートル未満の、平面内の方向での最大幅を有し得る。
一実施形態では、構成要素はまた、少なくとも1つの毛管チャネル内部で導電ビアに接合された、はんだも含み得る。特定の実施例では、構成要素はまた、導電ビアの外側接触表面から延出する、導電ポストも含み得る。例示的実施形態では、この導電ポストは、その基底表面から導電ポスト内に延出する、少なくとも1つの毛管チャネルを有し得る。構成要素はまた、導電ビアと導電ポストとを接合し、かつ導電ビア及び導電ポストの少なくとも1つの毛管チャネル内部に延在する、はんだも含み得る。一実施例では、はんだは、基板の第1表面上には延在し得ない。
本発明の更に別の態様によれば、構成要素の製造方法は、基板内の開口部内部に延在する導電ビアを形成することであって、この開口部が、基板の第1表面から、第1表面とは反対側の第2表面に向けて延在することと、第1表面に隣接して、開口部内部に緩和チャネルを画定するために、導電ビアの材料を除去することとを含み得る。開口部は、第1表面から離れる方向に延在する内壁を有し得るものであり、誘電材料が、その内壁に露出する。緩和チャネルは、第1表面に平行な平面の方向での、内壁からの第1の距離の範囲内、かつ第1表面の下方5マイクロメートルの範囲内に、縁部を有し得るものであり、この第1の距離は、1マイクロメートル及び緩和平面内での開口部の最大幅の5パーセントのうちの小さいほうであり、この縁部は、内壁の周囲の少なくとも5パーセントにわたるように、内壁に沿って延在する。
特定の実施形態では、基板は、第1表面に隣接する能動デバイス領域を有し得るものであり、平面は、この能動デバイス領域の下方に位置し得る。一実施形態では、基板は、誘電材料から本質的になるものとすることができる。特定の実施例では、基板は、ガラス又はセラミックから本質的になるものとすることができる。例示的実施形態では、基板は、半導体材料から本質的になるものとすることができる。この方法はまた、導電ビアを形成する工程の前に、開口部内部の基板材料の上に重ね合わされる、誘電材料の層を形成することも含み得るものであり、この誘電体層が、開口部の内壁を画定する。
一実施例では、開口部は、第1表面と第2表面との間に延在する貫通開口部とすることができる。特定の実施形態では、開口部は、テーパ形状を有し得るものであり、この開口部は、第1表面での第1の幅、及び第2表面での第2の幅を有し、第1の幅及び第2の幅は、基板の第1表面に平行な方向であり、第1の幅は第2の幅よりも小さい。一実施形態では、開口部の少なくとも一部分は、基板の第1表面に垂直な平面内で曲線状の断面形状を画定する表面によって、境界することができる。特定の実施例では、開口部は、基板の等方性エッチングに続く基板の異方性エッチングによって、形成することができる。
例示的実施形態では、緩和チャネルは第1緩和チャネルとすることができ、平面は第1の平面とすることができる。この方法はまた、第2表面に隣接して、開口部内部に第2緩和チャネルを画定するために、導電ビアの材料を除去することも含み得る。この第2緩和チャネルは、第2表面に平行な第2の平面の方向での、内壁からの第2の距離の範囲内、かつ第2表面の下方5マイクロメートルの範囲内に、縁部を有し得るものであり、この第2の距離は、1マイクロメートル及び第2の平面内での開口部の最大幅の5パーセントのうちの小さいほうであり、この第2緩和チャネルの縁部は、内壁の周囲の少なくとも5パーセントにわたるように、内壁に沿って延在する。
一実施例では、この方法はまた、緩和チャネル内部にポリマー材料を堆積させることも含み得る。特定の実施形態では、ポリマー材料を堆積させる工程は、ポリマーの外側表面に、導電ビアの外側接触表面の一部分が露出するように、実行することができる。一実施形態では、この方法はまた、導電ビアの外側接触表面と接触させて、導電ポストを形成することも含み得る。特定の実施例では、導電ポストは、緩和チャネルのうちの少なくとも1つの上には重ね合わされない場合がある。例示的実施形態では、この方法はまた、導電ビアの外側接触表面と接触させて、複数の導電ポストを形成することも含み得る。
本発明の実施形態による構成要素を示す、側断面図及び平面図である。 本発明の実施形態による構成要素を示す、側断面図及び平面図である。 図1A及び図1Bに示す実施形態による製造の段階を示す、側断面図である。 図1A及び図1Bに示す実施形態による製造の段階を示す、側断面図である。 図1A及び図1Bに示す実施形態による製造の段階を示す、側断面図である。 図1A及び図1Bに示す実施形態による製造の段階を示す、側断面図である。 図1A及び図1Bに示す実施形態による製造の段階を示す、側断面図である。 図1A及び図1Bに示す実施形態による製造の段階を示す、側断面図である。 図1A及び図1Bに示す実施形態による製造の段階を示す、側断面図である。 図1A及び図1Bに示す導電ビアの代替的実施形態による製造の段階を示す、平面図である。 図1A及び図1Bに示す導電ビアの代替的実施形態による製造の段階を示す、平面図である。 図1A及び図1Bに示す導電ビアの代替的実施形態を示す、平面図及び側断面図である。 図1A及び図1Bに示す導電ビアの代替的実施形態を示す、平面図及び側断面図である。 図1A及び図1Bに示す導電ビアの別の代替的実施形態を示す、平面図及び側断面図である。 図1A及び図1Bに示す導電ビアの別の代替的実施形態を示す、平面図及び側断面図である。 図1A及び図1Bに示す導電ビアの更なる代替的実施形態を示す、平面図である。 図1A及び図1Bに示す導電ビアの更なる代替的実施形態を示す、平面図である。 図1A及び図1Bに示す導電ビアの更なる代替的実施形態を示す、平面図である。 図1A及び図1Bに示す導電ビアの更なる代替的実施形態を示す、平面図である。 図1A及び図1Bに示す導電ビアの更なる代替的実施形態を示す、平面図である。 図1A及び図1Bに示す導電ビアの更なる代替的実施形態を示す、平面図である。 本発明の更に別の実施形態による構成要素を示す、側断面図である。 構成要素の第1表面及び第2表面に、応力が低減される構造を有する、図7に示す構成要素の代替的実施形態を示す、側断面図である。 傾斜した緩和チャネルを有する、図1A及び図1Bに示す構成要素の代替的実施形態を示す、側断面図である。 傾斜した緩和チャネルを有する、図1A及び図1Bに示す構成要素の代替的実施形態を示す、側断面図である。 傾斜した緩和チャネル及び外側緩和チャネルを有する、図1A及び図1Bに示す構成要素の代替的実施形態を示す、側断面図である。 構成要素の第1表面に導電性接合材料を有する、図1A及び図1Bに示す構成要素の代替的実施形態を示す、側断面図である。 構成要素の第1表面に導電性接合材料を有する、図1A及び図1Bに示す構成要素の代替的実施形態を示す、側断面図である。 本発明の更に別の実施形態による構成要素を示す、側断面図である。 構成要素の第1表面に導電パッドを有する、図11Aに示す構成要素の代替的実施形態を示す、側断面図である。 構成要素の第1表面に導電性接合材料を有する、図11Aに示す構成要素の代替的実施形態を示す、側断面図である。 本発明の別の実施形態による構成要素を示す、側断面図である。 図13に示す実施形態による製造の段階を示す、側断面図である。 図13に示す実施形態による製造の段階を示す、側断面図である。 図13に示す実施形態による製造の段階を示す、側断面図である。 図13に示す実施形態による製造の段階を示す、側断面図である。 本発明の更に別の実施形態による構成要素を示す、側断面図である。 図15に示す実施形態による製造の段階を示す、側断面図である。 図15に示す実施形態による製造の段階を示す、側断面図である。 図15に示す実施形態による製造の段階を示す、側断面図である。 図15に示す実施形態による製造の段階を示す、側断面図である。 図15に示す構成要素の代替的実施形態を示す、側断面図である。 図15に示す構成要素の代替的実施形態を示す、側断面図である。 図17A及び図17Bに示す実施形態による製造の段階を示す、側断面図である。 本発明の別の実施形態による構成要素を示す、側断面図である。 図19に示す実施形態による製造の段階を示す、側断面図である。 図19に示す実施形態による製造の段階を示す、側断面図である。 図19に示す構成要素の代替的実施形態を示す、側断面図である。 図19に示す構成要素の代替的実施形態を示す、側断面図である。 図19に示す構成要素の代替的実施形態を示す、側断面図である。 図21A〜21Cに示す実施形態のいずれかによる製造の段階を示す、側断面図である。 本発明の更に別の実施形態による構成要素を示す、側断面図である。 図23に示す実施形態による製造の段階を示す、側断面図である。 図23に示す実施形態による製造の段階を示す、側断面図である。 本発明の更に別の実施形態による構成要素を示す、側断面図である。 図3A及び図3Bに示す導電ビアの代替的実施形態を示す、側断面図である。 図3A及び図3Bに示す導電ビアの代替的実施形態を示す、側断面図である。 図21Aに示す構成要素の代替的実施形態を示す、側断面図である。 図21Aに示す構成要素の代替的実施形態を示す、側断面図である。 図21Aに示す構成要素の代替的実施形態を示す、側断面図である。 図21Aに示す構成要素の代替的実施形態を示す、側断面図である。 本発明の更に別の実施形態による構成要素を示す、上面斜視図である。 図28Aに示す構成要素の代替的実施形態を示す、上面斜視図である。 本発明の一実施形態によるシステムの概略図である。
図1Aに示すように、構成要素10は、第1表面21及びその反対側の第2表面22を有する基板20と、第1表面から第2表面に向けて延在する開口部30内部に配置された導電ビア40とを含み得る。
一部の実施形態では、基板20は、半導体チップ、ウェーハ、又は同様のものとすることができる。基板20は、好ましくは、10×10-6/℃(又は、ppm/℃)未満の熱膨張係数(「CTE」)を有する。特定の実施形態では、基板20は、7ppm/℃未満のCTEを有し得る。基板20は、シリコンなどの無機材料から本質的になるものとすることができる。第1表面21と第2表面22との間の、基板20の厚さは、典型的には、500μm未満であり、また著しく小さく、例えば、130μm、70μm、又は更に小さいものにすることができる。特定の実施形態では、基板20は、半導体材料、セラミック、ガラス、液晶ポリマーなどの材料、ガラス−エポキシ若しくは繊維強化複合材などの複合材料、積層構造体、又はこれらの組み合わせから作製することができる。
一実施例では、基板20は、銅又はニッケルなどの、導電ビア中に延在する導電ビアの金属のCTEにほぼ整合するように、基板の製造中に調整可能な、実効CTEを有する複合材料を含み得る。例えば、基板20は、10〜20ppm/℃の値に調整可能な実効CTEを有し得る。特定の実施形態では、基板20は、15〜18ppm/℃の値に調整可能な実効CTEを有し得る。
図1Aでは、第1表面21に平行な方向は、本明細書では「水平」又は「横」方向と称され、その一方で、第1表面に垂直な方向は、本明細書では上向き又は下向きの方向と称され、また、本明細書では「鉛直」方向とも称される。本明細書で言及されるこれらの方向は、言及される構造体の座標系内のものである。それゆえ、これらの方向は、通常座標系又は重力座標系に対して、任意の向きで存在することができる。一方の特徴部が、「表面の上方に」、別の特徴部よりも大きい高さで配置されるという記述は、その一方の特徴部が、他方の特徴部よりも、その表面から、同じ直交方向で大きい距離で離れていることを意味する。反対に、一方の特徴部が、「表面の上方に」、別の特徴部よりも小さい高さで配置されるという記述は、その一方の特徴部が、他方の特徴部よりも、その表面から、同じ直交方向で小さい距離で離れていることを意味する。
本開示で使用する際、導電性要素が、基板の表面に「露出した」という記述は、その導電性要素が、基板の表面に垂直な方向で、基板の外側から基板の表面に向けて移動する理論的な点との接触のために、利用可能であることを示す。それゆえ、基板の表面に露出した端子又は他の導電性要素は、そのような表面から突出する場合もあり、そのような表面と同一平面となる場合もあり、又はそのような表面に対して陥没し、基板内の穴若しくは陥凹部を介して露出する場合もある。
基板20は、第1表面21及び/又は第2表面22の上に重ね合わされる、絶縁性誘電体層23を更に含み得る。そのような誘電体層は、基板20から、導電性要素を電気的に絶縁することができる。この誘電体層は、基板20の「不動態化層」と称することができる。誘電体層は、無機誘電材料若しくは有機誘電材料、又はその双方を含み得る。誘電体層は、電着コンフォーマルコーティング、又は他の誘電材料、例えば、写真画像形成ポリマー材料、例えば、はんだマスク材料を含み得る。
半導体要素20が、例えばシリコンから作製された、半導体基板を含む実施形態では、第1表面21、及び/又は第1表面21の下方に位置する、その半導体基板の能動デバイス領域24内に、1つ又は複数の半導体デバイス(例えば、トランジスタ、ダイオードなど)を配置することができる。
本明細書で説明される実施形態では、第1表面21及び/又は第2表面22の上に重ね合わされる誘電体層は、基板20の厚さよりも実質的に薄い厚さを有し得るため、誘電体層のCTEが、基板材料のCTEよりも実質的に高い場合であっても、基板は、その基板の材料のCTEとほぼ等しい実効CTEを有し得る。一実施例では、基板20は、10ppm/℃未満の実効CTEを有し得る。
基板20は、第1表面21から第2表面22に向けて、基板の厚さTを部分的又は完全に貫通して延在する、1つ以上の開口部30を含み得る。図1Aに示す実施形態では、開口部30は、第1表面21と第2表面22との間で、基板20を部分的に貫通して延在する。開口部30は、例えば、m×n配列を含めた、任意の上方視点の幾何構成で配置構成することができ、m及びnのそれぞれは、1よりも大きい。
各開口部30は、第1表面21から、第1表面によって画定される水平面に対して0〜90度の角度で、基板20を少なくとも部分的に貫通して延在する、内側表面31を含む。一実施例(例えば、図8)では、開口部30のうちの1つ以上の内側表面31は、第1表面21と第2表面22との間に延在し得る。内側表面31は、一定の傾斜、又は変化する傾斜を有し得る。例えば、第1表面22によって画定される水平面に対する、内側表面31の角度又は傾斜は、内側表面が第2表面22に向けて更に貫入するにつれて、大きさが減少する(すなわち、より小さい正、又はより小さい負になる)場合がある。特定の実施形態では、各開口部30は、第1表面21から第2表面22に向けた方向で、テーパ状にすることができる。一部の実施例では、各開口部30は、例えば、円錐台形状、円柱、立方体、角柱、楕円放物面、双曲面、又は曲線状内側表面によって境界される構造を含めた、任意の3次元形状を有し得る。本明細書で使用するとき、3次元構造が、曲線状表面を有するとして、又は曲線状表面によって境界されるとして説明される場合、基板の第1表面及び第2表面に概して垂直な平面内での、その表面の断面は、変化する傾斜を有する曲線(例えば、2次多項式)である。
特定の実施形態では、開口部30、及び本明細書で説明される他のいずれの開口部も、例えば、参照により本明細書に組み込まれる、2010年7月23日に出願された米国特許出願第12/842,717号及び同第12/842,651号で説明されるような、様々な形状を有し得るものであり、そのような開口部は、上述の出願で説明されるような例示的プロセスを使用して、形成することができる。
開口部30は、その中に配置され、かつ第1表面21から裏面22に向けて延在する、導電ビア40を含み得る。特定の実施形態では、特定の構成要素10の第1の導電ビア40及び第2の導電ビア40は、対応する第1の電位及び第2の電位に接続可能とすることができる。導電ビア40は、特に、銅、アルミニウム、タングステン、銅含有合金、ニッケル含有合金、又はタングステン含有合金などの、比較的高いCTEを有する金属を含み得る。導電ビア40が、複合材料を含む基板20内部に延在する、特定の実施例では、その基板は、20ppm/℃未満の実効CTEを有し得るものであり、導電ビア40は、基板の半導体領域内部に延在し得る。そのような半導体領域は、10ppm/℃以下の、基板の平面内での実効CTEを有する物質から本質的になるものとすることができる。
構成要素10はまた、開口部30の内側表面31の上に重ね合わされ、かつ第1表面21から第2表面22に向けて延在する、絶縁性誘電体層60を含み得ることにより、導電ビア40は、その絶縁性誘電体層の内部に延在する。そのような絶縁性誘電体層60は、少なくとも開口部30内部で、基板20の材料から、導電ビア40を隔離して、電気的に絶縁することができる。絶縁性誘電体層60及び絶縁性誘電体層23は、単一の絶縁性誘電体層として一体に形成することができ、又はそれらは、個別の絶縁性誘電体層として別個に形成することができる。
一実施例では、そのような絶縁性誘電体層60は、開口部30内部に露出した内側表面31を、コンフォーマルコーティングすることができる。絶縁性誘電材料60は、無機誘電材料若しくは有機誘電材料、又はその双方を含み得る。一部の実施形態では、二酸化ケイ素及び窒化ケイ素、あるいはポリマー及び窒化物などの、2つ以上のタイプの絶縁性誘電材料を使用することができる。特定の実施形態では、絶縁性誘電材料60は、柔軟な誘電材料を含み得るため、その絶縁性誘電材料が、十分に低い弾性係数及び十分な厚さを有することにより、その係数及び厚さの結果として、柔軟性がもたらされる。
図1A及び図1Bに示す実施形態では、絶縁性誘電体層60の内向き表面は、開口部の内壁32を画定する。絶縁性誘電体層60が省略される実施形態では、開口部の内壁32は、開口部の内側表面31と一致し得る。
基板が、誘電材料(例えば、ガラス又はセラミック)から本質的になる特定の実施形態では、誘電体層60及び/又は誘電体層23、あるいは本明細書で説明される他のいずれの誘電体層も、省略することができる。誘電体層60及び/又は誘電体層23はまた、例えば、導電ビアが基準電位を伝達するように構成される場合に、導電ビア40が、基板20の材料から電気的に絶縁されないことが所望される実施形態でも、省略することができる。特定の実施形態では、例えば、導電ビア40が基準電位を伝達するように構成される場合、基板20は、半導体材料から本質的になるものとすることができ、その半導体材料の表面は、開口部の内壁32に露出させることができ、かつ開口部の内壁32を画定することができ、導電ビア40の一部分は、開口部30の内部で半導体材料と接触させることができる。
開口部30は、層43を更に含み得るものであり、この層43は、導電ビア40と開口部の内壁32(これは、図1A及び図1Bの実施形態では、絶縁性誘電体層60の内向き表面である)との間に延在する、バリアメタル層、接着層、及び/又はシード層とすることができる。層43は、第1表面21から裏面22に向けて、開口部30内部に延在し得る。
層43は、導電ビア40から基板20の材料内への金属の拡散を、防止又は低減することができる。層43は、導電ビア40と絶縁層60との間の材料の移動を回避するための、バリア層としての機能を果たし得る。層43はまた、接着層の役割も果たすか、又は代替的に接着層の役割を果たし得る。層43は、典型的には、100ナノメートル未満の厚さを有するが、特定の構造体内での厚さは、100ナノメートル以上とすることができる。層43は、導電ビア40の金属とは異なる金属を含み得る。層43での使用に好適とすることができる金属の例としては、ニッケル、ニッケル含有合金、窒化チタン、窒化タンタル、窒化タンタルケイ素、タンタル、窒化タングステンケイ素、及びこれらの組み合わせを挙げることができる。
導電ビア40は、外部要素との相互接続のための、基板20の第1表面21及び第2表面のいずれか若しくは双方に露出した、1つ以上の外側接触表面50を含み得る。図1Aに示すように、各外側接触表面50は、層51によってコーティングすることができ、この層51は、上述の層43と同様のバリアメタル層とすることができる。
導電ビア40は、基板20の第1表面21に隣接して、開口部内部に1つ以上の緩和チャネル55を画定することができる。図1A及び図1Bに示すものなどの特定の実施形態では、緩和チャネル55内部に露出した導電ビア40の表面は、層51の一部分によってコーティングすることができる。一部の場合には、構成要素10内の最大応力の区域は、基板20の第1表面21に、又は第1表面21付近に存在し得るため、この第1表面での、又は第1表面付近での緩和チャネル55の存在は、導電ビア40の近傍で構成要素によって経験される最大応力を、低減することができる。
半導体基板内に導電ビアを含む、従来の構成要素では、能動デバイス領域内部の能動半導体デバイスの場所を、導電ビアのいずれの部分からも、少なくとも3導電ビアの直径分離れるように限定することが、必要となる場合がある。その一方で、緩和チャネルを有する導電ビア40を含む、構成要素10では、導電ビア付近で構成要素によって経験される最大応力が低減されるため、導電ビアに比較的近い場所まで、能動デバイス領域24が延在することが可能な設計を、許容することができる。
例えば、構成要素10の特定の実施形態では、能動デバイス領域24は、導電ビアのいずれの部分からも離れる方向で、導電ビア40から隔離距離D5まで延在する排除ゾーンの外側に位置し得る。一実施形態では、隔離距離D5は、開口部30の最大幅W1の3倍未満とすることができ、この最大幅W1は、内壁32の対向部分間に延在する。特定の実施形態では、隔離距離D5は、開口部30の最大幅W1の2倍未満とすることができる。一実施例では、隔離距離D5は、開口部30の最大幅W1より小さいものとすることができる。例示的実施形態では、隔離距離D5は、開口部30の最大幅W1の2分の1未満とすることができる。
一実施形態では、緩和チャネル55のうちの少なくとも1つは、基板20の第1表面21に平行な緩和平面P内での方向D2で、1マイクロメートル及び開口部30の最大幅W1の5パーセントのうちの小さいほうである、内壁からの第1の距離D1の範囲内にあり、かつ第1表面の5マイクロメートルの深さD3の範囲内に位置する、縁部56を有し得る。一実施形態では、緩和チャネル55のうちの1つ以上は、基板20の第1表面21の下方に、最大で開口部30の最大幅W1の2倍である、深さD4まで延在し得る。特定の実施例では、深さD4は、最大で、開口部30の最大幅W1に等しくすることができる。一実施例では、深さD4は、最大で、開口部30の最大幅W1の半分とすることができる。
緩和チャネル55のうちの少なくとも1つの縁部56は、内壁の周囲の少なくとも5%の、内壁32に沿った周囲方向Cでの第2の距離で延在し得る。図1Bに示すように、緩和チャネル55のうちの外側の1つの縁部56は、内壁32の全周にわたって延在するものであるが、必ずしもそうである必要はない。
特定の実施形態では、緩和チャネル55を有する構成要素10は、外部応力が構成要素に印加されるとき、緩和平面P内部で導電ピア40から発せられる結果的に生じる応力を、200MPaを下回るレベルまで低減するように、構成することができる。
構成要素10(図1A及び図1B)の製造方法を、ここで図2A〜2Gを参照して説明する。図2Aを参照すると、基板20の第1表面21から第2表面22に向けて延在する、1つ以上の開口部30を形成するために、基板の第1表面から材料を除去することができる。
開口部30は、例えば、第1表面21の残余部分を保護することが所望される場所に、マスク層を形成した後、基板20を選択的にエッチングすることによって、形成することができる。例えば、写真画像形成層、例えばフォトレジスト層を、第1表面21の諸部分のみを覆うように堆積させて、パターニングすることができ、その後、時限エッチングプロセスを遂行することにより、開口部30を形成することができる。
第1表面21から第2表面22に向けて下向きに延在する、開口部30の内側表面31は、傾斜させることができ、すなわち、第1表面に対して垂直の角度(直角)以外の角度で延在し得る。特に、ウェットエッチングプロセス、例えば、等方性エッチングプロセス、及び、テーパ状の刃を使用する鋸引きを使用して、傾斜した内側表面31を有する開口部30を形成することができる。特に、レーザダイシング、メカニカルミリングもまた、傾斜した内側表面31を有する開口部30を形成するために使用することができる。
あるいは、傾斜する代わりに、各開口部30の内側表面31は、(図1Aに示すように)第1表面に対して実質的に直角に、第1表面21から下向きの鉛直方向又は実質的に鉛直方向で延在し得る。異方性エッチングプロセス、レーザダイシング、レーザドリル加工、機械的除去プロセス、例えば特に、鋸引き、ミリング、超音波加工を使用して、本質的に鉛直な内側表面31を有する、開口部30を形成することができる。
特定の実施形態では、開口部30は、例えば、急速DRIEエッチング又は反応性イオンエッチングなどの、異方性エッチングプロセスを最初に使用して、比較的粗い初期内側表面を有する初期開口部を作り出し、次いで、化学エッチング又は電解研磨を使用して、初期内側表面に沿って延在する粗さ又は波形部を除去することによって、形成することができる。一実施例では、開口部30は、例えば、基板の等方性エッチングに続く基板の異方性エッチングによって、形成することができる。
基板20の第1表面21の上に重ね合わされる不動態化層(例えば、図1Aに示す絶縁性誘電体層23)の一部分もまた、開口部30の形成の間に除去することができ、そのような部分は、基板のエッチングの間に、又は別個のエッチング工程として、貫通エッチングすることができる。エッチング、レーザドリル加工、メカニカルミリング、又は他の適切な技術を使用して、そのような不動態化層の部分を除去することができる。
開口部30の形成後、図1Aに示す絶縁性誘電体層60を、開口部30の内側表面31の上に重ね合わせるか又はコーティングして堆積させることができ、それにより、導電ビア40は、開口部内部に堆積される際、その絶縁性誘電体層内部に延在することとなる。上述のように、誘電体層23及び誘電体層60は、単一のプロセスで堆積させることができる。構成要素10を形成する方法の説明で使用される図を簡略化するために、絶縁性誘電体層23及び絶縁性誘電体層60は、図2A〜2Gでは示されない。
特定の実施形態では、そのような絶縁性誘電体層60を形成することが所望されない開口部30を有する、基板20の第1表面21の部分に、マスクを適用することができる。開口部30のうちの、そのような非コーティング開口部は、基板20の材料に直接接触する部分を有する導電ビア40で、後に充填することができる。そのような導電ビア40は、接地電位と電気的に結合させることができる。基板が、誘電材料(例えば、ガラス又はセラミック)から本質的になる特定の実施形態では、誘電体層60及び/又は誘電体層23、あるいは本明細書で説明される他のいずれの誘電体層も、部分的又は完全に省略することができる。そのような、誘電体層60及び/又は誘電体層23を有さない1つ以上の開口部30を有する実施形態では、そのような開口部30の内壁32は、開口部の内側表面31と一致し得る。
そのような、開口部30の内側表面31の上に重ね合わされる絶縁性誘電体層60を形成するために、様々な方法を使用することができ、そのような方法を以下で説明する。特定の実施例では、化学気相成長法(CVD)又は原子層堆積法(ALD)を使用して、開口部30の内側表面31の上に重ね合わされる薄い絶縁性誘電体層を堆積させることができる。一実施例では、そのような絶縁性誘電体層を堆積させるために、オルトケイ酸テトラエチル(TEOS)を、低温プロセスの間に使用することができる。例示的実施形態では、二酸化ケイ素、ホウリンケイ酸ガラス(BPSG)、ホウケイ酸ガラス(BSG)、又はリンケイ酸ガラス(PSG)の層を、開口部30の内面31の上に重ね合わせて堆積させることができ、そのようなガラスは、ドープされているもの又はドープされていないものにすることができる。
一実施例では、基板20の第1表面21に、流動性誘電材料を適用することができ、次いで、「スピンコーティング」操作の間に、その流動性材料を、開口部30の内側表面31全体にわたって、より均一に分散させることができ、その後に、加熱を含み得る乾燥サイクルが続く。別の実施例では、第1表面21に、熱可塑性の誘電材料のフィルムを適用することができ、その後、その組立体を加熱するか、又は真空環境中で、すなわち、周囲気圧よりも低い圧力下の環境中に定置して、加熱する。
更に別の実施例では、基板20を含む組立体を、誘電体析出浴液槽中に浸漬させることにより、コンフォーマルな誘電体コーティング、すなわち絶縁性誘電材料60を形成することができる。本明細書で使用するとき、「コンフォーマルコーティング」とは、絶縁性誘電材料60が、開口部30の内側表面31の輪郭形状に適合する場合などの、コーティングされている表面の輪郭形状に適合する、特定の材料のコーティングである。例えば、電気泳動堆積又は電解析出を含めた、電気化学堆積法を使用して、このコンフォーマルな誘電材料60を形成することができる。
一実施例では、電気泳動堆積技術を使用して、コンフォーマルな誘電体コーティングを形成することができ、それにより、コンフォーマルな誘電体コーティングは、その組立体の、露出した導電性表面及び半導電性表面上にのみ堆積する。堆積の間は、半導体デバイスのウェーハを、所望の電位に保持し、電極を浴液槽中に浸漬させて、その浴液槽を、異なる所望の電位に保持する。次いで、この組立体を、十分な時間、適切な条件下の浴液槽中に保持することにより、導電性又は半導電性の基板の露出表面上に、電着したコンフォーマルな誘電材料60を形成することができ、それらの露出表面としては、開口部30の内側表面31に沿ったものが挙げられるが、これに限定されない。電気泳動堆積は、この堆積によってコーティングされる表面と浴液槽との間に、十分に強い電界が維持される限り、実施される。この電気泳動的に堆積されるコーティングは、その堆積のパラメータ、例えば、電圧、濃度などによって制御される、特定の厚さに到達した後に、堆積が停止するという点で、自己制限的である。
電気泳動堆積は、基板20の導電性及び/又は半導電性の外部表面上に、連続的かつ均一な厚さのコンフォーマルコーティングを形成する。更には、この電気泳動コーティングは、基板20の第1表面21の上に重ね合わされる残部の不動態化層23上には形成されないように、堆積させることができるが、これは、その不動態化層23の誘電(非導電)特性によるものである。換言すれば、電気泳動堆積の特性は、通常は、誘電材料の層が十分な厚さを有する場合であれば、その誘電特性を考慮すると、導電体の上に重ね合わされた誘電体層上には、電気泳動堆積が形成されないというものである。典型的には、電気泳動堆積は、約10マイクロメートル超〜数十マイクロメートルの厚さを有する誘電体層上には、生じることがない。コンフォーマルな誘電材料60は、陰極エポキシ析出前駆体から形成することができる。あるいは、ポリウレタン又はアクリル析出前駆体を使用することも可能である。様々な電気泳動コーティング前駆体組成物及び供給元を、以下の表1に記載する。
Figure 2015525480

Figure 2015525480
別の実施例では、誘電材料60は、電解で形成することができる。このプロセスは、電気泳動堆積法と同様であるが、ただし、堆積層の厚さは、その層が形成される導電性表面又は半導電性表面に対する近接性によって、制限されるものではない。この方式では、電解で堆積される誘電体層は、要件に基づいて選択される厚さまで形成することができ、処理時間が、その達成される厚さの因子である。
図2Aに示すように、次いで、層43を、開口部30の内側表面31(並びに、存在する場合には、絶縁性誘電体層60及び絶縁性誘電体層23)の上に重ね合わせて形成することができる。例えば、原子層堆積法(ALD)、物理気相成長法(PVD)、又は無電解析出法若しくは電解析出法を使用して、層43、若しくは層43の諸部分を形成することができる。次いで、導電ビア40を、層43の上に重ね合わせて形成し、層43と電気的に結合させることができる。図示のように、層43及び導電ビア40の材料は、開口部30の外側にある、第1表面21の部分上に堆積させることができる。
層43及び導電ビア40のうちのいずれか一方を形成するために、例示的方法は、絶縁性誘電体層60及び/又は絶縁性誘電体層23の露出表面上への一次金属層のスパッタリング、めっき、あるいは機械的堆積のうちの1つ以上によって、金属層を堆積させることを伴う。機械的堆積は、加熱した金属粒子流を、コーティングされる表面上へと、高速で方向付けることを伴い得る。他の実施形態では、例えばパルスレーザを使用して、マイクロメートル未満の金属粉を、空洞部内に篩分けするか又は選択的に篩分けすることができ、その金属流が、空洞部を充填することになる。この工程は、例えば、絶縁性誘電体層60及び/又は絶縁性誘電体層23上へのブランケット堆積によって、実行することができる。
ここで図2Bを参照すると、導電ビア40の初期露出表面44(図2A)を平坦化することができ、そのため、得られた露出表面45は、基板20の第1表面21に、より近接する。導電ビア40の初期露出表面44は、様々な例示的方法によって平坦化することができる。一実施形態では、例えば、初期露出表面44を平坦化するために、研削プロセスを使用することができる。この研削プロセスは、基板20の第1表面21の上方の、導電ビア40の材料の一部分も除去することができる。初期露出表面44はまた、ラッピング、研磨によって、又は高精度ミリングによって平坦化することもできる。
特定の実施例では、化学的機械的研磨(「CMP」)を使用して、導電ビア40の初期露出表面44を平坦化することができる。例示的なCMPプロセスは、スラリーを使用して、研磨パッドで初期露出表面44をサンディング処理することを含み得る。そのようなスラリーは、典型的には、酸化剤及び不動態化剤を含み得る。例示的なCMPプロセスは、例えばマイクロシリカペーストを含む、研磨スラリーを使用して、初期露出表面44を平坦化することを含み得る。
ここで図2Cを参照すると、基板20の第1表面21に、導電ビア40の露出表面45の上に重ね合わせて、マスク層25を形成することができる。マスク層25は、緩和チャネル55、及び緩和チャネルに隣接する外側接触表面50を形成することが所望される、露出表面45の区域に、間隙26を有し得る。例えば、露出表面45の諸部分を覆うように、写真画像形成層、例えば、フォトレジスト層を堆積させてパターニングすることができる。
図2Dに示すように、導電ビア40の材料が、マスク層25内部の間隙26で、露出表面45から除去されることにより、緩和チャネル55及び外側接触表面50を形成することができる。導電ビア40の材料の諸部分は、例えば、開口部30の形成に関連して上述された、エッチングプロセス、又は他の材料除去プロセスのうちのいずれかを使用して、除去することができる。
ここで図2Eを参照すると、マスク層25(図2D)を除去して、緩和チャネル55、及び緩和チャネルに隣接する外側接触表面50を残すことができる。
図2Fでは、開口部30の外側の、基板20の第1表面21の上に重ね合わされる、層43及び/又は導電ビア40の過剰な金属を除去することが所望される場合には、開口部30の形成又は導電ビア40の初期露出表面44の平坦化に関連して上述された除去プロセスのうちのいずれかを介して、そのような過剰な金属を除去することができる。
次いで、図2Gに示すように、外側接触表面50、及び緩和チャネル55の露出表面52を、層51によってコーティングすることができ、この層51は、上述の層43と同様のバリアメタル層、不動態化層、又は、その上に更なる導電層を受容するように構成されたビア40を作製するための、接着層などの結合層とすることができる。そのような層51は、導電ビア40又は層43に関連して上述された金属堆積プロセスのうちのいずれかを介して、堆積させることができる。
代替的一方法では、導電ビア40の材料は、図2Cに示すようなマスク層25を使用することなく、露出表面45から除去することができる。そのような方法では、導電ビア40の露出表面45は、例えば、上述のようなCMPプロセスを使用して、その導電ビアと層43(例えば、バリアメタル層)との境界面が、基板20の第1表面21に露出するまで、研磨することができる。次いで、露出表面45をエッチングすることができる。この導電ビア40の露出表面45のエッチングは、露出表面の他の部分よりも、その導電ビアと層43との境界面で急速に進行することにより、この境界面に隣接して、導電ビアの内部にチャネル55を形成することができる。この代替的方法から得られる例示的な導電ビア940aが、図9Aを参照して、以下で示され、説明される。チャネル55が形成された後、この方法は、図2Gを参照して上述されたように続行することができる。
図2H及び図2Iに示す別の代替的方法では、導電ビア40’の材料は、1つ以上のチャネル部分又は空隙55’が、外側接触表面50’に隣接して、導電ビアの半径方向外周部40aに形成されるように、開口部30内に堆積させることができる。図2Hに示すように、図1Aを参照して上述されたものなどの絶縁性誘電体層60を、開口部30の内側表面31の上に重ね合わせるか、又は内側表面31をコーティングするように、堆積させることができる。次いで、バリア層43aを、誘電体層60の上に重ね合わせて、上述のように形成することができ、シード層43bを、バリア層43aの上に重ね合わせて形成することができる。第1表面21の、シード層43bの露出表面に、マスク層を適用することができ、このマスク層をパターニングすることができ、シード層をエッチングして、マスク層の隣り合う部分間のシード層内に、間隙43cを形成することができる。間隙43cは、第1表面21の下方に、図1Aに示す深さD4などの所望の深さまで、下に延在し得る。図2Hに示し得るように、シード層43bに沿って、周囲方向Cに分散された複数の不連続間隙43cが存在し得るが、必ずしもそうである必要はない。
図2Iに示すように、次いで、導電ビア40’を、シード層43bの上に重ね合わせて形成し、シード層43bと電気的に結合させることができる。導電ビア40’の金属は、間隙43c内部に露出したバリア層43aの部分上よりも、シード層43b上に急速に堆積することになるため、導電ビアが形成される際、それらの間隙が、チャネル部分又は空隙55’になる。図2Iに示し得るように、導電ビア40’の半径方向外周部40aの周りに、周囲方向Cに分散された複数の不連続チャネル部分55’が存在し得るが、必ずしもそうである必要はない。特定の実施例では、チャネル部分55’は、1マイクロメートル未満の、半径方向Rでの幅W4を有し得る。例示的実施形態では、幅W4は、0.5マイクロメートル未満とすることができる。
図3A〜6Cは、代替的構成を有する、図1A及び図1Bの導電ビア40の変形形態を示す。これらの図を簡略化するために、図1Aに示す、任意選択の絶縁性誘電体層23及び絶縁性誘電体層60、並びに任意選択のバリア層43及びバリア層51は、図3A〜6Cでは示されない。図3A及び図3Bに示す導電ビア340は、上述の導電ビア40と同じであるが、ただし、導電ビア340は、開口部330の内壁332の全周にわたって延在する縁部356を有する、単一の緩和チャネル355を含む。
図4A及び図4Bに示す導電ビア440は、上述の導電ビア40と同じであるが、ただし、導電ビア440は、開口部430の内壁432の全周にわたって延在する縁部456を有する第1緩和チャネル455a、及び導電ビア440のほぼ中心に位置する第2緩和チャネル455bを含む。第2緩和チャネル455bは、単一の外縁部457のみを有する緩和領域とすることができ、そのため、外側接触表面450のいずれの部分も、外縁部457によって取り囲まれる区域内部には位置しない。
図5Aに示す導電ビア540aは、図3A及び図3Bに関連して上述された導電ビア340と同じであるが、ただし、導電ビア540aは、楕円又は卵形の形状を有する緩和チャネル555aを含み、この緩和チャネルは、第2の寸法L2よりも大きい第1の寸法L1を画定し、これらの第1の寸法及び第2の寸法は、図1Aに関連して示され、説明された、緩和平面P内に位置するものである。図5Aに示すように、導電ビア540aは、基板の第1表面に概して平行な平面内で、楕円又は卵形の断面形状を有し得る。他の実施形態では、本発明は、例えば、正方形、矩形、三角形、六角形、非円形、曲線状、又は任意の他の形状を含めた、緩和チャネルを有する導電ビアの他の断面を想到する。
図5Bに示す導電ビア540bは、図5Aに関連して上述された導電ビア540aと同じであるが、ただし、導電ビア540bの緩和チャネル555bは、導電ビアの第2の反対側での第2の幅W3よりも大きい、導電ビアの第1の側での第1の幅W2を有し、これらの第1の幅及び第2の幅は、図1Aに示す緩和平面P内に位置するものである。
図5Cに示す導電ビア540cは、図3A及び図3Bに関連して上述された導電ビア340と同じであるが、ただし、導電ビア540cは、外縁部556に対向する不規則形状の内縁部557を有する、緩和チャネル555cを含む。他の実施形態では、本発明は、例えば、正方形、矩形、三角形、六角形、曲線状、又は任意の他の形状を含めた、他の内縁部形状を有する緩和チャネルを想到する。
図6Aに示す導電ビア640aは、図3A及び図3Bに関連して上述された導電ビア340と同じであるが、ただし、導電ビア640aは、開口部630の内壁632の全周にわたって延在する縁部656を有する、第1緩和チャネル655a、及び第1緩和チャネルの対向する側面間で導電ビア640の中心を通って延在する、第2緩和チャネル655a’を含む。
図6Bに示す導電ビア640bは、図3A及び図3Bに関連して上述された導電ビア340と同じであるが、ただし、導電ビア640bは、開口部630の内壁632の周囲に沿って互いに隔てられた、複数の離散的緩和チャネル655bを含み、これらの緩和チャネル655bは、開口部の内壁の周囲の周りに分散されている。離散的緩和チャネル655bのそれぞれは、開口部630の内壁632の周囲の一部分にわたって延在する縁部656bを画定する。図6Bに示すように、導電ビア640bは、8つの緩和チャネル655bを有し得る。他の実施形態では、導電ビア640bは、例えば、2、3、4、6、10、12、又は20個の緩和チャネルを含めた、任意数の緩和チャネル655bを有し得る。
図6Cに示す導電ビア640cは、図3A及び図3Bに関連して上述された導電ビア340と同じであるが、ただし、導電ビア640cは、開口部630の内壁632の周囲の一部分のみにわたって延在する緩和チャネル655cを含む。図6Cに示すように、緩和チャネル655cは、開口部630の内壁632の周囲の約50%にわたって延在し得る。他の実施例では、緩和チャネル655cは、例えば、5%、10%、20%、33%、66%、又は75%を含めた、開口部630の内壁632の周囲の任意の部分にわたって延在し得る。
図7〜12は、代替的構成を有する、図1A及び図1Bの導電ビア40の更なる変形形態を示す。図3A〜6Cと同様に、任意選択の絶縁性誘電体層23及び絶縁性誘電体層60、並びに任意選択のバリア層43及びバリア層51は、図7〜12では示されないが、ただし、図9Aはバリア層943を示し、図10Bはバリア層1051を示す。図7に示す導電ビア740は、図1Bに示す導電ビア40の代替的な側断面図である。導電ビア740は、基板720の第1表面721の上方に延出する、外側接触表面750を有する。
図8は、基板820の対応する第1表面821及び第2表面822のそれぞれで、導電ビア840の両端部に緩和チャネル855を有する、図7の導電ビアの変形形態を示す。導電ビア840は、第1表面821から第2表面822まで基板820の厚さを貫通して延在する、貫通開口部830内に配置される。特定の実施形態(図示せず)では、導電ビアの一方の末端部のみに緩和チャネルを有する導電ビアを、貫通開口部内部に配置することができる。そのような実施形態では、緩和チャネルを含まない導電ビアの他方の末端部は、例えば、基板の対応する表面に露出した、平坦な導電性接触表面又は導電ポストを含めた、任意の構成を有し得る。
図9A及び図9Bは、それぞれ、導電ビア940a及び導電ビア940bを示す。導電ビア940a及び導電ビア940bは、図3A及び図3Bに関連して上述された導電ビア340と同じであるが、ただし、導電ビア940a及び導電ビア940bは、それぞれ、テーパ状の内縁部957を有する緩和チャネル955を含み、このテーパ状の内縁部は、基板920の第1表面921に対して垂直ではない。図9A及び図9Bに示す実施形態では、テーパ状の内縁部957は、緩和チャネル955の外縁部956に平行ではなく、外縁部956は、基板920の第1表面921に対して垂直である。図9Aの導電ビア940aは、導電ビアを包囲するバリア層又はシード層943(上述の層43など)を有するが、その一方で、図9Bの導電ビア940bは、そのようなバリア層又はシード層を有さずに示される。
図9Cは、図9Aに関連して上述された導電ビア940aと同じである導電ビア940cを示すが、ただし、基板921はまた、絶縁性誘電体層960に隣接する外側緩和チャネル958も含む。一実施例では、外側緩和チャネル958は、半導体製造で一般的に使用されるものなどの、低誘電率(low-k)の絶縁性誘電材料961で充填することができる。外側緩和チャネル958を充填するために、一部の場合には、基板920の材料(例えば、半導体材料)又は絶縁性誘電体層960の材料のヤング率よりも低いヤング率を有し得る他の誘電材料961を堆積させることができ、それにより、ある程度の柔軟性が達成される。外側緩和チャネル958は、基板920の第1表面921の下方に、深さD8まで延在し得る。特定の実施形態では、外側緩和チャネル958が延在する深さD8は、緩和チャネル955が基板920の第1表面921の下方に延在する深さD7よりも、大きくすることができるが、必ずしもそうである必要はない。
一実施例では、外側緩和チャネル958は、絶縁性誘電体層960に隣接する基盤920の一部分内に、エッチングすることができる。例示的実施形態では、外側緩和チャネル958は、基盤920の一部分内及び絶縁性誘電体層960の一部分内の双方に、エッチングすることができる。特定の実施例では、外側緩和チャネル958は、反応性イオンエッチングを使用して、基盤920内にエッチングすることができ、緩和チャネル955は、化学エッチングプロセスを使用して、導電ビア940cの材料内にエッチングすることができる。外側緩和チャネル958は、単一の連続的緩和チャネルとすることができ、又は、絶縁性誘電体層960の外周に沿って互いに隔てられた、複数の離散的緩和チャネル958とすることができ、緩和チャネル950は、絶縁壁部960の外周の周りに分散される。
図10A及び図10Bは、それぞれ、導電ビア1040a及び導電ビア1040bを示す。導電ビア1040a及び導電ビア1040bは、図3A及び図3Bに関連して上述された導電ビア340と同じであるが、ただし、導電ビア1040a及び導電ビア1040bは、それぞれ、少なくとも導電ビアの外側接触表面1050の上に重ね合わされる、導電性接合材料(例えば、はんだ、導電性接着剤、又は導電ペースト)を含む。
導電ビア1040a又は導電ビア1040b(又は本明細書で説明される他の導電性要素のうちのいずれか)と、基板1020の外部の構成要素との間の接続は、対応する導電性接合材料1011a又は導電性接合材料1011bを介したものとすることができる。そのような導電性接合材料は、比較的低い融点を有する易融金属、例えば、はんだ、スズ、又は複数の金属を含む共晶混合物を含み得る。あるいは、そのような導電性接合材料としては、濡れ性の金属、例えば、はんだ又は別の易融金属よりも高い融点を有する、銅又は他の貴金属若しくは非貴金属を挙げることができる。そのような濡れ性の金属は、対応する特徴部、例えば、相互接続要素の易融金属特徴部と、接合させることができる。特定の実施形態では、そのような導電性接合材料としては、媒質中に分散された導電材料、例えば、導電ペースト、例えば、金属充填ペースト、はんだ充填ペースト、又は等方導電性接着剤、若しくは異方導電性接着剤を挙げることができる。
図10Aの導電ビア1040aは、導電ビアの外側接触表面1050に隣接する、導電性接合材料1011aを有するが、この導電性接合材料は、緩和チャネル1055内には延在しない。緩和チャネル1055が充填されないまま残される、図10Aに示すものなどの実施形態では、導電ビア1040aが別の導電性要素と接合される場合、緩和チャネル1055は、外側接触表面1050と別の導電性要素の対向する接触表面との間から絞り出され得る、過剰な導電性接合材料1011aを受容するための、堀としての役割を果たし得る。
過剰な導電性接合材料1011aを緩和チャネル1055内に流入させることは、その導電性接合材料が、基板1020の第1表面1021上に流れて、隣り合う導電ビア1040aを短絡させる(すなわち、隣り合う導電ビアの間に、直接的な導電性経路を作り出す)可能性を防ぐために役立ち得る。基板1020の第1表面1021上に流れる、過剰な導電性接合材料1011aの傾向を低減することによって、隣り合う導電ビアを短絡させることなく、隣り合う導電ビア1040aを、互いにより近接して離間配置することができる。そのような設計は、隣り合う導電ビア間の所定の間隔又はピッチに関する、その構成要素の信頼性を改善することができる。また、そのような設計は、導電ビア1040aの導電ポスト又は露出パッドなどの、結合構造体のピッチ(結合構造体間の間隔)を、それらの結合構造体のうちの隣り合うものが、過剰な導電性接合材料1011aにより短絡されることなく、低減することを可能にし得る。
図10Bの導電ビア1040bは、外側接触表面1050の上に重ね合わされ、基板1020の第1表面1021の一部分の上に重ね合わされ、かつ緩和チャネル1055内に延在する、導電性接合材料1011bを有する。導電ビア1040bはまた、導電ビアと導電性接合材料1011bとの間に延在し得る、バリア層1051(上述の層51など)も有する。
図11Aに示す導電ビア1140は、図1A及び図1Bに関連して上述された導電ビア40と同じであるが、ただし、導電ビア1140は、基板1120の第1表面1121に、緩和チャネル1155内に配置された低応力材料1112を有する。低応力材料1112は、導電性(例えば、はんだ又は導電性接着ペースト)、非導電性(例えば、ポリマー又は別の誘電材料)、又は、ポリマー発泡体などの多孔質の導電材料若しくは非導電材料とすることができる。そのような材料は、低い弾性係数を有し得るか、又は、その材料は、負荷の下で圧縮することが可能な、十分な収縮可能な孔を有し得る。
一実施例では、緩和チャネル1155のうちの1つ以上は、毛管チャネルとすることができ、各毛管チャネルは、5マイクロメートル未満の、図1Aに関連して示され、説明された、緩和平面P内の方向での最大幅を有する。低応力材料1112が、はんだである実施形態では、そのような毛管チャネルは、別の導電性構造体(例えば、図27Bに示す導電ポスト2741b)が導電ビアに接合される場合、導電ビア1140の外側接触表面1150から離れる方向に、はんだを引き込むことができるため、低減された容積のはんだを使用して、導電ビア及び導電性構造体を互いに接合させることができる。この毛管チャネルの存在は、別の導電性構造体が導電ビア1140に接合される場合に、はんだが第1表面1121上に搾り出されることを防ぐことができる。
図27Bに示す導電ポスト2741bなどの導電ポストが、導電ビア1140に接合される実施例では、導電ポストの基底部を、導電ビアの外側接触表面1150に接合することができる。そのような導電ポストは、外側接触表面1150に隣接する導電ポストの基底表面から、導電ポスト内に延出する、少なくとも1つの毛管チャネルを有し得る。そのような実施形態では、導電ビア1140内、及び導電ビア1140に接合される導電ポスト内の双方の毛管チャネルは、その導電ビアと導電ポストとの間の境界面から離れる方向に、はんだを引き込むことができ、低減された容積のはんだを使用して、導電ビア及び導電ポストを互いに接合させることができる。導電ビア内及び導電ポスト内の双方の毛管チャネルの存在は、導電ポストが導電ビア1140に接合される場合に、はんだが第1表面1121上に延在することを防ぐことができる。
図11Bに示す導電ビア1140’は、図11Aに関連して上述された導電ビア1140と同じであるが、ただし、導電ビア1140’は、基板1120の第1表面1121に、緩和チャネル1155及び外側接触表面1150の上に重ね合わされる、導電パッド1159を有する。そのような導電パッド1159は、別の構成要素の導電性要素との相互接続のために、基板1120の第1表面1121に露出させることができる。図11Bに示すように、導電パッド1159は、第1表面1121で、緩和チャネル1155を完全に封止することができる。一部の実施形態では、導電パッド1159は、緩和チャネル1155のうちの1つ以上を、部分的に封止することができる。
特定の実施例では、導電パッド1159は、第1表面1121で、緩和チャネル1155のうちの1つ以上を封止して、その封止された緩和チャネルのうちの少なくとも一部の内部に、空隙1113を封入することができる。一実施形態では、図11Bにもまた示されるように、はんだ又はポリマーなどの低応力材料1112が、導電パッド1159によって封止されている緩和チャネル1155のうちの1つ以上を、充填することができる。図11Bに示すように、導電パッドの金属材料が、緩和チャネルのうちの1つ以上の中に部分的にのみ延在するように、導電パッド1159を、外部接触表面1150上に、かつ緩和チャネル1155全体にわたってメッキすることにより、緩和チャネルのうちの少なくとも一部の内部に、空隙1113を残すことができる。
図12に示す導電ビア1240は、図11Aに関連して上述された導電ビア1140と同じであるが、ただし、導電ビア1240は、基板1220の第1表面1221及び第2表面1222の双方に、緩和チャネル1255内に配置された低応力材料1212を有する。低応力材料1212は、導電性又は非導電性とすることができる。
導電ビア1240は、外側接触表面1250の上に重ね合わされ、基板1220の第1表面1221の一部分の上に重ね合わされ、かつ緩和チャネル1255内に配置された低応力材料1212の上に重ね合わされる、導電性接合材料1211を更に含み得る。特定の実施形態では、導電性接合材料1211は、低応力材料1212と同じ材料にすることができ、そのような実施形態では、基板1220の第1表面1221の導電性接合材料及び低応力材料は、単一の連続的な導電性接合材料領域として、堆積させることができる。特定の実施例では、低応力材料1212は、外部構造体が、導電性接合材料を使用して導電ビア1240と接合される場合に、緩和チャネル1255内に導電性接合材料1211が流入することを防ぐために役立ち得る。
別の実施例では、多孔質の低応力材料1212を使用することにより、導電性接合材料1211が、導電ビア1240付近に位置する、基板1220の第1表面1221の構造体に接触することを防ぐことができる。そのような実施形態では、外部構造体が、導電性接合材料1211を使用して導電ビア1240と接合される場合に、その導電性接合材料は、第1表面1221上に流れるのではなく、低応力材料の孔内に流入することができる。
図13は、図3A及び図3Bに関連して上述された導電ビア340と同じである導電ビア1340を示すが、ただし、導電ビア1340は、導電ビアの外側接触表面1350の上に重ね合わされ、かつ緩和チャネル1355内に延在する、導電性接合材料1311を含む。導電ビア1340はまた、導電ビアと導電性接合材料1311との間に延在し得る、バリア層1351(上述の層51など)も有する。外側接触表面1350は、基板1320の第1表面1321の下方に、距離D6で陥没させることができる。図13に示す実施形態では、導電性接合材料1311は、基板1320の第1表面1321の上には重ね合わされない。
図10Aに示す実施形態と同様に、導電ビア1340が別の導電性要素と接合される場合、基板1320の第1表面1321の下方に陥没した、導電ビアの外側接触表面1350を有することは、導電性接合材料1311が第1表面上に流れて、隣り合う導電ビア1340を短絡させる可能性を防ぐために役立ち得る。図13に示す実施例では、導電性接合材料1311は、基板1320の第1表面1321の上方に延在するが、必ずしもそうである必要はない。例えば、他の実施形態では、導電性接合材料1311は、基板1320の第1表面1321の下方に陥没する、露出表面を有し得る。図3A〜6Cと同様に、任意選択の絶縁性誘電体層23及び絶縁性誘電体層60、並びに任意選択のバリア層43は、図13〜14Dでは示されない。
構成要素1310(図13)の製造方法を、ここで図14A〜14Dを参照して説明する。図2A〜2Gの方法工程を使用して、図14Aに示す、第1表面1321から基板1320内に延在する開口部1330、導電ビア1340、緩和チャネル1355、及び層1351を形成することができる。ここで図14Bを参照すると、マスク層1325を、バリア層1351、及び基板1320の第1表面1321の諸部分の上に重ね合わせて、堆積させることができる。マスク層1325をパターニングすることができ、次いで、図14Cに示すように、マスク層を貫通して間隙1326を形成することにより、1つ以上の導電ビア1340の上に重ね合わされたバリア層1351を露出させることができる。
その後、図14Dに示すように、導電性接合材料がバリア層1351に接触し、かつ緩和チャネル1355内に延在するように、間隙1326内に導電性接合材料1311を堆積させることができる。最終的に、図13を再び参照すると、基板1320の第1表面1321から、マスク層1325の残余部分を除去することにより、基板の第1表面の上方に延在する、導電性接合材料1311を残すことができる。
図15は、図13に関連して上述された導電ビア1340と同じである導電ビア1540を示すが、ただし、導電ビア1540は、開口部1530の外側の、基板1520の第1表面1521の上に重ね合わされた、誘電体層1523と、導電ビア、及び誘電体層1523の一部分の上に重ね合わされた、シード層1552とを含む。誘電体層1523は、図1A及び図1Bを参照して上述された層23などの、不動態化層とすることができる。導電ビア1540はまた、導電ビアとシード層1552との間に延在し得る、接着又はバリア層1551(上述の層51など)も有し得る。一実施例では、接着又はバリア層1551は、窒化タンタル/タンタル、例えば、格子間不純物を有するαタンタル、窒化チタン、窒化チタン/タンタル、又はニッケル−タングステン合金とすることができ、シード層1552は、銅、ニッケル、又は金とすることができる。特定の実施形態では、接着又はバリア層1551とシード層1552は、例えば、単一のニッケル合金バリア及びシード層などの、単一層とすることができる。導電性接合材料1511が、導電ビア1540、及び誘電体層1523の一部分の上に重ね合わされる。図3A〜6Cと同様に、任意選択の絶縁性誘電体層60及び任意選択のバリア層43は、図15〜16Dでは示されない。
構成要素1510(図15)の製造方法を、ここで図16A〜16Dを参照して説明する。図2A〜2Gの方法工程を使用して、図16Aに示す、誘電体層1523、第1表面1521から基板1520内に延在する開口部1530、導電ビア1540、緩和チャネル1555、接着若しくはバリア層1551、及びシード層1552を形成することができる。
ここで図16Bを参照すると、マスク層1525を、シード層1552の上に重ね合わせて、堆積させることができる。マスク層1525をパターニングすることができ、次いで、図16Cに示すように、マスク層を貫通して間隙1526を形成することにより、1つ以上の導電ビア1540の上に重ね合わされ、かつ誘電体層1523の一部分の上に重ね合わされた、シード層1552の一部分を露出させることができる。その後、図16Dに示すように、導電性接合材料がシード層1552に接触し、かつ緩和チャネル1555内に延在するように、間隙1526内に導電性接合材料1511を堆積させることができる。
最終的に、図15を再び参照すると、誘電体層1523から、マスク層1525の残余部分を除去することにより、基板の第1表面の上方かつ誘電体層1523の上方に延在する、導電性接合材料1511を残すことができる。
図17A及び図17Bは、図15に関連して上述された構成要素1510と同じである構成要素1701及び構成要素1702を示すが、ただし、構成要素1701及び構成要素1702は、緩和チャネル1755内に延在しない、対応する導電性接合材料1711及び導電性接合材料1711’を含む。図17Aに示し得るように、導電性接合材料1711は、導電ビア1740、及び誘電体層1723の一部分の上に重ね合わせることができる。
あるいは、図17Bに示し得るように、導電性接合材料1711’は、導電ビア1740の上に重ね合わせることができるが、この導電性接合材料は、誘電体層1723の一部分の上には重ね合わされない場合がある。図15と同様に、任意選択の絶縁性誘電体層60及び任意選択のバリア層43は、図17A〜18では示されない。
構成要素1701(図17A)及び構成要素1702(図17B)の製造方法を、ここで図18を参照して説明する。図2A〜2Gの方法工程を使用して、図18に示す、誘電体層1723、第1表面1721から基板1720内に延在する開口部1730、導電ビア1740、緩和チャネル1755、接着若しくはバリア層1751、及びシード層1752を形成することができる。図18では、接着若しくはバリア層1751、及びシード層1752は、導電ビア1740の露出表面上に堆積されるものとして示され、誘電体層1723は、それらのバリア層及びシード層の上に部分的に重ね合わされて示される。誘電体層1723を堆積させた後、導電性接合材料1711(図17A)又は導電性接合材料1711’(図17B)を、誘電体層1723内の間隙1726内に堆積させることができる。特定の実施形態では、図16B〜16Dに示すマスク層1525などのマスク層を堆積させて、パターニングすることにより、導電性接合材料1711又は導電性接合材料1711’の堆積を、所望の場所にのみ制御することができる。
図19は、図17A及び図17Bに関連して上述された構成要素1701及び構成要素1702と同じである構成要素1910を示すが、ただし、構成要素1910は、導電ビア1940の上に重ね合わされた導電ポスト1941を含み、その導電ポストの露出表面の上に、導電性接合材料1911が重ね合わされる。一実施例では、導電ポスト1941(及び、他の実施形態に関連して本明細書で説明される、他の導電ポスト)は、銅、銅合金、及びニッケルのうちの少なくとも1つから本質的になるものとすることができる。
図17A及び図17Bと同様に、任意選択の絶縁性誘電体層60及び任意選択のバリア層43は、図19〜20Bでは示されない。また、図18に示すシード層1752などの、任意選択のシード層も、図19〜20Bでは示されない。
構成要素1910(図19)の製造方法を、ここで図20A及び図20Bを参照して説明する。図2A〜2Gの方法工程を使用して、誘電体層1923、第1表面1921から基板1920内に延在する開口部1930、導電ビア1940、緩和チャネル1955、及び接着若しくはバリア層1951を形成することができる。特定の実施例では、図18に示すシード層1752などのシード層を、接着又はバリア層1951の上に重ね合わせて堆積させることができる。図14B及び図14Cの方法工程を使用して、マスク層1925、及びマスク層内の間隙1926を形成することができる。
その後、図20Aに示すように、導電ポストが接着又はバリア層1951と接触するように、導電ポスト1941を、間隙1926内に堆積させることができる。図1A及び図1Bを参照して上述された導電ビア40と同様に、導電ポスト1941は、特に、銅、アルミニウム、タングステン、銅含有合金、ニッケル含有合金、又はタングステン含有合金などの、比較的高いCTEを有する金属を含み得る。導電ポスト1941は、導電ビア1940と同じ導電性材料で作製することができ、又は代替的に、導電ポストと導電ビアとは、異なる導電性材料で作製することができる。
次いで、図20Bを参照すると、導電性接合材料1911を、導電ポスト1941の露出表面の上に重ね合わせて、マスク層1925内の間隙1926内に堆積させることができる。最終的に、図19を再び参照すると、誘電体層1923から、マスク層1925の残余部分を除去することにより、導電性接合材料1911が導電ポストの露出表面の上に重ね合わせられた状態で、基板の第1表面の上方かつ誘電体層1923の上方に延在する、導電ポスト1941を残すことができる。
図21A〜21Cは、図19に関連して上述された構成要素1910と同じである構成要素2101、2102、及び構成要素2103を示すが、ただし、構成要素2101、2102、及び構成要素2103は、誘電体層2123の露出表面の上方に実質的な距離で延在する、導電ポスト2141を含む。構成要素2101、2102、及び構成要素2103はまた、導電ポスト2141と導電性接合材料2111との間に延在する、バリア層2143も有し得る。バリア層2143は、図19を参照して上述されたバリア層1951と同様のものとすることができる。図19と同様に、任意選択の絶縁性誘電体層60及び任意選択のバリア層43は、図21A〜22では示されない。また、図18に示すシード層1752などの、任意選択のシード層も、図21A〜22では示されない。
図21Aに示し得るように、導電ポスト2141は、露出した鉛直延出表面2142を有し得る。図21Bに示す一実施例では、導電ポスト2141は、鉛直延出表面2142の上に重ね合わされたバリア層2144を有し得る。一実施例では、バリア層2144は、図1Aを参照して上述されたバリア層43と同様の、導電性バリア層とすることができる。別の実施例では、バリア層43は、絶縁性誘電材料から作製することが可能な、不動態化層と同様のものとすることができる。
図21Cに示す特定の実施形態では、導電ビア2140’は、図9A及び図9Bに示すものと同様の、テーパ状の内縁部2157を有する、緩和チャネル2155を含み得るものであり、このテーパ状の内縁部は、基板2120の第1表面2121に対して垂直ではない。テーパ状の内縁部2157は、緩和チャネル2155の外縁部2156に平行ではないものとすることができ、この外縁部は、基板2120の第1表面2121に対して垂直なものとすることができる。
図22は、図21Aに示す構成要素2101及び図21Bに示す構成要素2102の、製造の段階を示す。図21Aに示す構成要素2101を作り込むために、図19〜20Bに関連して上述されたものと同じ方法工程を実行することができるが、ただし、図22に示すマスク層2125及び間隙2126は、図20A並びに図20Bに示すマスク層1925及び間隙1926よりも大きい鉛直高さを有し得る。
図21Bに示す構成要素2102を作り込むために、構成要素2101の製造に関するものと同じ方法工程を実行することができ、更には、マスク層2125を除去した後に、バリア層2144を、導電ポスト2141の鉛直延出表面2142の上に重ね合わせて、堆積させることができる。
図21Cに示す構成要素2103を作り込むために、構成要素2101の製造に関するものと同じ方法工程を実行することができるが、導電ビア2140’の緩和チャネル2155は、テーパ状の内縁部2157を有して形成することができる。
図23は、図13に関連して上述された構成要素1310と同じである構成要素2310を示すが、ただし、構成要素2310は、導電性接合材料2311a及び導電性接合材料2311bの2つの離間した領域を含み、導電性接合材料の各領域は、基板2320の第1表面2321の上に部分的に重ね合わせることができる。導電性接合材料2311a及び導電性接合材料2311bの各領域は、緩和チャネル2355の一部分内に延在し得る。図3A〜6Cと同様に、任意選択の絶縁性誘電体層60及び任意選択のバリア層43は、図23〜24Bでは示されない。
構成要素2310(図23)の製造方法を、ここで図24A及び図24Bを参照して説明する。図2A〜2Gの方法工程を使用して、図23に示す、第1表面2321から基板2320内に延在する開口部2330、導電ビア2340、緩和チャネル2355、及びバリア層2351を形成することができる。ここで図24Aを参照すると、マスク層2325を、バリア層2351、及び基板2320の第1表面2321の諸部分の上に重ね合わせて、堆積させることができる。このマスク層を貫通して、導電性接合材料2311a及び導電性接合材料2311bを対応する領域に堆積させることが所望されている、導電ビア2340の諸部分の上に重ね合わされたバリア層2351を露出させ、間隙2326a及び間隙2326bを形成することができる。
その後、図24Bに示すように、導電性接合材料の領域が、バリア層2351の諸部分に接触して、緩和チャネル2355の諸部分内に延在するように、導電性接合材料2311a及び導電性接合材料2311bを、対応する間隙2326a及び間隙2326b内に堆積させることができる。最終的に、図23を再び参照すると、基板2320の第1表面2321から、マスク層2325の残余部分を除去することにより、基板の第1表面の上方に延在する、導電性接合材料2311a及び導電性接合材料2311bの領域を残すことができる。
図25に示す構成要素2510は、図11Aに関連して上述された構成要素1110と同じであるが、ただし、構成要素2510は、それぞれが緩和チャネル2555を有する、複数の導電ビア2540を有し、基板2520の第1表面2521の緩和チャネル内に、低応力材料2512を配置することができる。低応力材料2512は、導電性(例えば、はんだ又は導電性接着ペースト)、非導電性(例えば、ポリマー又は別の誘電材料)、又は、ポリマー発泡体などの多孔質の導電材料若しくは非導電材料とすることができる。そのような材料は、低い弾性係数を有し得るか、又は、その材料は、負荷の下で圧縮することが可能な、十分な収縮可能な孔を有し得る。
半導体要素2520が、例えばシリコンから作製された、半導体基板を含む実施形態では、第1表面2521、及び/又は第1表面2521の下方に位置する、その半導体基板の能動デバイス領域2524内に、1つ又は複数の半導体デバイス(例えば、トランジスタ、ダイオードなど)を配置することができる。構成要素2510はまた、基板2520の第1表面、及び導電ビア2540の露出表面の上に重ね合わされる、BEOL層2560も有し得る。BEOL層2560は、絶縁性誘電材料2561と、外部構成要素との相互接続のための、導電ビア2540とBEOL層2560の上面2566に露出した導電端子2564との間に延在する、導電リード2562(導電トレース及び導電ビア)とを含み得る。
一実施形態では、各導電ビアは、第1表面に平行な水平面P’内の方向での、最大幅W5を有し得るものであり、この最大幅は、第1表面の5マイクロメートルの範囲内に位置する。複数の導電ビア2540は、それらの導電ビアのうちの任意の2つの隣り合う導電ビアの、対応する鉛直中心軸2549間に、水平面P’内での最小ピッチ2548を画定することができ、この最小ピッチは、それらの隣り合う導電ビアのそれぞれの最大幅の、3倍未満である。特定の実施例では、導電ビア2540のうちの任意の2つの隣り合う導電ビア間の最小ピッチ2548は、それらの隣り合う導電ビアのそれぞれの最大幅の、2倍未満とすることができる。例示的実施形態では、導電ビア2540のうちの任意の2つの隣り合う導電ビア間の最小ピッチ2548は、それらの隣り合う導電ビアのそれぞれの最大幅の、1.2倍未満とすることができる。
図26Aに示す導電ビア2640a及び図26Bに示す導電ビア2640bは、図3A及び図3Bに関連して上述された導電ビア340と同じであるが、ただし、導電ビア2640a及び導電ビア2640bは、基板2620内の、対応するテーパ状開口部2630a及びテーパ状開口部2630bの内部に延在する。そのようなテーパ状開口部2630a又はテーパ状開口部2630bは、基板2620の第1表面2621と第2表面2622との間で、いずれかの方向でテーパ状にすることができる。図26Aに示すように、テーパ状開口部2630aは、楕円放物面形状、双曲面形状、又は曲線形状を有し得る(すなわち、この開口部は、曲線形状を有する内壁2632aによって境界される)。図26Bに示すように、テーパ状開口部2630bは、円錐台形状を有し得る。特定の実施例では、開口部2630a又は開口部2630bなどのテーパ状開口部は、等方性エッチングに続く異方性エッチングによって、形成することができる。
一実施例では、開口部の一部分、又は開口部2630a若しくは開口部2630bの全体は、基板の第1表面に垂直な平面内で曲線状の断面形状を画定する表面によって、境界することができ、そのような曲線状の開口部構造は、一方の表面(第1表面又は第2表面のいずれか)からの基板の等方性エッチングにより、基板を部分的に貫通して延在する空洞部を形成することによって、形成することができ、次いで、基板の反対側表面から材料を除去することによって、基板を薄化することができ、次いで、その反対側表面から異方性エッチングを実行することにより、その空洞部を、基板を完全に貫通して延在する開口部へと拡張することができる。
第2表面2622よりも第1表面2621で小さい直径を有する、テーパ状開口部2630a又はテーパ状開口部2630bは、温度変化の間に、能動デバイス領域などの第1表面の構造体を保護するために役立ち得るものであり、これは、導電ビアの材料の熱膨張係数と基板の材料の熱膨張係数との間に著しい差異が存在する場合に、ポンピング、すなわち、基板に対する導電ビアの鉛直運動を防ぐために、このテーパ状開口部が役立ち得るためである。
図26A及び図26Bに示すように、開口部2630a及び開口部2630bは、対応する導電ビア2640a及び導電ビア2640bの露出表面内に延在する、緩和チャネル2655を有する。特定の実施例では、開口部2630a又は開口部2630bなどのテーパ状開口部は、緩和チャネル2655を有さずに提供することができる。
図27A〜27Dに示す構成要素2701、2702、2703、及び構成要素2704は、図21Aに示す構成要素2101の変形形態であるが、楕円放物面形状、双曲面形状、又は曲線形状を有し得る、図26Aに示すテーパ状開口部2630aと同じテーパ状開口部2730を有する。特定の実施例では、図27A〜27Dのテーパ状開口部2730は、図26Bに示すテーパ状開口部2630bのような円錐台形状を有し得る。
図27Aに示す構成要素2101は、図21Aに示す導電ポスト2141と同じである導電ポスト2741aを有し得る。一実施例では、導電ポスト2741aは、その導電ポストの露出表面の上に重ね合わされる、導電性接合材料を有し得る。図21Aと同様に、導電ポスト2741aは、導電ビア2740の露出表面の上に重ね合わせることができるが、この導電ポストは、緩和チャネル2755の上には重ね合わせることができない。特定の実施形態では、緩和チャネル2755は、図21Aに示す誘電体層2123などの、基板2720の第1表面2721の上に重ね合わされる誘電体層の一部分で、充填することができる。
図27Bに示す構成要素2102は、図27Aに示す構成要素2101の変形形態である。構成要素2102は、導電ビア2740の露出表面及び緩和チャネル2755の上に重ね合わせることが可能な、導電ポスト2741bを有し得る。特定の実施形態では、緩和チャネル2755は、基板2720の第1表面2721の、緩和チャネル2755内に配置される低応力材料2712で、充填することができる。低応力材料2712は、導電性(例えば、はんだ又は導電性接着ペースト)、非導電性(例えば、ポリマー又は別の誘電材料)、又は、ポリマー発泡体などの多孔質の導電材料若しくは非導電材料とすることができる。そのような材料は、低い弾性係数を有し得るか、又は、その材料は、負荷の下で圧縮することが可能な、十分な収縮可能な孔を有し得る。
図27Cに示す構成要素2103及び図27Dに示す構成要素2104は、図27Aに示す構成要素2101の更なる変形形態である。構成要素2103及び構成要素2104は、導電ビア2740の露出表面の上に重ね合わせることが可能な、対応する導電ポスト2741c又は導電ポスト2741dを有し得るが、これらの対応する導電ポストは、緩和チャネル2755の上には重ね合わせることができない。図27Cに示す導電ポスト2741c及び図27Dに示す導電ポスト2741dは、テーパ形状、例えば、楕円放物面形状、双曲面形状、又は曲線形状を有し得る(すなわち、この導電ポストは、基板の第1表面に概して垂直な方向で曲線形状を有する、外側表面を有する)。特定の実施例では、導電ポスト2741c及び導電ポスト2741dは、円錐台形状を有し得る。
図27Cに示すように、導電ポスト2741cは、基板2720の第1表面2721に隣接する基底部でより広く、第1表面から隔たった先端部でより狭い、テーパ形状を有する。図27Dに示すように、導電ポスト2741dは、基板2720の第1表面2721に隣接する基底部でより狭く、第1表面から隔たった先端部でより広い、テーパ形状を有する。
ここで図28Aを参照すると、構成要素2801は、図5Aに示す導電ビア540a並びに図27Cに示す導電2740及び導電ポスト2741cの一部の特徴を有する、導電ビア2840を含む。図28Bは、以下で説明するような、代替的なテーパ形状を有する開口部2830’を有する、構成要素2801の変形形態である構成要素2802を示す。
図5Aに示す導電ビア540aと同様に、導電ビア2840は、緩和チャネル2855を含み得るものであり、この導電ビアは、楕円又は卵形の形状を有し得るものであり、この導電ビアは、第2の寸法L4よりも大きい第1の寸法L3を画定し、これらの第1の寸法及び第2の寸法は、図1Aに関連して示され、説明された、緩和平面P内に位置するものである。特定の実施例では、L3は、L4よりも、例えば、6倍又は8倍大きいなどの、数倍大きいものにすることができる。
図28Aに示すように、緩和チャネル2855、及び導電ビアが中に延在する開口部2830は、それぞれ、基板の第1表面に概して平行な平面内で、楕円又は卵形の断面形状を有し得る。一実施例では、そのような楕円又は卵形の形状を有する導電ビア2840、及び、その導電ビア2840から延出する複数の導電ポスト2841は、構成要素2801内部での電力分配又は接地(すなわち、基準電位)分配のために使用することができる。特定の実施例では、導電ビア2840は、細長形の断面形状を有し得るものであり、この導電ビアは、第1の方向での長さ(例えば、第1の寸法L3)、及び第1の方向を横断する第2の方向での幅(例えば、第2の寸法L4)を画定し、これらの第1の方向及び第2の方向は、基板2820の第1表面2821に垂直な平面の内部にあり、長さは幅よりも大きい。
第1表面2821、及び/又は第1表面2821の下方に位置する、その1つ以上の能動デバイス領域2824内に、1つ又は複数の半導体デバイス(例えば、トランジスタ、ダイオードなど)を配置することができる。能動デバイス領域2824は、単一の構成要素2801内の隣り合う導電ビア2840の間に位置し得る。図28Aに示す実施例では、1つ以上の能動デバイス領域2824を、導電ビア2840の第1の寸法L3の方向に対して実質的に平行に方向付けることができ、かつ1つ以上の能動デバイス領域を、導電ビアの第2の寸法L4の方向に対して実質的に平行に方向付けることができる。
図27Cに示す構成要素2703と同様に、構成要素2801は、基板2820内の対応するテーパ状開口部2830内部に延在する、1つ以上の導電ビア2840を含み得る。そのようなテーパ状開口部2830は、基板2820の第1表面2821と第2表面2822との間で、いずれかの方向でテーパ状にすることができる。図28Aに示す実施例では、開口部2830は、その第2表面2822の平面内での断面よりも小さい面積を有する、第1表面2821の平面内での断面を有し得ることにより、この開口部は、第2表面から第1表面に向けてテーパ状になる。
別の実施例では、図28Bに示すように、開口部2830’は、その第2表面2822の平面内での断面よりも大きい面積を有する、第1表面2821の平面内での断面を有し得ることにより、この開口部は、第1表面から第2表面に向けてテーパ状になる。そのようなテーパ状開口部2830又はテーパ状開口部2830’は、上述のような、楕円放物面形状、双曲面形状、又は曲線形状を有し得る。特定の実施例では、開口部2830又は開口部2830’などのテーパ状開口部は、等方性エッチングに続く異方性エッチングによって、形成することができる。
図27Cに示す構成要素2703と同様に、構成要素2801は、特定の導電ビア2840の露出表面2850の上に重ね合わせることが可能な、1つ以上の導電ポスト2841を含み得るが、これらの導電ポストは、緩和チャネル2855の上には重ね合わせることができない。導電ポスト2841は、テーパ形状、例えば、上述のような、楕円放物面形状、双曲面形状、又は曲線形状を有し得る。特定の実施例では、導電ポスト2841は、円錐台形状を有し得る。
図28Aに示すように、導電ポスト2841は、基板2820の第1表面2821に隣接する基底部でより広く、第1表面から隔たった先端部でより狭い、テーパ形状を有する。特定の実施例では、構成要素2840は、本明細書での様々な実施形態に関連して上述された導電ポストの形状などの、任意の他の形状を有する、1つ以上の導電ポストを含み得る。
上述の構成要素は、図29に示すように、多種多様な電子システムの構築に利用することができる。例えば、本発明の更なる実施形態によるシステム2800は、上述のような超小型電子組立体2806を、他の電子構成要素2808及び電子構成要素2810と併せて含む。図示の実施例では、構成要素2808は半導体チップであり、その一方で、構成要素2810はディスプレイ画面であるが、任意の他の構成要素を使用することもできる。当然ながら、図29では、説明の明瞭性のために、2つの追加構成要素のみが示されるが、このシステムは、任意数のそのような構成要素を含み得る。超小型電子組立体2806は、上述の構成要素のうちのいずれかとすることができる。更なる変形例では、任意数のそのような超小型電子組立体2806を使用することができる。
超小型電子組立体2806並びに構成要素2808及び構成要素2810は、概略的に破線で示される、共通のハウジング2801内に実装することができ、必要に応じて、互いに電気的に相互接続されることにより、所望の回路を形成することができる。図示の例示的システムでは、このシステムは、フレキシブルプリント回路板などの回路パネル2802を含み得るものであり、この回路パネルは、構成要素を互いに相互接続する、多数の導電体2804(図29では、そのうちの1つのみを示す)を含み得る。しかしながら、これは単に例示的なものであり、電気的接続を作り出すための、任意の好適な構造体を使用することができる。
ハウジング2801は、例えば、携帯電話又は携帯情報端末で使用可能なタイプの、携帯用ハウジングとして示され、このハウジングの表面に、画面2810を露出させることができる。構造体2806が、撮像チップなどの受光素子を含む場合は、その構造体に光を導くために、レンズ2811又は他の光学素子もまた提供することができる。この場合も、図29に示す簡略化されたシステムは、単に例示的なものであり、上述の構造体を使用して、デスクトップコンピュータ、ルーターなどの、通常は固定構造体と見なされるシステムを含めた、他のシステムを作製することができる。
能動デバイス領域を中に有するシリコン基板のみが、図1A及び図1Bに示す実施形態に関連して示され、説明されているが、本明細書で説明される構成要素のうちのいずれの基板も、上述のように、シリコン、又は、ガラス、セラミックなどの誘電材料、複合材料、あるいは対称又は非対称の積層体で作製することができる。基板がシリコンで作製される場合、本明細書で説明される実施形態のうちのいずれの任意のそのような基板も、その基板の1つ以上の能動デバイス領域内に、能動半導体デバイスを含み得る。
本明細書で開示される、開口部、孔、及び導電性要素は、2010年7月23日に出願された、同時係属の、本発明の同一譲受人に譲渡された米国特許出願第12/842,587号、同第12/842,612号、同第12/842,651号、同第12/842,669号、同第12/842,692号、及び同第12/842,717号で、並びに米国特許出願公開第2008/0246136号で、より詳細に開示されるようなプロセスによって形成することができ、それらの開示は、参照により本明細書に組み込まれる。
本明細書では、具体的な実施形態を参照して本発明が説明されているが、これらの実施形態は、本発明の原理及び適用の単なる例示に過ぎないことを理解されたい。それゆえ、それらの例示的実施形態には、添付の特許請求の範囲によって定義されるような、本発明の趣旨及び範囲から逸脱することなく、数多くの修正を加えることができ、かつ他の配置構成を考案することができる点を理解されたい。
様々な従属請求項、及びそれらの請求項に記載される特徴は、最初の請求項で提示されるものとは異なる方式で組み合わせることができる点が、理解されるであろう。個々の実施形態に関連して説明される特徴は、説明される実施形態の他の特徴と共有することができる点もまた、理解されるであろう。
本発明は、広範な産業上の利用可能性を享受するものであり、それらの産業上の利用可能性としては、超小型電子パッケージ、及び超小型電子パッケージユニットの製造方法が挙げられるが、これらに限定されない。

Claims (83)

  1. 構成要素であって、
    第1表面、前記第1表面とは反対側の第2表面、及び前記第1表面から前記第2表面に向けて延在する開口部を有する基板であって、前記開口部が、前記第1表面から離れる方向に延在する内壁を有し、誘電材料が前記内壁に露出する、基板と、
    前記開口部内部に延在し、かつ前記第1表面に隣接して前記開口部内部に緩和チャネルを画定する導電ビアであって、前記緩和チャネルが、前記第1表面に平行な平面の方向での、前記内壁からの第1の距離の範囲内、かつ前記第1表面の下方5マイクロメートルの範囲内に、縁部を有し、前記第1の距離が、1マイクロメートル及び前記平面内での前記開口部の最大幅の5パーセントのうちの小さいほうであり、前記縁部が、前記内壁の周囲の少なくとも5パーセントにわたるように、前記内壁に沿って延在する伝導ビアとを含む構成要素。
  2. 前記基板が、20ppm/℃以下の、前記基板の平面内での実効CTEを有する、請求項1に記載の構成要素。
  3. 前記基板が、半導体材料、セラミック、ガラス、又は複合材料のうちの1つから本質的になる、請求項1に記載の構成要素。
  4. 前記基板が、前記導電ビアのCTEに整合するように調整された、前記基板の平面内での実効CTEを有する複合材料を含む、請求項1に記載の構成要素。
  5. 前記基板が、前記第1表面に隣接する能動デバイス領域を有し、前記平面が、前記能動デバイス領域の下方に位置する、請求項1に記載の構成要素。
  6. 前記平面が、前記能動デバイス領域の1マイクロメートル下方に位置する、請求項5に記載の構成要素。
  7. 前記基板が、前記第1表面に隣接する能動デバイス領域を有し、前記能動デバイス領域内部の少なくとも一部の能動半導体デバイスが、前記開口部の前記最大幅の3倍の、前記開口部からの前記平面内での距離の範囲内に存在する、請求項1に記載の構成要素。
  8. 前記基板が、前記第1表面に隣接する能動デバイス領域を有し、前記能動デバイス領域内部の少なくとも一部の能動半導体デバイスが、前記開口部の前記最大幅の2倍の、前記開口部からの前記平面内での距離の範囲内に存在する、請求項1に記載の構成要素。
  9. 前記基板が、前記第1表面に隣接する能動デバイス領域を有し、前記能動デバイス領域内部の少なくとも一部の能動半導体デバイスが、前記開口部の前記最大幅の1倍の、前記開口部からの前記平面内での距離の範囲内に存在する、請求項1に記載の構成要素。
  10. 前記基板が、前記誘電材料から本質的になる、請求項1に記載の構成要素。
  11. 前記基板が、ガラス又はセラミックから本質的になる、請求項10に記載の構成要素。
  12. 前記基板が、半導体材料から本質的になり、前記誘電材料が、前記開口部内部で前記半導体材料の上に重ね合わされる誘電体層である、請求項1に記載の構成要素。
  13. 前記内壁の一部分が、前記緩和チャネル内部に露出する、請求項1に記載の構成要素。
  14. 前記緩和平面内での、前記内壁からの半径方向での前記緩和チャネルの幅が、5マイクロメートル未満である、請求項1に記載の構成要素。
  15. 前記緩和平面内での、前記内壁からの半径方向での前記緩和チャネルの幅が、1マイクロメートル未満である、請求項1に記載の構成要素。
  16. 前記緩和平面内での、前記内壁からの半径方向での前記緩和チャネルの幅が、0.2マイクロメートル未満である、請求項1に記載の構成要素。
  17. 前記基板の前記第1表面の下方の前記緩和チャネルの深さが、最大で、前記開口部の前記最大幅の2倍である、請求項1に記載の構成要素。
  18. 前記基板の前記第1表面の下方の前記緩和チャネルの深さが、最大で、前記開口部の前記最大幅に等しい、請求項1に記載の構成要素。
  19. 前記基板の前記第1表面の下方の前記緩和チャネルの深さが、最大で、前記開口部の前記最大幅の半分である、請求項1に記載の構成要素。
  20. 前記緩和チャネルが、内側緩和チャネルであり、前記基板が誘電材料を有し、前記誘電材料の第1表面が前記開口部の前記内壁に露出しかつ前記開口部の前記内壁を画定し、前記基板が、前記基板の前記第1表面に隣接しかつ前記誘電材料の前記第1表面とは反対側の前記誘電材料の第2表面に隣接する外側緩和チャネルを有する、請求項1に記載の構成要素。
  21. 前記基板の前記第1表面の下方の前記外側緩和チャネルの深さが、前記基板の前記第1表面の下方の前記内側緩和チャネルの深さよりも大きい、請求項20に記載の構成要素。
  22. 前記外側緩和チャネル内部に配置された誘電材料を更に備える、請求項20に記載の構成要素。
  23. 前記緩和チャネルが、前記導電ビアの材料の一部分によって互いに隔てられた複数の離散的緩和チャネルのうちの、1つの緩和チャネルである、請求項1に記載の構成要素。
  24. 前記複数の離散的緩和チャネルが、総体として、前記導電ビアの前記周囲の少なくとも50%にわたって延在する、請求項23に記載の構成要素。
  25. 前記複数の離散的緩和チャネルが、少なくとも1つのリング形状チャネルを含む、請求項23に記載の構成要素。
  26. 前記緩和チャネルが、前記導電ビアの全周にわたって延在する、請求項1に記載の構成要素。
  27. 前記内壁の一部分が、前記導電ビアの前記全周にわたって、前記緩和チャネル内部に露出する、請求項26に記載の構成要素。
  28. 前記平面内での、前記内壁からの半径方向での前記緩和チャネルの幅が、前記導電ビアの前記周囲にわたって変化する、請求項26に記載の構成要素。
  29. 前記平面が、前記第1表面の5マイクロメートル下方に位置する、請求項1に記載の構成要素。
  30. 前記緩和チャネルが、前記構成要素のBEOL層の上面まで延在する、請求項1に記載の構成要素。
  31. 前記構成要素のBEOL層が、前記緩和チャネルの上に重ね合わされる、請求項1に記載の構成要素。
  32. 前記緩和チャネルが、前記基板の前記第1表面に対して傾斜する、テーパ状の内縁部を画定する、請求項1に記載の構成要素。
  33. 前記緩和チャネル内部に、前記導電ビアに接合されたはんだを更に備える、請求項1に記載の構成要素。
  34. 前記緩和チャネル内部に配置されたポリマーを更に備える、請求項1に記載の構成要素。
  35. 前記ポリマーが、前記導電ビアの材料によって完全に包囲される、請求項34に記載の構成要素。
  36. 前記内壁に隣接して配置されたバリアメタル層を更に備える、請求項1に記載の構成要素。
  37. 前記バリアメタル層の一部分が、前記緩和チャネル内部に露出する、請求項36に記載の構成要素。
  38. 前記導電ビアの上面から延出する導電ポストを更に備える、請求項1に記載の構成要素。
  39. 前記導電ポストが、銅、銅合金、及びニッケルのうちの少なくとも1つから本質的になる、請求項38に記載の構成要素。
  40. 前記導電ポストが、前記緩和チャネルの上には重ね合わされない、請求項38に記載の構成要素。
  41. 前記導電ポストが、テーパ形状を有し、前記導電ポストが、前記導電ビアの前記上面に隣接する前記導電ポストの基底部での第1の幅、及び前記上面から隔たった前記導電ポストの先端部での第2の幅を有し、前記第1の幅及び前記第2の幅が、前記基板の前記第1表面に平行な方向であり、前記第2の幅が前記第1の幅とは異なる、請求項38に記載の構成要素。
  42. 前記導電ポストの少なくとも一部分が、前記基板の前記第1表面に垂直な平面内で曲線状の断面形状を画定する、外側表面を有する、請求項38に記載の構成要素。
  43. 前記導電ビアの上面から延出する、複数の導電ポストを更に備える、請求項1に記載の構成要素。
  44. 前記導電ビアが、前記基板の前記第1表面に平行な平面内で、非円形の断面形状を有する、請求項1に記載の構成要素。
  45. 前記導電ビアが、細長形の断面形状を有し、前記導電ビアが、第1の方向での長さ、及び前記第1の方向を横断する第2の方向での幅を画定し、前記第1の方向及び前記第2の方向が、前記基板の前記第1表面に平行な平面の内部にあり、前記長さが前記幅よりも大きい、請求項44に記載の構成要素。
  46. 前記開口部が、前記第1表面と前記第2表面との間に延在する貫通開口部である、請求項1に記載の構成要素。
  47. 前記開口部が、テーパ形状を有し、前記開口部が、前記第1表面での第1の幅、及び前記第2表面での第2の幅を有し、前記第1の幅及び前記第2の幅が、前記基板の前記第1表面に平行な方向であり、前記第1の幅が前記第2の幅よりも小さい、請求項46に記載の構成要素。
  48. 前記開口部の少なくとも一部分が、前記基板の前記第1表面に垂直な平面内で曲線状の断面形状を画定する表面によって、境界される、請求項47に記載の構成要素。
  49. 前記緩和チャネルが第1緩和チャネルであり前記平面が第1の平面であり、前記導電ビアが、前記第2表面に隣接して前記開口部内部に第2緩和チャネルを更に画定し、前記第2緩和チャネルが、前記第2表面に平行な第2の平面の方向での前記内壁からの第2の距離の範囲内かつ前記第2表面の下方5マイクロメートルの範囲内に縁部を有し、前記第2の距離が、1マイクロメートル及び前記第2の平面内での前記開口部の最大幅の5パーセントのうちの小さいほうであり、前記第2緩和チャネルの前記縁部が、前記内壁の前記周囲の少なくとも5パーセントにわたるように前記内壁に沿って延在する、請求項46に記載の構成要素。
  50. 前記導電ビアが、前記基板の前記第1表面の下方に位置する外側接触表面を有する、請求項1に記載の構成要素。
  51. 前記構成要素が、前記導電ビアに対する外部応力の印加から生じる前記平面内部での前記導電ビア内の応力を、200MPaを下回るまで低減するように構成される、請求項1に記載の構成要素。
  52. 請求項1に記載の構成要素、及び前記構成要素に電気的に接続される1つ以上の追加的電子構成要素を備える、システム。
  53. ハウジングを更に備え、前記構成要素及び前記追加的電子構成要素が、前記ハウジングに実装される、請求項52に記載のシステム。
  54. 構成要素であって、
    対向する第1表面及び第2表面を有する、半導体領域を含む基板と、
    前記第1表面から前記第2表面に向けて前記基板内部に延在する開口部であって、前記第1表面から離れる方向に延在する内壁を有し、前記内壁に無機誘電材料が露出し、前記第1表面に平行な平面の方向で、かつ前記第1表面の下方5マイクロメートルの範囲内に、最大幅を有する、開口部と、
    前記開口部内部に延在する中実の金属導電ビアと、
    前記半導体領域の前記第1表面に隣接する能動デバイス領域と、を備え、前記能動デバイス領域内部の少なくとも一部の能動半導体デバイスが、前記開口部の前記最大幅の3倍の前記平面内での前記内壁からの距離の範囲内に存在する、構成要素。
  55. 前記能動デバイス領域内部の少なくとも一部の能動半導体デバイスが、前記開口部の前記最大幅の2倍の、前記平面内での前記内壁からの距離の範囲内に存在する、請求項54に記載の構成要素。
  56. 前記能動デバイス領域内部の少なくとも一部の能動半導体デバイスが、前記開口部の前記最大幅の1倍の、前記平面内での前記内壁からの距離の範囲内に存在する、請求項54に記載の構成要素。
  57. 前記導電ビアが、前記第1表面に隣接して前記開口部内部に緩和チャネルを画定し、前記緩和チャネルが、前記平面の方向での前記内壁からの第1の距離の範囲内に縁部を有し、前記第1の距離が、1マイクロメートル及び前記平面内での前記開口部の前記最大幅の5パーセントのうちの小さいほうであり、前記縁部が、前記内壁の周囲の少なくとも5パーセントにわたるように前記内壁に沿って延在する、請求項54に記載の構成要素。
  58. 構成要素であって、
    対向する第1表面及び第2表面を有する、半導体領域を含む基板と、
    それぞれが前記第1表面から前記第2表面に向けて前記基板内部に延在する、複数の開口部であって、各開口部が、前記第1表面から離れる方向に延在する内壁、及び前記内壁に露出する無機誘電材料を有し、各開口部が、前記第1表面に平行な平面の方向で、かつ前記第1表面の下方5マイクロメートルの範囲内に、最大幅を有する、複数の開口部と、
    各導電ビアが、前記開口部のうちの対応する1つの内部に延在し、前記複数の導電ビアが、前記導電ビアのうちの任意の2つの隣り合う導電ビアの中心間に、前記平面内での最小ピッチを画定し、前記最小ピッチが、前記隣り合う導電ビアが中に延在する前記開口部のそれぞれの前記最大幅の3倍未満である複数の中実の金属導電ビアとを備える構成要素。
  59. 前記最小ピッチが、前記隣り合う導電ビアが中に延在する前記開口部のそれぞれの前記最大幅の2倍未満である、請求項58に記載の構成要素。
  60. 前記最小ピッチが、前記隣り合う導電ビアが中に延在する前記開口部のそれぞれの前記最大幅の、1.2倍未満である、請求項58に記載の構成要素。
  61. 前記導電ビアのうちの少なくとも一部が、それぞれ前記第1表面に隣接して前記対応する開口部内部に緩和チャネルを画定し、各緩和チャネルが、前記平面の方向での前記対応する内壁からの第1の距離の範囲内に縁部を有し、前記第1の距離が、1マイクロメートル及び前記平面内での前記対応する開口部の前記最大幅の5パーセントのうちの小さいほうであり、前記縁部が、前記内壁の周囲の少なくとも5パーセントにわたるように前記対応する内壁に沿って延在する、請求項58に記載の構成要素。
  62. 構成要素であって、
    対向する第1表面及び第2表面を有する、半導体領域を含む基板と、
    前記第1表面から前記第2表面に向けて前記基板内部に延在する開口部であって、前記第1表面から離れる方向に延在する内壁を有し、無機誘電材料が前記内壁に露出する開口部と、
    前記開口部内部に延在し、かつ前記第1表面に垂直な方向で前記基板の前記第1表面の下方に位置する外側接触表面を有する中実の金属導電ビアと、
    前記外側接触表面で前記導電ビアに接合され、かつ前記基板の前記第1表面の下方で前記開口部内部に延在するはんだと、を備える構成要素。
  63. 前記導電ビアが、前記外側接触表面に隣接して前記開口部内部に緩和チャネルを画定し、前記緩和チャネルが前記第1表面に平行な平面の方向での前記内壁からの第1の距離の範囲内、かつ前記第1表面の下方5マイクロメートルの範囲内に縁部を有し、前記第1の距離が、1マイクロメートル及び前記平面内での前記開口部の最大幅の5パーセントのうちの小さいほうであり、前記縁部が、前記内壁の周囲の少なくとも5パーセントにわたるように前記内壁に沿って延在する、請求項62に記載の構成要素。
  64. 構成要素であって、
    第1表面、前記第1表面とは反対側の第2表面、及び前記第1表面から前記第2表面に向けて延在する開口部を有する基板であって、前記開口部が、前記第1表面から離れる方向に延在する内壁を有する基板と、
    導電ビアであって、前記開口部内部に延在し、かつ前記第1表面に隣接して前記開口部内部に少なくとも1つの毛管チャネルを画定し、前記毛管チャネルのうちの少なくとも1つが、前記第1表面に平行な平面の方向での前記内壁からの第1の距離の範囲内、かつ前記第1表面の下方5マイクロメートルの範囲内に縁部を有し、前記第1の距離が1マイクロメートル及び前記平面内での前記開口部の最大幅の5パーセントのうちの小さいほうであり、前記縁部が、前記内壁の周囲の少なくとも5パーセントにわたるように前記内壁に沿って延在し、各毛管チャネルが5マイクロメートル未満の、前記平面内の前記方向での最大幅を有する導電ビアとを備える構成要素。
  65. 前記少なくとも1つの毛管チャネル内部で前記導電ビアに接合された、はんだを更に備える、請求項64に記載の構成要素。
  66. 前記導電ビアの外側接触表面から延出する、導電ポストを更に備える、請求項64に記載の構成要素。
  67. 前記導電ポストが、前記導電ポストの基底表面から前記導電ポスト内に延出する、少なくとも1つの毛管チャネルを有し、前記構成要素が、前記導電ビアと前記導電ポストとを接合し、かつ前記導電ビア及び前記導電ポストの前記少なくとも1つの毛管チャネル内部に延在するはんだを更に備える、請求項66に記載の構成要素。
  68. 前記はんだが、前記基板の前記第1表面上には延在しない、請求項67に記載の構成要素。
  69. 構成要素の製造方法であって、
    基板内の開口部内部に延在する導電ビアを形成することであって、前記開口部が、前記基板の第1表面から前記第1表面とは反対側の第2表面に向けて延在し、前記開口部が、前記第1表面から離れる方向に延在する内壁を有し、誘電材料が前記内壁に露出する、導電ビアを形成することと、
    前記第1表面に隣接して、前記開口部内部に緩和チャネルを画定するために、前記導電ビアの材料を除去することであって、前記緩和チャネルが、前記第1表面に平行な平面の方向での前記内壁からの第1の距離の範囲内、かつ前記第1表面の下方5マイクロメートルの範囲内に縁部を有し、前記第1の距離が、1マイクロメートル及び前記緩和平面内での前記開口部の最大幅の5パーセントのうちの小さいほうであり、前記縁部が、前記内壁の周囲の少なくとも5パーセントにわたるように前記内壁に沿って延在する、材料を除去することとを含む方法。
  70. 前記基板が、前記第1表面に隣接する能動デバイス領域を有し、前記平面が、前記能動デバイス領域の下方に位置する、請求項69に記載の方法。
  71. 前記基板が、前記誘電材料から本質的になる、請求項69に記載の方法。
  72. 前記基板が、ガラス又はセラミックから本質的になる、請求項71に記載の方法。
  73. 前記基板が、半導体材料から本質的になり、前記導電ビアを形成する前記工程の前に、前記開口部内部の前記基板材料の上に重ね合わされる、前記誘電材料の層を形成することを更に含み、前記誘電体層が、前記開口部の前記内壁を画定する、請求項69に記載の方法。
  74. 前記開口部が、前記第1表面と前記第2表面との間に延在する貫通開口部である、請求項69に記載の方法。
  75. 前記開口部が、テーパ形状を有し、前記開口部が、前記第1表面での第1の幅、及び前記第2表面での第2の幅を有し、前記第1の幅及び前記第2の幅が、前記基板の前記第1表面に平行な方向であり、前記第1の幅が前記第2の幅よりも小さい、請求項74に記載の方法。
  76. 前記開口部の少なくとも一部分が、前記基板の前記第1表面に垂直な平面内で曲線状の断面形状を画定する表面によって、境界される、請求項75に記載の方法。
  77. 前記開口部が、前記基板の等方性エッチングに続く前記基板の異方性エッチングによって形成される、請求項75に記載の方法。
  78. 前記緩和チャネルが第1緩和チャネルであり、前記平面が第1の平面であり、前記方法が、前記第2表面に隣接して、前記開口部内部に第2緩和チャネルを画定するために、前記導電ビアの材料を除去することを更に含み、前記第2緩和チャネルが、前記第2表面に平行な第2の平面の方向での、前記内壁からの第2の距離の範囲内、かつ前記第2表面の下方5マイクロメートルの範囲内に、縁部を有し、前記第2の距離が、1マイクロメートル及び前記第2の平面内での前記開口部の最大幅の5パーセントのうちの小さいほうであり、前記第2緩和チャネルの前記縁部が、前記内壁の前記周囲の少なくとも5パーセントにわたるように、前記内壁に沿って延在する、請求項74に記載の方法。
  79. 前記緩和チャネル内部にポリマー材料を堆積させることを更に含む、請求項69に記載の方法。
  80. 前記ポリマー材料を堆積させる前記工程が、前記ポリマーの外側表面に、前記導電ビアの外側接触表面の一部分が露出するように実行される、請求項79に記載の方法。
  81. 前記導電ビアの前記外側接触表面と接触させて、導電ポストを形成することを更に含む、請求項80に記載の方法。
  82. 前記導電ポストが、前記緩和チャネルのうちの少なくとも1つの上には重ね合わされない、請求項81に記載の方法。
  83. 前記導電ビアの前記外側接触表面と接触させて、複数の導電ポストを形成することを更に含む、請求項80に記載の方法。
JP2015516211A 2012-06-08 2013-06-06 応力が低減されたtsv及びインタポーザ構造体 Active JP6143851B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/492,064 US8772946B2 (en) 2012-06-08 2012-06-08 Reduced stress TSV and interposer structures
US13/492,064 2012-06-08
PCT/US2013/044519 WO2013184921A2 (en) 2012-06-08 2013-06-06 Reduced stress tsv and interposer structures

Publications (2)

Publication Number Publication Date
JP2015525480A true JP2015525480A (ja) 2015-09-03
JP6143851B2 JP6143851B2 (ja) 2017-06-14

Family

ID=49486648

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015516211A Active JP6143851B2 (ja) 2012-06-08 2013-06-06 応力が低減されたtsv及びインタポーザ構造体

Country Status (7)

Country Link
US (3) US8772946B2 (ja)
EP (2) EP3832706A1 (ja)
JP (1) JP6143851B2 (ja)
KR (1) KR102139559B1 (ja)
CN (1) CN104718611B (ja)
TW (3) TWI503938B (ja)
WO (1) WO2013184921A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017112187A (ja) * 2015-12-15 2017-06-22 キヤノン株式会社 貫通配線を有する基板に素子を設けたデバイス及びその製造方法
WO2018079243A1 (ja) * 2016-10-24 2018-05-03 ソニーセミコンダクタソリューションズ株式会社 半導体装置、製造方法、及び、固体撮像装置

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8772946B2 (en) 2012-06-08 2014-07-08 Invensas Corporation Reduced stress TSV and interposer structures
JP6212720B2 (ja) * 2013-09-20 2017-10-18 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
US9607890B1 (en) * 2013-11-18 2017-03-28 Amkor Technology, Inc. Stress relieving through-silicon vias
US10847442B2 (en) * 2014-02-24 2020-11-24 Micron Technology, Inc. Interconnect assemblies with through-silicon vias and stress-relief features
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
KR102444823B1 (ko) 2015-08-13 2022-09-20 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
US9620488B2 (en) * 2015-08-19 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional integrated circuit structure and bonded structure
US9953941B2 (en) * 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9646946B2 (en) 2015-10-07 2017-05-09 Invensas Corporation Fan-out wafer-level packaging using metal foil lamination
DE102016103790B8 (de) * 2016-03-03 2021-06-02 Infineon Technologies Ag Herstellung einer Packung unter Verwendung eines platebaren Verkapselungsmaterials
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US9881867B1 (en) * 2017-01-19 2018-01-30 Nanya Technology Corporation Conductive connection structure having stress buffer layer
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10510679B2 (en) * 2017-06-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with shield for electromagnetic interference
CN112164688B (zh) * 2017-07-21 2023-06-13 联华电子股份有限公司 芯片堆叠结构及管芯堆叠结构的制造方法
TWI766072B (zh) 2017-08-29 2022-06-01 瑞典商斯莫勒科技公司 能量存儲中介層裝置、電子裝置和製造方法
KR102394984B1 (ko) * 2017-09-04 2022-05-06 삼성디스플레이 주식회사 표시 장치 및 표시 장치의 제조 방법
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US10957626B2 (en) 2017-12-19 2021-03-23 Thermo Electron Scientific Instruments Llc Sensor device with carbon nanotube sensor positioned on first and second substrates
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
KR20210009426A (ko) * 2018-06-13 2021-01-26 인벤사스 본딩 테크놀로지스 인코포레이티드 패드로서의 tsv
US10949597B2 (en) * 2018-07-16 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Through-silicon vias in integrated circuit packaging
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11183443B2 (en) * 2019-06-13 2021-11-23 Nanya Technology Corporation Semiconductor structure and method for manufacturing the same
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
CN113964091A (zh) * 2020-07-20 2022-01-21 长鑫存储技术有限公司 半导体装置及其制备方法、三维集成电路
US11296022B2 (en) * 2020-08-25 2022-04-05 Qualcomm Incorporated Package and substrate comprising interconnects with semi-circular planar shape and/or trapezoid planar shape
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
CN114512468A (zh) * 2020-11-16 2022-05-17 长鑫存储技术有限公司 半导体结构及其制作方法
US11972973B1 (en) * 2023-10-04 2024-04-30 Chun-Ming Lin Semiconductor structure and method of manufacturing a semiconductor structure

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007005401A (ja) * 2005-06-21 2007-01-11 Matsushita Electric Works Ltd 半導体装置およびその製造方法
JP2008218689A (ja) * 2007-03-05 2008-09-18 Seiko Epson Corp 半導体装置とその製造方法および電子機器
US20110101531A1 (en) * 2008-05-30 2011-05-05 Nxp B.V. Thermo-mechanical stress in semiconductor wafers
JP2011228419A (ja) * 2010-04-19 2011-11-10 Renesas Electronics Corp 半導体集積回路装置および半導体集積回路装置の製造方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5135606A (en) 1989-12-08 1992-08-04 Canon Kabushiki Kaisha Process for preparing electrical connecting member
JP2004296534A (ja) * 2003-03-25 2004-10-21 Seiko Epson Corp 半導体装置、半導体装置の製造方法、強誘電体メモリ、及び電子機器
US7276787B2 (en) * 2003-12-05 2007-10-02 International Business Machines Corporation Silicon chip carrier with conductive through-vias and method for fabricating same
JP2006019455A (ja) * 2004-06-30 2006-01-19 Nec Electronics Corp 半導体装置およびその製造方法
JP2007067216A (ja) * 2005-08-31 2007-03-15 Sanyo Electric Co Ltd 半導体装置およびその製造方法、回路基板およびその製造方法
WO2008108970A2 (en) 2007-03-05 2008-09-12 Tessera, Inc. Chips having rear contacts connected by through vias to front contacts
US8039960B2 (en) 2007-09-21 2011-10-18 Stats Chippac, Ltd. Solder bump with inner core pillar in semiconductor package
KR20100021856A (ko) * 2008-08-18 2010-02-26 삼성전자주식회사 관통 전극을 갖는 반도체장치의 형성방법 및 관련된 장치
US8704375B2 (en) * 2009-02-04 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier structures and methods for through substrate vias
KR20100109047A (ko) * 2009-03-31 2010-10-08 주식회사 하이닉스반도체 반도체 패키지 제조방법
US8378480B2 (en) 2010-03-04 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy wafers in 3DIC package assemblies
US8148824B2 (en) * 2010-04-16 2012-04-03 Nanya Technology Corp. Semiconductor device with through substrate via
KR20110119290A (ko) * 2010-04-27 2011-11-02 주식회사 하이닉스반도체 반도체 집적회로
US20120049358A1 (en) * 2010-08-24 2012-03-01 Bin-Hong Cheng Semiconductor Device and Semiconductor Process for Making the Same
CN102446886B (zh) * 2010-09-30 2014-10-15 中国科学院微电子研究所 3d集成电路结构及其形成方法
US8227840B2 (en) * 2010-11-24 2012-07-24 Nanya Technology Corp. Integrated circuit device and method of forming the same
JP2012119381A (ja) 2010-11-29 2012-06-21 Toshiba Corp 半導体装置および半導体装置の製造方法
US8519515B2 (en) * 2011-04-13 2013-08-27 United Microlectronics Corp. TSV structure and method for forming the same
US8772946B2 (en) 2012-06-08 2014-07-08 Invensas Corporation Reduced stress TSV and interposer structures

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007005401A (ja) * 2005-06-21 2007-01-11 Matsushita Electric Works Ltd 半導体装置およびその製造方法
JP2008218689A (ja) * 2007-03-05 2008-09-18 Seiko Epson Corp 半導体装置とその製造方法および電子機器
US20110101531A1 (en) * 2008-05-30 2011-05-05 Nxp B.V. Thermo-mechanical stress in semiconductor wafers
JP2011228419A (ja) * 2010-04-19 2011-11-10 Renesas Electronics Corp 半導体集積回路装置および半導体集積回路装置の製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017112187A (ja) * 2015-12-15 2017-06-22 キヤノン株式会社 貫通配線を有する基板に素子を設けたデバイス及びその製造方法
WO2018079243A1 (ja) * 2016-10-24 2018-05-03 ソニーセミコンダクタソリューションズ株式会社 半導体装置、製造方法、及び、固体撮像装置
US11018110B2 (en) 2016-10-24 2021-05-25 Sony Semiconductor Solutions Corporation Semiconductor device, manufacturing method, and solid-state imaging device

Also Published As

Publication number Publication date
TW201620098A (zh) 2016-06-01
CN104718611A (zh) 2015-06-17
WO2013184921A2 (en) 2013-12-12
US20130328186A1 (en) 2013-12-12
US20140217607A1 (en) 2014-08-07
TWI584432B (zh) 2017-05-21
EP2859582B1 (en) 2020-12-16
JP6143851B2 (ja) 2017-06-14
WO2013184921A3 (en) 2014-05-01
US8772946B2 (en) 2014-07-08
US9349669B2 (en) 2016-05-24
KR102139559B1 (ko) 2020-07-30
US20150187673A1 (en) 2015-07-02
EP3832706A1 (en) 2021-06-09
TWI503938B (zh) 2015-10-11
CN104718611B (zh) 2017-07-11
US9000600B2 (en) 2015-04-07
TW201546984A (zh) 2015-12-16
EP2859582A2 (en) 2015-04-15
TWI525764B (zh) 2016-03-11
TW201405739A (zh) 2014-02-01
KR20150021996A (ko) 2015-03-03

Similar Documents

Publication Publication Date Title
JP6143851B2 (ja) 応力が低減されたtsv及びインタポーザ構造体
JP6067679B2 (ja) 多孔質基板内のビア
US10283449B2 (en) Low stress vias
US8723049B2 (en) Low-stress TSV design using conductive particles

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160322

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20161222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170104

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170404

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170417

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170509

R150 Certificate of patent or registration of utility model

Ref document number: 6143851

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250