JP2015211040A - パターン切削用マルチビーム・ツール - Google Patents

パターン切削用マルチビーム・ツール Download PDF

Info

Publication number
JP2015211040A
JP2015211040A JP2015089369A JP2015089369A JP2015211040A JP 2015211040 A JP2015211040 A JP 2015211040A JP 2015089369 A JP2015089369 A JP 2015089369A JP 2015089369 A JP2015089369 A JP 2015089369A JP 2015211040 A JP2015211040 A JP 2015211040A
Authority
JP
Japan
Prior art keywords
field
field boundary
beam shaping
column
sub
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2015089369A
Other languages
English (en)
Other versions
JP6544020B2 (ja
Inventor
プラツグマー エルマー
Elmar Platzgummer
プラツグマー エルマー
ロシュナー ハンス
Hans Loeschner
ロシュナー ハンス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
IMS Nanofabrication GmbH
Original Assignee
IMS Nanofabrication GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by IMS Nanofabrication GmbH filed Critical IMS Nanofabrication GmbH
Publication of JP2015211040A publication Critical patent/JP2015211040A/ja
Application granted granted Critical
Publication of JP6544020B2 publication Critical patent/JP6544020B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • H01J37/3177Multi-beam, e.g. fly's eye, comb probe
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/045Beam blanking or chopping, i.e. arrangements for momentarily interrupting exposure to the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/09Diaphragms; Shields associated with electron or ion-optical arrangements; Compensation of disturbing fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/147Arrangements for directing or deflecting the discharge along a desired path
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • H01J2237/043Beam blanking
    • H01J2237/0435Multi-aperture

Landscapes

  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electron Beam Exposure (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)

Abstract

【課題】複数の平行粒子光学カラムで対象物を露光する帯電粒子マルチビーム処理装置に関する。【解決手段】ビーム成形デバイス512は、サブビームの形状を画定する多数のアパーチャ24を有するアパーチャ・アレイ・デバイス520、選択したサブビーム52が対象物に到達しないように偏光するように構成した偏光アレイ・デバイス530、第1のフィールド境界デバイス510、および、第2のフィールド境界デバイス540を備え、第1のフィールド境界デバイス510と第2のフィールド境界デバイス540のうち少なくとも1つは、ビーム成形デバイス512内にフィールド・フリー空間区間h1の境界を画定し、フィールド・フリー空間区間h1は、偏光アレイ・デバイス530を制御する供給ラインを収容するように構成される。【選択図】図5A

Description

本発明は、対象物の加工(具体的にはナノパターニング若しくは半導体リソグラフィ)又は対象物の検査のためのプログラム可能な帯電粒子マルチビーム装置に関する。より詳細には、本発明は、(Z方向に沿って)平行に配置しそれぞれの粒子ビームを対象物に向けて誘導するように構成した複数の粒子光学カラムを備える、複数の荷電粒子ビームで対象物を露光するための帯電粒子マルチビーム処理装置であって、各粒子光学カラムは、照光系、ビーム成形デバイス及び投影光学系を備える、帯電粒子マルチビーム処理装置に関する。照光系は、それぞれのビームを生成し、このビームを、成形手段を照光する(好ましくは実質的にテレセントリックな)ビームにするように働く。ビーム成形デバイスは、照光ビームの形状を多数のサブビームから構成される所望のパターンにするように構成され、アパーチャ・アレイ・デバイス及び偏光アレイ・デバイスを含む。アパーチャ・アレイ・デバイスは、多数のアパーチャを備え、前記アパーチャのそれぞれは、対象物に向かう名目上の経路を有するそれぞれのサブビームの形状を画定し、偏光アレイ・デバイスは、選択したサブビーム(のみ)をそれぞれの名目上の経路から偏光するので、選択したサブビームは対象物に到達せず、残りのサブビームは、対象物に画像化される所望のパターンを表す。投影光学系は、成形手段内で画定したビーム形状の画像を対象物上に投影するように働く。更に、本発明は、そのような帯電粒子マルチビーム処理装置のカラム内で使用するビーム成形デバイス(パターン画定デバイスとも呼ぶ)であって、荷電粒子の照光ビームによって照射され、照光ビームの形状を、多数のサブビームから構成される所望のパターンにするように構成したビーム成形デバイスにも関する。
この種類のマルチカラム(又は「多軸」)構成は、本出願人の特許文献1及び特許文献2に記載されている。
本出願人は、以下のような場合等の、帯電粒子マルチビーム・リソグラフィ及びナノパターニングの分野並びに関連技術に適切ないくつかの解決策及び技法を開発している:イオン・マルチビームを生成するCHARPAN(帯電粒子ナノパターニング)を使用する場合、並びに電子マルチビームを生成するeMET(電子マルチビーム・マスク露光ツール)又はマスク描画用MBMW(マルチビーム・マスク・ライタ)、及び基板、具体的にはシリコン・ウェハ上への直接描画リソグラフィのための電子マルチビームを生成するPML2(投影マスクレス・リソグラフィ)を使用する場合。この関係で、本出願人の名前の関連特許文献は、特許文献3、特許文献1、特許文献4、特許文献2及び特許文献5である。
図1は、マルチカラム帯電粒子光学装置2用真空筐体10、対象物チャンバ3を有するマルチカラム・ライタ・ツール1の概略断面図を示し、対象物チャンバ3の上にマルチカラム帯電粒子光学装置がカラム・ベースプレート4により組み付けられる。対象物チャンバ3内にあるのは、X−Yステージ5、例えばレーザ干渉計で制御された空気軸受真空ステージであり、空気軸受真空ステージの上に、適切な取扱い系を使用して基板チャック6が載置される。好ましくは静電チャックであるチャック6は、シリコン・ウェハ等の基板7を保持する。帯電粒子マルチビーム・リソグラフィに関して、基板は、例えば電子又はイオン・ビーム感光性レジスト層8で被覆される。
マルチカラム光学装置2は、複数のサブカラム9を備える(示すカラムの数は、より良く明快にするように図では減らしてあり、現実の実装形態でマルチカラム装置内に存在するかなり多数のカラムを表す)。好ましくは、サブカラム9は、同一の機構を有し、相互に平行な軸で並べて設置される。各サブカラムは、電子又はイオン供給源11a、抽出系11b、及び広いテレセントリックな帯電粒子ビームをパターン画定デバイス(PDD)12に送出する静電多電極集光光学装置11cを含む照光系11、並びに3つのレンズを備える縮小帯電粒子投影光学装置16を有し、パターン画定デバイス(PDD)12は、サブビーム(「ビームレット」)の形状を画定する複数のアパーチャを通るビームのみを通すように構成され、このサブビームが前記アパーチャ(ビーム成形デバイス)を透過する。図示の実施形態では、第1のレンズは加速型静電多電極レンズ16aである一方で、第2のレンズ16b及び第3のレンズ16cは、特に電子を使用する場合、両方とも磁気レンズであるか、又は特許文献1で概説するように例えば粒子がイオンである場合、静電レンズである。
投影帯電粒子光学装置16の加速型第1のレンズは、低い運動エネルギー(例えば5keV)の粒子でPDD12を動作させる一方で、縮小投影光学装置の交差部では高いビーム・エネルギー(例えば50keV)を与えるという重要な利点をもたらし、したがってクーロン相互作用の確率を最小にする。更に、基板での高いビーム・エネルギーは、対象物、具体的には帯電粒子感光層8を露光する際に帯電粒子の前方散乱を低減するのに有益である。
投影光学装置の第1のレンズは第1の交差部を形成する一方で、第2のレンズは第2の交差部を形成する。各サブカラムのこの位置には、PDD内で偏光したビームをフィルタ除去するように構成した停止プレート15がある。サブカラムの第3のレンズ16c及び停止プレート15は、適切な締結手段18によりカラム・ベースプレート4上に組み付けた基準プレート17上に組み付けられる。基準プレート17の上に組み付けるのは、位置合せ軸外し光学系の部品19である。
基準プレートは、酸化ケイ素又は酸化アルミニウムベースのセラミック材料等の熱膨張が少ない適切な基材から製作され、このセラミック材料は、重量がわずかで、弾性係数が高く、熱伝導率が高いという利点を有するものであり、少なくとも基準プレートの関連部品を導電性コーティングにより適切に被覆して(静電気を流出可能にすることによって)帯電を防止できるようにする。
図2の縦断面詳細でわかるように、従来技術によるPDD12は、連続構成で積み重ねた3つのプレート:アパーチャ・アレイ・プレート20(AAP)、偏光アレイ・プレート30(DAP、ブランキング・アレイ・プレートとも呼ぶ)及びフィールド境界アレイ・プレート40(FAP)を備える。用語「プレート」は、それぞれのデバイスの全体形状を指すものであり、単一プレート構成要素が通常は実装形態の好ましい様式であるにもかかわらず、プレートを単一プレート構成要素として実現することを必ずしも示すわけではないことに留意する価値がある。更に、いくつかの実施形態では、AAP等の「プレート」はいくつかのサブプレートから構成できる。プレートは、好ましくは、Z方向に沿って互いに平行に、ある相互距離で配置される。
AAP20の平坦な上面は、集光光学装置11に対して画定された電位界面を形成する。AAPは、例えば薄肉中心部22を有する一枚の正方形又は長方形シリコン・ウェハ(約1mmの厚さ)21から作製できる。プレートは、導電性保護層23によって被覆でき、この導電性保護層23は、水素イオン又はヘリウム・イオンを使用する場合(米国特許第6,858,118号での方策)は特に有利となる。電子又は重イオン(例えばアルゴン又はキセノン)を使用する場合、層23も21及び22それぞれの表面区分によってもたらされるシリコンであってもよく、それにより層23とバルク部品21/22それぞれとの間に界面がなくなる。
AAP20は、薄肉部22を横切る開口によって形成した複数のアパーチャ24を備える。図示の実施形態では、アパーチャ24が実現され、このアパーチャ24は、開口の下方出口25がアパーチャ24の主要部よりも広いように層23内に製作した真直ぐな外形及びAAP20のバルク層の「後退」(retrograde)外形を有する。真直ぐな外形及び後退外形の両方とも、反応性イオン・エッチング等の最新構造化技法で製作できる。後退外形は、開口を通るビームのミラー帯電効果を強力に低減する。
DAP30は、複数の開口33を備えるプレートであり、この開口33の位置は、AAP20のアパーチャ24の位置と対応し、開口33は個々のサブビームを偏光するように構成した電極35、38を備え、個々のサブビームはDAP30のそれぞれの経路から開口33を選択的に通過する。DAP30は、例えばASIC回路を有するCMOSウェハを後処理することによって製作できる。DAP30は、例えば一枚の正方形又は長方形形状を有するCMOSウェハから作製され、中心部32を保持する枠を形成する肉厚部31を備え、この中心部32は、薄肉になっている(しかし適切には22の厚さと比較するとより厚くてもよい)。中心部32のアパーチャ開口33は、24と比較するとより広い(例えば各側で約2μm)。CMOS電子機器34は、MEMS技法により実現される電極35、38を制御するために使用される。各開口33に隣接して、「接地」電極35及び偏光電極38が備えられる。接地電極35は、電気的に相互接続され、共通の接地電位に接続され、帯電を防止する後退部36、及びCMOS回路への不要なショートカットを防止する隔離区分37を備える。接地電極35は、CMOS回路34のこれらの部品に接続でき、これらの部品は、シリコン・バルク部分31及び32と同じ電位にある。
偏光電極38は、静電位を選択的に印加するように構成され、そのような静電位が電極38に加えられると、電界が発生し、対応するサブビームへの偏光を生じさせ、サブビームをその名目上の経路から偏光させる。電極38も同様に、帯電を防止するための後退区分39を有することができる。電極38のそれぞれは、その下側部でCMOS回路34内のそれぞれの接触部位に接続される。
接地電極35の高さは、ビーム間のクロストーク効果を抑制するために偏光電極38の高さよりも高い。
FAPとして働く第3のプレート40は、縮小帯電粒子投影光学装置16の第1のレンズ部の下流に面する平坦面を有し、したがって投影光学装置の第1のレンズ16aに対して画定された電位界面を実現する。FAP40の肉厚部41は、シリコン・ウェハの一部から作製され、薄肉中心区分42を有する正方形又は長方形の枠である。FAP40は、AAP20及びDAP30の開口24、33に対応する複数の開口43を備えるが、AAP20及びDAP30の開口24、33と比較するとより広い。
PDD12及び具体的にはPDD12の第1のプレート、AAP20は、幅広帯電粒子ビーム50によって照光され(本明細書における「幅広」ビームとは、ビームがAAP内に形成したアパーチャ・アレイの領域全体を覆うほど十分に広いことを意味する)、このようにして幅広帯電粒子ビーム50は、アパーチャ24を通って送出されるとマイクロメートルの大きさの何千ものビーム51に分割される。ビーム51は、妨げられることなくDAP及びFAPを横断することになる。
既に述べたように、偏光電極38がCMOS電子機器により電力供給されると常に、偏光電極と対応する接地電極との間に電界が発生することになり、通過するそれぞれのビーム52に小さいが十分な偏光がもたらされる(図2)。偏光されたビームは、開口33及び43それぞれが十分に広く作製されているので妨げられることなくDAP及びFAPを横断できる。しかし、偏光されたビーム52は、サブカラムの停止プレート15でフィルタ除去される(図1)。したがって、DAPによって影響を受けないビームのみが基板に到達することになる。
縮小帯電粒子光学装置16の縮小率は、ビームの寸法及びPDD12内でのビームの相互距離、並びに対象物での構造の所望の寸法を考慮して適切に選択される。この縮小率は、PDDではマイクロメートルの大きさのビームを可能にする一方で、基板上ではナノメートルの大きさのビームが投影される。
AAPにより形成された(影響を受けない)ビーム51の集団は、投影帯電粒子光学装置の予め定義した縮小率Rで基板に投影される。したがって、基板では、幅がそれぞれBX=AX/R及びBY=AY/Rを有する「ビーム・アレイ・フィールド(BAF)」が投影され、式中、AX及びAYは、X及びY方向それぞれに沿ったアパーチャ・アレイ・フィールドの大きさを示す。基板での個々のサブビームのビームの大きさは、それぞれbX=aX/R及びbY=aY/Rによって示され、式中、aX及びaYは、X及びY方向それぞれに沿って測定したDAP30の段階でのビーム51の大きさを示す。
図2(並びに以下の類似の図面)に示した個々のビーム51、52は、かなりより多数、典型的には何千ものサブビームを表し、2次元X−Yアレイに配置されることに留意する価値がある。出願人は、例えば、数千もの(例えば262,144本)プログラム可能なビームを有するイオン並びに電子マルチビーム・カラムに関してR=200の縮小率を有するマルチビーム帯電粒子光学装置を実現した。出願人は、基板で約82μm×82μmのビーム・アレイ・フィールドを有するそのようなカラムを実現している。こうした例は説明の目的で述べており、限定例として解釈すべきではない。
図2で概説した構成を使用して、上記の種類の多数のサブカラムが、最先端の集積回路デバイス製造用基板として使用される300mmシリコン・ウェハ等の基板の領域内に適合するような直径を有するサブカラムを実装する。450mmのシリコン・ウェハ・サイズに適した、193nm液浸光学リソグラフィ・ツール、EUVリソグラフィ・ツール及びナノインプリント・リソグラフィ・ツールの開発が進行中である。スループットの損失なしに、本明細書で提示するマルチカラム構成は、対応するより多数のサブカラムを提供することによって、450mm直径のシリコン・ウェハ・サイズ等あらゆる他のウェハの大きさに容易に適合できる。
集積回路、特にマイクロプロセッサの最近の進歩は、新規なリソグラフィ、蒸着及びエッチング技法のみならず、革新的な回路設計によっても可能になった。最も強力な革新は、Yan Borodovsky「EUV、EBDWはARFの代替又は発展形態であるか?(EUV,EBDW- ARF Replacement or Extension?)」、KLA−Tencorリソグラフィ・ユーザ・フォーラム、2010年2月21日、米国カルフォルニア州San Jose、及び「MPプロセッサのためのMP処理(MPProcessing for MPProcessors)」SEMATECHマスクレス・リソグラフィ及びマルチビーム・マスク・ライタ・ワークショップ、2010年5月10日、米国ニューヨーク州New Yorkによって記載されたように、2次元回路設計から1次元回路設計へ進展したことであった。この目的で、通常の線パターンは、例えば193nm液浸(水浸)光学リソグラフィ、層蒸着及びエッチングのステップを使用して製作し、次に「相補的リソグラフィ」露光、続いてエッチングのステップを実施して、通常の線パターン内に所望の構造化線パターンによる切削が生じる。
今日まで、13.5nm波長ベースのEUV(極端紫外線)リソグラフィの開発は遅れており、したがって2015年には、193nm液浸光学リソグラフィによる切削パターンを露光する必要があると思われる。この技法に対する最小ピッチは、約80nmである。したがって、4つの異なるマスクで切削パターンを露光する必要がある(前掲書中のYan Borodovsky、KLA−Tencorリソグラフィ・ユーザ・フォーラムを参照)。したがって、EUVリソグラフィの状況では、1つのマスクのみでマスク上の切削パターンを露光可能にする新たな技法に対するニーズがある。このように、EUVリソグラフィは10nm論理ノードでの製造準備ができていない可能性があるにもかかわらずEUVリソグラフィに対する継続的な強い産業上の関心がある。更に、EUVリソグラフィには克服すべき大きな障害があり、そのために、半導体産業は、切削パターン露光に適した代替リソグラフィの可能性にますます本格的に興味をもつようになっている。ナノインプリント・リソグラフィは1つの可能性であるが、マスタ・テンプレート製作、スタンプ・レプリカの実用寿命、スタンプの欠陥検査及び修復、並びにインプリンティング中に欠陥が発生する可能性等のいくつかの困難がある。別の代替形態としては、電子マルチビーム直接描画が、サブ10nm解像度能力を提供しマスクを必要としないのでますます産業上の注目を集めつつある。
米国特許第7,214,951号 米国特許第8,183,543号 米国特許第7,199,373号 米国特許第8,304,749号 米国特許第8,222,621号
Yan Borodovsky「EUV、EBDWはARFの代替又は発展形態であるか?(EUV,EBDW- ARF Replacement or Extension?)」、KLA−Tencorリソグラフィ・ユーザ・フォーラム、2010年2月21日、米国カルフォルニア州San Jose 「MPプロセッサのためのMP処理(MPProcessing for MPProcessors)」SEMATECHマスクレス・リソグラフィ及びマルチビーム・マスク・ライタ・ワークショップ、2010年5月10日、米国ニューヨーク州New York
明らかに、上記したマルチカラムの配置は、マルチカラム装置のDAP30内の多数の偏光デバイスを適切に制御するために、多数のデータ及び制御信号を入力信号としてDAPに供給することを必要とする。更に、偏光デバイスを読み出すための更なる制御ラインが出力信号を与えるために存在することがある。DAPのこれらの入出力ラインを「データ経路」と呼ぶ。図1に示すもの等の本発明の基礎をなすマルチカラム装置の配置は、帯電粒子光学カラムが一緒に近接して位置決めされる小型の構成であり、このことは、対象物上でパターンの描画、構造化を効率的に実施する能力に関して有利である。しかし、この小型構成は、複数のサブカラムまでのデータ経路アクセスを提供することを非常に困難にする。このことは、小型構成のサブカラムが、必要とするデータ・ラインを通すことができる空間をわずかしかサブカラムの間に残さないためである。この問題を更に悪化させるのは、データ経路を形成するデータ・ラインが、各アパーチャ・フィールドに対してデータをアパーチャの各列に同時に供給しなければならないので相当な数になるということである。したがって、本発明の目的は、高度に最適化したレンズ要素の光学特性の改変−つまり、その光学特性を低下させる可能性が高い−を必要とせずに、サブカラムのPDD側からデータ経路のアクセス可能性を提供することである。
この目的は、ビーム成形デバイス、及びそのようなビーム成形デバイス構成を組み込んだ帯電粒子マルチビーム処理装置によって満たされ、ビーム成形デバイスは、
−ビームが横断するそれぞれのビーム成形デバイスの最初の要素としての第1のフィールド境界デバイスであって、照光系の方に向けた第1の面を有する第1のフィールド境界デバイス、
−ビームが横断するそれぞれのビーム成形デバイスの最後の要素としての第2のフィールド境界デバイスであって、投影光学系の方に向けた第2の面を有する第2のフィールド境界デバイスを備え、
第1のフィールド境界デバイス及び/又は第2のフィールド境界デバイスは、それぞれのビーム成形デバイス内にフィールド・フリー空間区間を画定−即ち境界を画定し、このフィールド・フリー空間区間は、それぞれのビーム成形デバイスの偏光アレイ・デバイスを制御する供給ライン(即ちデータ経路ライン)を収容するように構成される。フィールド・フリー空間区間は、それぞれの第1のフィールド境界デバイス又は第2のフィールド境界デバイスと、ビーム成形デバイスの連続する構成要素デバイスとの間に形成した少なくとも1つのフィールド・フリー空間を含み、この連続する構成要素デバイスは、好ましくは上述したアパーチャ・アレイ・デバイス又は偏光アレイ・デバイス等、ビーム成形デバイスのプレート形状構成要素である。本明細書で「連続する構成要素デバイス」とは、ビーム成形デバイス内の関連するフィールド境界デバイスに隣接するが、ある距離で位置する構成要素デバイスを意味すると理解されたい。
この解決策は、小型構成のマルチカラムを維持しながらデータ経路アクセスに十分な空間をもたらす。小型構成は、高スループットを達成するための鍵である。本発明は、フィールド・フリー空間内で、偏光アレイ・デバイスとは別個に及び可能性としてはビーム成形デバイスの他の構成要素デバイスとも別個に、データ経路アクセスを実現する供給ラインを収容可能にする。更に、本発明は、PDDの効果的な冷却を促進してPDDを狭い許容範囲内の所望の温度で保持する。
本発明の有利な発展形態によれば、供給ライン(即ちデータ経路ライン)を、フィールド・フリー空間区間内でZ方向に対して多数の高さレベルで入れることができる。このことは、ラインが所与のX−Y面内で必要とする空間を減少させ、したがって空間の必要性を低下させる。
本発明の別の可能な態様は、サブビーム及びデータ経路ラインを互いに対して遮蔽する遮蔽管を備えることができる。したがって、各遮蔽管は、それぞれのビーム成形デバイス内のそれぞれのフィールド・フリー空間に位置でき、それぞれのビーム成形デバイスを横断するビームを完全に囲む。遮蔽管は、ビームを磁気的及び/又は電気的に遮蔽可能にするのに適した材料から作製される。遮蔽管の形状は、通常、全体がZ方向と同軸の円筒又は角柱形状であり、これらの形状には、円形断面、楕円形断面、多角形断面、及び丸みを帯びた角を有する正方形又は長方形等、丸みを帯びた多角形断面の形状を含む。
本発明の特に有利な1つの発展形態では、第1のフィールド境界デバイス及び/又は第2のフィールド境界デバイスは、それぞれのビーム成形デバイスの他の構成要素に対して(Z方向に沿って)ある距離で配置され、それぞれのパターン画定デバイス内の電界に対してフィールド・フリー空間をもたらすようにするものであり、この距離の寸法は、供給ラインを収容するのに十分であるように選択される。
1つの典型的な実装形態では、第1のフィールド境界デバイスは、機能の分離を可能にし、損耗した構成要素の交替工程を容易にするように、それぞれのカラムのアパーチャ・アレイ・デバイスとは別個のデバイスとなる。しかし、第1のフィールド境界デバイスは、それぞれのカラムのアパーチャ・アレイ・デバイスによって実現でき、このことは構成要素の数を低減することになる。
本発明の適切な実装形態によれば、第1のフィールド境界デバイス及び第2のフィールド境界デバイスは、全体がプレート形状の構成要素として実現され、更に、Z方向に沿って互いに平行に、ある相互距離で配置できる。
本発明の別の有利な発展形態では、DAPは異なるZ位置(「階層」)で位置できる。この場合、カラムの第1のフィールド境界デバイスは、Z方向に対して均一な第1の高さで配置され、カラムの第2のフィールド境界デバイスは、均一な第2の高さで配置される。各カラムに関して、それぞれの偏光アレイ・デバイスを含むブランキング・ユニットは、それぞれのカラムに隣接するカラムのブランキング・ユニットの高さレベルとは異なる高さレベルで配置される。したがって、ブランキング・ユニット/偏光アレイ・デバイスは、第1の高さと第2の高さとの間のいくつかの高さレベルで配置される。
第1のフィールド境界デバイス及び第2のフィールド境界デバイスは、それぞれのカラムのアパーチャ・アレイ・デバイスのアパーチャに対応するそれぞれの開口アレイを含むことになる。有利には、第1のフィールド境界デバイス及び第2のフィールド境界デバイスの第1の面及び第2の面は、照光系及び/又は投影系と相対して明快な境界面を画定するように、それぞれの開口アレイを除いて平坦とすることができる。
以下、図面を参照しながら本発明をより詳細に説明する。図面は、例として示す本発明のいくつかの実施形態を例示し、本発明の適切な実装形態を表すが、本発明に対する限定として解釈すべきではない。図面は概略的に示される。
マルチカラム・ライタ・ツールの概略断面図である。 図1のツールのカラムのうち1つのPDDの縦断面図である。 対象物に対するカラムの第1の構成の部分平面図である(長方形構成)。 カラムの第2の構成の図である(ひし形構成)。 本発明の第1の実施形態を組み込んだマルチカラム・ライタ・ツールの断面図である。 第1の実施形態によるPDDの図である。 図5Aに示す種類のいくつかのPDDがどのように図4のツール内に平行に配置されるかを示す図である。 第2の実施形態によるPDDの図である。 更なる実施形態によるPDDの図である。 図5Bの線8A−8Aに沿った長方形構成のいくつかのPDDの断面詳細図である。 図8AのPDDに似ているがひし形構成のPDDの断面詳細図である。 PDDがZ−ジグザグ(Z−staggered)構成のAAP−DAPパッケージを有する別の実施形態の図である。 図9のAAP−DAPパッケージのうち1つの縦断面図である。 図10のAAP−DAPパッケージの平面図である。 Z−ジグザグ構成の一階層にあるAAP−DAPパッケージの相互構成を示す図である。 Z−ジグザグ構成の一階層にあるAAP−DAPパッケージの相互構成を示す図である。 Z−ジグザグ構成の一階層にあるAAP−DAPパッケージの相互構成を示す図である。 Z−ジグザグ構成の一階層にあるAAP−DAPパッケージの相互構成を示す図である。
以下で示す実施形態は、ミックス・アンド・マックス(mix−and−max)・リソグラフィで使用するパターン切削用マルチビーム・ツールに関し、このミックス・アンド・マックス・リソグラフィでは、基板(例えばシリコン・ウェハ)が例えば長さDX及び幅DY(典型的にはDX=33mm、DY=26mm)を有するダイ・フィールドを有する193nm液浸スキャナ・ツールで露光される。1つのダイ・フィールドは、いくつかのチップを含むことができ、典型的にはそのようになる。実施形態は限定するものではなく、したがって本発明は他の構成及び適用例にも同様に言及できる。本開示内の用語「上側」、「下側」、及び「上部」、「底部」のような関連用語は、「垂直」軸に沿って下方に進むと思われるビームの方向に関すると理解されたい。この垂直軸は、X方向及びY方向が交差するZ方向(縦方向)と同一視される。
本発明に適した小型サブカラム構成60及び70の例は、対象物の平面に対する構成の平面詳細を示す図3A及び図3Bそれぞれに示す。図3Aでは、アパーチャ・アレイ・フィールド62を有する1つのサブカラム61(象徴的に円で表す)をそれぞれ使用して1つのダイ・フィールド領域63(異なる様式の網掛けで示す)を露光する「長方形」配置、したがって、ダイ・フィールドの相互構成を投影するカラムの相互構成を示す。図3Bは、アパーチャ・アレイ・フィールド72を有する1つのサブカラム71をそれぞれ使用して2つのダイ・フィールド領域73を露光する「ひし形」構成のカラムを示し、2つの隣接するカラム間の距離は、単一ダイ・フィールドの対角線に対応する。
DX=33mm及びDY=26mmであると仮定すると、図3Aの場合、サブカラム61の直径は約24mmであり、アパーチャ・アレイ・フィールド62の大きさは約8.2mm×8.2mmである一方で、図3Bの場合、サブカラム71の直径は約40mmであり、アパーチャ・アレイ・フィールド72は約16.4mm×16.4mmである。アパーチャの周期を16μmであると仮定すると、アパーチャ・アレイ・フィールド62は512×512=262,144本のビームを実現できる一方で、アパーチャ・アレイ・フィールド72は1024×1024=1,048,576本のビームを実現できる。
一変形形態では、アパーチャ・アレイ・フィールドは、対応する正方形アパーチャ・アレイ・フィールドと好ましくは同じ対角長さを有する長方形であるように選択することもできる。
上述のように、DAP(又は概してカラムのビーム成形デバイス)のためのデータ及び制御信号を含む多数の入力信号、並びに偏光デバイス及び他の制御センサからの出力信号は、DAP(ビーム成形デバイス)への及びそこからのデータ経路として供給する必要がある。しかし、小型構成のサブカラムはデータ経路に関する空間の問題を生じさせる。本発明は、この問題を解決する、即ち、PDDの最初及び最後の構成要素として第1のフィールド境界デバイス及び第2のフィールド境界デバイスを備えることによって、更なる空間をPDDデバイスの構成内に供給するものであり、第1のフィールド境界デバイス及び第2のフィールド境界デバイスは、照光系11及び投影光学系16それぞれの電磁界に対するフィールド境界として働く。したがって、第1のフィールド境界デバイス及び第2のフィールド境界デバイスは、これらの間に「フリー・ドリフト(free drift)領域」を画定し、このフリー・ドリフト領域は粒子光学系11及び16の高い電界及び磁界から保護される。しかし、この「フリー・ドリフト領域」はDAP内の偏光デバイスの横断的なフィールドを含むが、当然ながらこのことは局所的で限定的な空間の延在であることに留意されたい。具体的には、フィールド境界デバイスの1つ又は両方を、ある実質的な距離でPDDの先行及び/又は後続のプレート構成要素に対して位置決めし、ビームが妨害されずに進行できるフィールド・フリー空間を生じさせ、これにより粒子光学カラム9の側からDAPに向かって進入する十分なデータ経路101のアクセスの可能性を与える空間をもたらす。
図4は、本発明の第1の実施形態を図1に対応する断面図で示す。装置100は、フリー・ドリフト領域FFを集光光学装置11と射影光学装置16との間に備える。このフリー・ドリフト領域FFでは、データ経路ライン及び局所的偏光デバイスを保護するように帯電粒子光学系11及び16の(高い)電界から遮蔽される。更に、フリー・ドリフト領域FFは、図1の単一PDD112の高さと比較するとかなり増大した高さを有する。大きなフリー・ドリフト領域FFは、有利にはデータ経路101内に含まれる多数のラインに関係なく、十分なデータ経路101のアクセスの可能性を提供する。データ経路101は、真空係止部103を通って真空チャンバ10’内に入るいくつかのライン束102を備え、データ経路/ライン束の真空部分104は、それぞれのPDD112に及び、データを個々のカラム9のPDDに供給する。
データ経路は、例えば従来技術で公知の光ファイバ及び/又は電線構成要素(例えばフラットバンド・ケーブル)から構成する。本発明に適切な光データ経路の実装形態は、A.Paraskevopoulos等の論文、「将来のマスクレス・リソグラフィ用途に十分に適合するスケーラブルな(24〜140Gbps)光データ・リンク(Scalable(24-140Gbps)optical data link,well adapted for future maskless lithography applications)」Proc.SPIE Vol.7271、72711 I(2009年)に記載されている。これらの技法は、特に、以下でより詳細に概説するように、DAPに対しワイヤボンディングを使用するのではなくフリップチップボンディング等の適切な接続を使用して現代のパッケージング技法と組み合わせることができる。
図5Aは、図4の装置100のビーム成形デバイス112の1つとして働くPDD構成512の一実現形態を縦断面詳細図で示す。AAP520及びDAP530に加えて、ビーム方向に沿って見ると、更なるプレート510がPDD構成512の第1のプレート(即ち一番上のプレート)として備えられ、プレート540がPDD構成512の一番下のプレートとして備えられる。プレート510は、本発明による第1のフィールド境界デバイスを実現し、第1の又は上部フィールド境界アレイ・プレートと呼び、tFAP(又は上部FAP)と省略する。同様に、プレート540は、本発明による第2のフィールド境界デバイスを実現し、第2の又は底部フィールド境界アレイ・プレートと呼び、bFAP(又は底部FAP)と省略する(「底部」はPDDの最も下のプレートとしての位置を指す)。図5Aに示す実施形態では、tFAP510は、AAP520及び続くDAP530並びにbFAP540に対して相当な距離h1にある。tFAP510及びbFAP540は、それらの間、より正確にはFAP510、540の外側に面する面513と面514との間にフリー・ドリフト領域F1を画定する。好ましくは、面513、514は、多数のサブビーム57としての照光ビーム50aをPDD構成512に通して通過させるようにプレートに設けられたアパーチャ開口511、541を除いて平坦である。tFAP510のアパーチャ開口511は、アパーチャ開口511がAAP520のアパーチャ24よりも大きいという条件下、bFAP540の対応するアパーチャ開口541と同じ大きさ又は同様の大きさを有することができる。したがって、tFAPによって形成したサブビーム50aは、個々のサブビーム51、52の最終的な形状を画定するAAPのアパーチャ開口24の大きさと比較するとより大きい。
フリー・ドリフト領域F1の増大した高さにより、以下でより詳細に説明するようにDAP530への十分なデータ経路104アクセスが可能になる。大きな距離h1は、2つの続いているプレート、ここではtFAP510とAAP520との間に存在する。この距離h1は、一方ではアパーチャ・フィールド領域内のサブビーム50aのためのフィールド・フリー空間をもたらし、他方ではサブビーム領域の外側(即ちカラムの間)にDAP530に供給するデータ経路ライン104のための十分な空間を提供する。矢印104はデータ経路束の象徴であり、このデータ経路束は、1つ又は複数の高さレベルでPDD構成に進入し、対応するAAPを通過して(AAPの外側縁部を迂回及び/又はAAP内に設けられた貫通孔を横断して)それぞれのDAPに入る。DAPの偏光デバイスは、図5Aに示すように下流に向けるか、又は本発明による構成に影響を与えなければ上流に(図7を参照)向けることができる。
距離h1によって形成したフィールド・フリー空間区間は、機械構成要素によって妨害されない、光学カラム内部の真空引きした空間領域である。したがって、フィールド・フリー空間区間は、光学カラムの軸付近の帯電粒子のビーム経路に適しており、帯電粒子が横断しない軸外し領域の供給ラインを収容するのに適している。フィールド・フリー空間は、その名前が暗示するように、本質的に電磁界のない空間、具体的には技術的に生じる電磁界がない空間である。後者−即ち技術的に生じる電磁界がない空間−は、FAPフィールド境界デバイスにより達成される。上述のように、bFaP及びtFAPは、共通の静電位(通常は基準接地電位)で保持され、その結果、これらの2つのプレート形デバイスの間の空間又は「間隔」には、特に帯電粒子光学系11及び16の静電界を含めて、技術的に生じる静電界がない。
磁界等の他のフィールドは、それらの供給源(磁性材料、電流を通す導電要素)を回避することによってなくす。更に、磁界遮蔽管120を備えることができ、この磁界遮蔽管120は、距離h1によってtFAP510とAAP520との間に形成したフィールド・フリー空間内に位置決めされ、この領域を横断するサブビーム50aを囲む。管120は、約1mmの厚さを有するミューメタル等の磁気遮蔽に適した材料から製造される。管120の形状は、好ましくは適切な断面形状から得られる円筒又は角柱形状であり、ビームに平行であるようにZ方向に沿って延在する。この磁界遮蔽管120は、以下でより詳細に説明するように、サブカラム間のビームのクロストークを回避するのに役立つ。他の実施形態(図示せず)は、磁界遮蔽管を用いずに実現できる。
図5Bは、図5Aに示す種類のいくつかのPDD構成を装置100内の並列配置で示す。tFAPは、共通ベースプレート131上に組み付けられる。ベースプレート131は、基準プレートの基材と同様のものから製造され、個々のカラム9の位置で穴130を備えるマルチカラム・デバイスの全幅に延在する。同様にして、bFAPは、好ましくは同じ基材から製造した共通ベースプレート132上に組み付けられる。AAP及びDAPは、第2のベースプレート132の場合、ベースプレートの内面のそれぞれの位置上に同様に組み付けられる。データ経路は、可能性としては異なる高さレベルで1つ又は複数の部分104内で個々のDAP530に供給され、このことは、本発明のおかげで改良された空間状況を示す。また、図5Bは、遮蔽管120をPDD構成内に取り付ける1つの可能な実現形態を示し、この場合、各管120は、その上端で、適切な取付けデバイス123によりベースプレート131の対応する穴130の内側縁部に固定される。
ベースプレート131、132は、それらの上に組み付けたプレート510、520、530、540の温度を制御する方法として冷却系を更に含むことができる。冷却系は、例えばベースプレート内に形成したいくつかの真空気密冷却剤ラインにより実現でき、このラインは、冷却剤供給器に接続される。
図6は、AAP620がtFAP610の近傍にある一方でDAP630は、bFAP640とAAP620との間に(好ましくはAAP620に近接して)位置決めされ、大きな距離h2をbFAP640の上に残す、PDD構成612の別の実施形態を示す。同じく、磁界遮蔽管120が備えられ、この場合、磁界遮蔽管120は、大きな距離h2によってDAPとbFAPとの間に形成したフィールド・フリー空間に位置する。データ経路104は、遮蔽管120外側の大きな距離によって形成した空間を介してDAPに供給される。
図7は、AAP710が第1のプレートであり、そのために本発明の第1のフィールド境界デバイスも実現する、PDD構成712の更なる実施形態を示す。したがって、この場合、フリー・ドリフト領域F2は、AAP710の上側面713とbFAP740の下側面との間に形成される。この場合、面713に形成したAAP710のアパーチャ711は、サブビーム57の形状を画定する。他のプレートのアパーチャ開口、具体的にはbFAP740の対応するアパーチャ開口741は、適切にはアパーチャ711よりも広い。データ経路104は、この場合、大きな距離h2’によってDAP730とbFAP740との間に画定される空間を介して供給される。
PDD構成の各変形形態では、DAPの向きは、接地電極35及び偏光電極38を下流に向けた状態(図2及び図5Aに示す「倒立」方向)にすることができるか、又は電極を上流に向けた状態(「直立」方向、図7を参照)にすることができ、向きは各ケースで適切とみなしたものを選択できる。例えば接地電極及び偏光電極を埋込した更なるDAP構成を当業者は容易に考案できる(米国特許第8,198,601B2号等本出願人の名前の他の特許を参照)。
図8Aは、図5Bの線8A−8Aによって示す横断面に沿っていくつかの隣接するカラムのPDDの断面図を示す。図8Aに示す構成80は、カラムの長方形配置を実現する(図3Aを参照)。磁界遮蔽管120の形状は、必要に応じて選択でき、好ましくは適切な断面形状を有し、ビームに対して平行であるようにZ方向に沿って延在する。例えば、管の断面形状は、管の製作を容易にするように丸みを帯びた内側角を有する四角形であってもよい。この形状は、管120がサブビーム集団81(アパーチャ・アレイ・フィールド)に近い距離で位置決めされることを可能にする。アパーチャ・アレイ・フィールドが長方形である場合、磁界管の断面は、有利には(丸みを帯びた)長方形とすることができる。管120の内側面とサブビーム集団81との間の距離は、例えば約0.5から1.0mmまでとすることができる。
図8Bは、いくつかのカラムがひし形配置である変形構成80’(図3Bを参照)を図8Aに類似した断面図で示す。管129は、それぞれのサブビーム集団81’を囲んで適切に成形され、例えば内側角が丸みを帯びた四角形状の断面を有する。
以上のことから、フリー・ドリフト領域F1、F2及び具体的には距離h1、h2によってもたらされるフリー・フィールド空間がサブカラムのビーム81とビーム81’との間に相当な空間を提供し、このことが、側部から個々のカラム及びカラム内のDAPへのデータ経路アクセスを可能にする十分な空間を与えることは明らかであろう。対照的に、従来技術のPDDでは、プレート構成要素AAP、DAP及びFAPは、サブビームが偏移する可能性を回避するために密に詰めて配置され、このことが従来技術PDDのデータ経路アクセスを困難にしている。照光系の集光光学構成要素は、(図3A及び図3Bに示す円に対応する)円形形状を有し、効率的なスループットで要求される小型配置のカラム内で互いに非常に近接することになる。したがって、カラムの照光系は、上流側からPDD系への十分なデータ経路アクセスを妨げる。同じ考慮事項は、必要な変更を加えて投影系にも該当し、下流側からのデータ経路アクセスを妨げる。本発明は、tFAP及びbFAPの提供によりPDD系内に更なる空間をもたらすことによってこの問題を解決するものである。
各カラムのDAPは、それぞれのPDD構成のブランキング・ユニットに位置する。最も単純なケースでは、ブランキング・ユニットは、DAPのみで表され、ブランキング・ユニットは、PDD構成、例えばそれぞれのAAPの更なるプレート構成要素を含むことができる。本発明の有利な変形形態によれば、単一カラムのPDD構成のAAP及びDAPは、互いに近接して位置決めされ、ブランキング「パッケージ」又は単に「パッケージ」と呼ばれる構造ユニット200内に組み付けられる(図10及び図11)。パッケージは、FAPに対してそれぞれの距離で配置され、この距離は十分なデータ経路アクセスを与えるように適切に選択される。
本発明の別の態様は、ビームに対して横断的なPDD構成の幅のために起こり得る問題に対処する。というのは、PDD構成の幅が、図5Bに示すようにPDDを並べて配置できないほど大きい場合があるためである。この問題をなくすために、本態様は、カラムのPDDのブランキング・ユニット(ビーム成形デバイス)がそれぞれのFAPに対して1つの均一距離で位置決めされるのではなく、異なるブランキング・ユニットが様々なZレベルで位置決めできるように位置決めされることを提供する。(明らかに、本態様は上記で説明したパッケージの実装形態と組み合わせることができる。)これにより、Z−ジグザグ構成がもたらされる。このZ−ジグザグ構成は、いくつかのカラムのPDDのPDD配置912を概略縦断面で示す図9に示される。やはり、少数のカラムのみを示すが、マルチカラム装置内に存在するかなり多数のカラムを表す。
図9で示す例は、各サブカラムが長方形構成のカラムに従って1つのダイ・フィールドを露光するサブカラム構成に関する(図3Aを参照)。フィールド・フリー領域F3は、Z方向に沿って連続する順序でいくつかの区分、本例では5つの区分に分割され、この5つの区分は、PDD構成のプレート構成要素のためのいくつかの階層、本例では6つの階層T0・・・T5を画定する。具体的には、隣接するカラムのDAPは、異なる階層にわたって分配される。したがって、Z−ジグザグ構成は、各DAPの周囲で利用可能な、データ経路アクセスのためのかなり増大した空間を達成する。
階層T0では、各サブカラムのtFAPユニット110は、共通ベースプレート301上に組み付けられる。ベースプレート301は、適切な基材から製作され、好ましくは弾性係数が高く熱伝導率が高い材料が選択される。このことは、ベースプレート301を最新技術の技法で冷却し、それゆえ所望の温度で正確に保持できることを可能にする。更に、ベースプレート301は、少なくともその関連部分で導電性コーティングにより適切に被覆して蓄積した静電気を流出可能にし、帯電効果を回避するようにする。更に、磁気遮蔽管302は、各サブカラムに対して、階層T0からT1に及ぶ区分を横断する適切な取付けデバイス313によりベースプレート301に組み付けられる。
階層T1には、いくつかのAAP/DAPパッケージ200が備えられる。パッケージ200は、各カラムにつき1つずつ、穴310を備える共通ベースプレート311上に組み付けられる。このベースプレート311も同様に冷却、所望の温度で正確に保持でき、帯電効果を回避するために導電層で被覆できる。磁界遮蔽管312は、穴310にそれぞれの取付けデバイス313により組み付けられ、取付けデバイス313を横断し、それぞれのカラムのビームを囲む。
同様に、階層T2、T3及びT4では、更なるパッケージ200は、それぞれのベースプレート321、331、341上に組み付けられ、これらのベースプレートは冷却、所望の温度で正確に保持できる。図9では、階層T2及びT4は、パッケージ200を含まないように見えるが、実際にはこれらの階層はジグザグ構成のために他の垂直面にパッケージを含んでおり、図12A〜図12Dで以下に示す説明から明らかになるであろう。各階層では、磁界遮蔽管322、332、342は、1つの区間からそれぞれのベースプレートの穴を通って次の区間に及ぶように組み付けられる。
磁気遮蔽管の効果を更に増大させるために、管は、管が先行する管から連続するソケット304を備えることができ、パッケージ200がそれぞれの場所に存在しない場合は常に次の管との良好な結合を保証するようにする。ソケット304は、カラムを通るビームに対しほとんどシームレスな磁気遮蔽を達成する役割を果たし、したがってサブカラム間のクロストークを回避する。
最後に、最も下の階層T5にはbFAP140が存在する。bFAP351は、適切な取付けデバイス353により(図5Bのベースプレート132と対応する)共通ベースプレート351上に組み付けられる。磁界遮蔽管352は、適切な磁気遮蔽がbFAPまで降りていることを保証するように設けることができる。ベースプレート351も同様に冷却でき、所望の温度で正確に保持できる。
データ経路ライン(図9には示さない)は、階層T0・・・T5の間に形成した区間の十分な空間を通って、サブビームが横断する領域の外側(即ち遮蔽管が実装される場合はこれらの外側)の各区間内に延在する。
図10及び図11は、図9の構成の1つのPDDの「パッケージ」200を縦断面図及び平面図のそれぞれで示す。パッケージ200は、AAP320及びDAP330を含み、PCBとして実現できる支持板240上に組み付ける(支持板240は次にそれぞれの階層のベースプレート241上に位置決めされる)か、又は(図示しない)変形形態では前記ベースプレートに直接組み付ける。2つの連続する遮蔽管302a、302bは、ビーム50a、57を囲む。
DAP330は、CMOS電子機器212を有するシリコン・チップ211から構成されるインターポーザ210に接合される。接合接点213は、DAPの電子機器212からCMOS回路34に電気接点をもたらす。最新技術のインターポーザ及びパッケージング技法の適切な実装形態は、H.Y.Li等、「異種機能を集積化するシリコン貫通インターポーザ技術(Through−Silicon Interposer Technology for Heterogeneous Integration)」、Future Fab Intl.、45号(2013年4月25日)に記載されている。
インターポーザ210は、電子機器212の外側領域上に更なる接点214を更に備え、この更なる接点214の上にデータ経路アクセスのための受信器デバイス220が組み付けられる。受信器デバイス220は、データ経路アクセス104が光ビームを介して得られる場合は例えばフォトダイオード・アレイ223を有する光学受信器チップ221として実現される。(例えばリボンケーブルを介する)電気的アクセスの場合、受信器デバイス220は、マルチワイヤ・コネクタを含むことができる。受信器デバイス220の上部から底部配線層225までの電気接続は、TSV(シリコン貫通ビア)224を介して可能であり、デバイス220が接合接点214で接合、電気接続できることを可能にするようにする。
既に述べたように、ベースプレート241は、冷却、所望の温度で保持できる。更に、本発明の更なる実施形態では、各PDDに対して冷却デバイス230を真空気密チャンバ231に備えることができ、この真空気密チャンバ231は、冷却流体が真空気密チャンバ231を通って誘導されるように構成され、冷却流体とは、好ましくは低粘度であるが高い熱容量を有する冷却液(例えば脱イオン水)又は冷却ガス(例えばヘリウム)等である。真空気密で可撓性の冷却媒体アクセス(図示せず)は、冷却液又は冷却ガスをチャンバ231に通すために使用される。この目的に適切な冷却デバイス、関連部品及び冷却剤流体は、従来技術から周知である。
AAP320とDAP330との間には直接の機械的接続はない。代わりに、AAP320は、図10に概略的に示す機械的デバイス250上に組み付けられる。各AAP/DAPパッケージ200に関して、それぞれのAAP320は、パッケージ200を支持板240に組み付けマルチビーム・マルチカラム系に挿入する前に、それぞれのDAP330に対して精密に位置決めされることになる。代替的に、出願人及び米国特許第8,546,767号の主題が既に実現しているように、AAP320は、例えばデバイス250の構成要素として備えられた圧電ドライブによりX、Y位置及び/又は回転の精密位置決めに対する調節が現場ででき、幅広ビーム50aによる照光時にAAPアパーチャが生成したサブビームがDAP330の対応するアパーチャ開口33を全て通過することを保証するようにする。
一変形形態では、冷却手段をAAPにも同様に備えることができる。しかし、マルチビーム・マルチカラム系に関してAAPの冷却は不要であることが予期される。図7による、AAP20の上面が幅広ビーム50によって照光される構成を使用する場合であっても、200:1の縮小で投影光学装置を使用する場合(i)ビーム50のエネルギーが低い(例えば5keV)ために、また(ii)AAPにおける電流密度は基板における電流密度の40,000分の1しかないことにより、電力負荷は低い。基板におけるマルチビーム・マルチカラム系の電流密度は、(電子を使用する場合)8A/cmよりも低い(これはイオンを使用する場合よりもはるかに低い)。したがって、AAPでの電流密度は、<0.2mA/cmであり、対応する電力負荷<1W/cmは、AAPの温度をわずか数度上昇させるにすぎない。アパーチャ・アレイ・フィールドの対応する膨張は小さく、基板におけるビーム・アレイ・フィールド62、72の大きさを電気的に調節することによって補償できる。DAPのアパーチャ開口33は、AAPのアパーチャ開口24よりも広いので、ビームがDAPのアパーチャ開口33を通過するのを妨げないように十分な許容差がある。
図11の平面図からわかるように、AAP−DAPパッケージ200は、ベースプレート241上に組み付けられる。磁界遮蔽管302aは、AAP320のアパーチャ・アレイ・フィールド62の真上で終端する。隣接するカラムの遮蔽管402は、図11でも同様に見ることができる。DAP330は、AAP320の下に位置決めされ、上記したインターポーザ210上に組み付けられる。有利には、4つの受信器デバイス220をDAP330の正方形(長方形)形状の各側に1つずつ備えることができる。更に、更なる部材及び/又は論理チップ260をインターポーザ210上に置いて備えることができる。磁界遮蔽管402は、取付け構成要素403によりベースプレート241で組み付けられる。
図11に見られるように、支持板240上に更なる空間がある場合があり、この空間は更なるメモリ・チップ及び論理チップ並びに光データ経路の更なるデバイスを収容するために使用できるが、本発明の一部を形成するものではない。
図12Aから図12Dは、階層T1からT4までのそれぞれの一連の部分平面図を示し、線A−A、B−B、C−C及びD−Dそれぞれにより図9に示す平面に沿ったそれぞれの断面に対応する。各部分平面図は、X及びY座標に関する同じ位置におけるいくつかのカラムの領域(6DX×5DY)を示す。パッケージ200は、X方向に2×DX及びY方向に2×DYの周期で各階層内に配置されるが、連続する階層間にはDX及び/又はDYに沿ってオフセットがある。これらの図面の組合せから、各階層では、パッケージ200は直に隣接するカラムの各対に関してパッケージが異なる階層にあるように位置決めされることは明らかであろう。
本発明の更なる実施形態では、AAP/DAP−パッケージ200は、支持板240内の4つの45°開口271によって構成されるキネマティック・マウント270によりベースプレート241上に位置決めできる。ベースプレート241には4つの45°ソケット404があり、そのうち3つの中に位置決めピン405が挿入される。DAP CMOS層及び受信器デバイスの電子機器内で発生する熱が引き起こし得る支持板240の熱膨張は、DAPのX、Y位置及び回転位置を変更することにならない。上述のように、シリコン・インターポーザ210の下には、支持板240の温度変化があれば最小にするために冷却デバイス230があってもよい。
7 対象物
9 粒子光学カラム
11 照光系
16 投影光学系
24 アパーチャ
100 帯電粒子マルチビーム処理装置
101 供給ライン
112 ビーム成形デバイス
120 遮蔽管
510 第1のフィールド境界デバイス
520 アパーチャ・アレイ・デバイス
530 偏光アレイ・デバイス
540 第2のフィールド境界デバイス

Claims (10)

  1. Z方向に沿って平行に配置しそれぞれの粒子ビームを対象物(7)に向けて誘導するように構成した複数の粒子光学カラム(9)を備える、複数の荷電粒子ビームで前記対象物(7)を露光するための帯電粒子マルチビーム処理装置(100)であって、前記各粒子光学カラムは:
    −照光系(11)、
    −ビーム成形デバイス(112、512、712、912)及び
    −投影光学系(16)を備え、
    前記照光系(11)は、それぞれのビームを生成し、前記ビームを、前記成形手段を照光する実質的にテレセントリックなビームにするように構成され、前記ビーム成形デバイス(112、512、712、912)は、前記照光ビームの形状を多数のサブビームから構成される所望のパターンにするように構成され、前記投影光学系(16)は、前記成形手段で画定した前記ビーム形状の画像を前記対象物(7)上に投影するように構成され、
    前記各ビーム成形デバイス(112、512、712、912)は、
    −アパーチャ・アレイを備えるアパーチャ・アレイ・デバイス(520、720、320)であって、前記アパーチャ・アレイは、多数のアパーチャ(24)を備え、前記多数のアパーチャ(24)のそれぞれは、前記対象物(7)に向かう名目上の経路を有するそれぞれのサブビームの形状を画定する、アパーチャ・アレイ・デバイス(520、720、320)、並びに
    −選択した前記サブビームが前記対象物に到達しないように、選択した前記サブビームを前記それぞれの名目上の経路から偏光するように構成した偏光アレイ・デバイス(520、730、330)を含み、
    前記各ビーム成形デバイス(112、512、712、912)は、
    −前記ビームが横断する前記それぞれのビーム成形デバイスの最初の要素としての第1のフィールド境界デバイス(510、710、110)であって、前記照光系(11)の方に向けた第1の面(513、713)を有する第1のフィールド境界デバイス(510、710、110)、
    −前記ビームが横断する前記それぞれのビーム成形デバイスの最後の要素としての第2のフィールド境界デバイス(540、740、140)であって、前記投影光学系(16)の方に向けた第2の面(514、714)を有する第2のフィールド境界デバイス(540、740、140)を備え、
    前記第1のフィールド境界デバイス及び前記第2のフィールド境界デバイスのうち少なくとも1つは、前記それぞれのビーム成形デバイス(112、512、712)内にフィールド・フリー空間区間(h1,h2)の境界を画定し、前記フィールド・フリー空間区間は、前記それぞれのビーム成形デバイスの前記偏光アレイ・デバイスを制御する供給ライン(101、104)を収容するように構成される、帯電粒子マルチビーム処理装置(100)。
  2. 前記カラムの前記偏光アレイ・デバイスのための前記供給ライン(101、104)は、前記Z方向に対して多数の高さレベルで前記フィールド・フリー空間区間内に分配される、請求項1に記載の装置。
  3. 遮蔽管(120、129)を更に備える、請求項1又は2に記載の装置であって、前記各遮蔽管は、前記それぞれのビーム成形デバイス(512、712、912)内の前記それぞれのフィールド・フリー空間に位置し、全体が前記Z方向と同軸の円筒又は角柱形状を有し、前記それぞれのビーム成形デバイス(512、712、912)を横断する前記ビーム(50a、57、81)を完全に囲み、前記遮蔽管は、前記ビームを磁気的及び/又は電気的に遮蔽可能にするのに適した材料から作製される、装置。
  4. 前記第1のフィールド境界デバイス及び前記第2のフィールド境界デバイスのうち少なくとも1つは、前記それぞれのビーム成形デバイス(512、712)の他の構成要素に対して前記Z方向に沿ってある距離(h1、h2)で配置されて、前記それぞれのパターン画定デバイス内の電界に対してフィールド・フリー空間を実現する、請求項1から3のうちいずれか一項に記載の装置。
  5. 前記カラムのうち少なくとも1つのうちの前記第1のフィールド境界デバイス(510、610、110)は、前記それぞれのカラムの前記アパーチャ・アレイ・デバイス(520、620)とは別個のデバイスである、請求項1から4のうちいずれか一項に記載の装置。
  6. 前記カラムのうち少なくとも1つのうちの前記第1のフィールド境界デバイス(710)は、前記それぞれのカラムの前記アパーチャ・アレイ・デバイスである、請求項1から5のうちいずれか一項に記載の装置。
  7. 前記第1のフィールド境界デバイス及び前記第2のフィールド境界デバイスは、全体がプレート形状の構成要素として実現され、前記Z方向に沿って互いに平行に、ある相互距離で配置される、請求項1から6のうちいずれか一項に記載の装置。
  8. 前記カラムの前記第1のフィールド境界デバイス(110)は、前記Z方向に対して均一な第1の高さ(T0)で配置され、
    前記カラムの前記第2のフィールド境界デバイス(140)は、前記Z方向に対して均一な第2の高さ(T5)で配置され、
    前記それぞれのビーム成形デバイス(912)の前記偏光アレイ・デバイスは、前記第1の高さと前記第2の高さとの間のいくつかの高さレベル(T1・・・T4)で配置され、前記各カラムに関して、前記それぞれの偏光アレイ・デバイスを含むブランキング・ユニット(200)は、前記それぞれのカラムに隣接するカラムのブランキング・ユニットの高さレベルとは異なる高さレベルで配置される、請求項1から7のうちいずれか一項に記載の装置。
  9. 前記第1のフィールド境界デバイス及び前記第2のフィールド境界デバイス(510、540;710、740)は、前記それぞれのカラムの前記アパーチャ・アレイ・デバイス(510、710)の前記アパーチャ(24)に対応するそれぞれの開口アレイ(511、541;711、741)を含み、前記第1の面及び前記第2の面は、前記それぞれの開口アレイ(511、541;711、741)を除いて平坦である、請求項1から8のうちいずれか一項に記載の装置。
  10. 請求項1から9のうちいずれか一項に記載の、対象物(7)を露光するための帯電粒子マルチビーム処理装置(100)に使用するビーム成形デバイス(112、512、712、912)であって、前記ビーム成形デバイス(112、512、712、912)は、荷電粒子の照光ビームによって照射され、前記照光ビームの形状を多数のサブビームから構成される所望のパターンにするように構成され、
    前記各ビーム成形デバイス(112、512、712、912)は、
    −アパーチャ・アレイを備えるアパーチャ・アレイ・デバイス(520、720、320)であって、前記アパーチャ・アレイは多数のアパーチャ(24)を備え、前記多数のアパーチャ(24)のそれぞれは、前記対象物(7)に向かう名目上の経路を有するそれぞれのサブビームの形状を画定する、アパーチャ・アレイ・デバイス(520、720、320)、並びに
    −選択したサブビームが前記対象物に到達しないように、前記選択したサブビームを前記それぞれの名目上の経路から偏光するように構成した偏光アレイ・デバイス(520、730、330)を含み、
    前記ビーム成形デバイス(112、512、712、912)は、
    −前記ビームが横断する前記ビーム成形デバイスの最初の要素としての第1のフィールド境界デバイス(510、710、110)であって、前記照光系(11)の方に向けた第1の面(513、713)を有する第1のフィールド境界デバイス(510、710、110)、
    −前記ビームが横断する前記ビーム成形デバイスの最後の要素としての第2のフィールド境界デバイス(540、740、140)であって、前記投影光学系(16)の方に向けた第2の面(514、714)を有する第2のフィールド境界デバイス(540、740、140)を備え、
    前記第1のフィールド境界デバイス及び前記第2のフィールド境界デバイスのうち少なくとも1つは、前記ビーム成形デバイス(112、512、712)内に前記ビーム成形デバイス(112、512、712)内にフィールド・フリー空間区間(h1,h2)の境界を画定し、前記フィールド・フリー空間区間は、前記ビーム成形デバイスの前記偏光アレイ・デバイスを制御する供給ライン(101、104)を収容するように構成される、ビーム成形デバイス(112、512、712、912)。
JP2015089369A 2014-04-25 2015-04-24 パターン切削用マルチビーム・ツール Active JP6544020B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP14165970 2014-04-25
EP14165970.6 2014-04-25

Publications (2)

Publication Number Publication Date
JP2015211040A true JP2015211040A (ja) 2015-11-24
JP6544020B2 JP6544020B2 (ja) 2019-07-17

Family

ID=50543507

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015089369A Active JP6544020B2 (ja) 2014-04-25 2015-04-24 パターン切削用マルチビーム・ツール

Country Status (3)

Country Link
US (1) US9443699B2 (ja)
EP (1) EP2937889B1 (ja)
JP (1) JP6544020B2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10388488B2 (en) 2017-06-02 2019-08-20 Nuflare Technology, Inc. Multi charged particle beam drawing apparatus and multi charged particle beam drawing method
US10854424B2 (en) 2019-02-28 2020-12-01 Kabushiki Kaisha Toshiba Multi-electron beam device

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2913838B1 (en) 2014-02-28 2018-09-19 IMS Nanofabrication GmbH Compensation of defective beamlets in a charged-particle multi-beam exposure tool
EP3358599B1 (en) 2014-05-30 2021-01-27 IMS Nanofabrication GmbH Compensation of dose inhomogeneity using row calibration
JP6890373B2 (ja) 2014-07-10 2021-06-18 アイエムエス ナノファブリケーション ゲーエムベーハー 畳み込みカーネルを使用する粒子ビーム描画機における結像偏向の補償
US9568907B2 (en) 2014-09-05 2017-02-14 Ims Nanofabrication Ag Correction of short-range dislocations in a multi-beam writer
US9653263B2 (en) 2015-03-17 2017-05-16 Ims Nanofabrication Ag Multi-beam writing of pattern areas of relaxed critical dimension
EP3096342B1 (en) 2015-03-18 2017-09-20 IMS Nanofabrication AG Bi-directional double-pass multi-beam writing
US10410831B2 (en) 2015-05-12 2019-09-10 Ims Nanofabrication Gmbh Multi-beam writing using inclined exposure stripes
US9673017B1 (en) * 2015-11-20 2017-06-06 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Housing device for magnetic shielding, housing arrangement for magnetic shielding, charged particle beam device, and method of manufacturing a housing device
US10325756B2 (en) 2016-06-13 2019-06-18 Ims Nanofabrication Gmbh Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer
US10325757B2 (en) 2017-01-27 2019-06-18 Ims Nanofabrication Gmbh Advanced dose-level quantization of multibeam-writers
US10522329B2 (en) 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids
JP7286630B2 (ja) 2017-10-02 2023-06-05 エーエスエムエル ネザーランズ ビー.ブイ. 荷電粒子ビームを用いた装置
US10651010B2 (en) 2018-01-09 2020-05-12 Ims Nanofabrication Gmbh Non-linear dose- and blur-dependent edge placement correction
US10840054B2 (en) 2018-01-30 2020-11-17 Ims Nanofabrication Gmbh Charged-particle source and method for cleaning a charged-particle source using back-sputtering
US11099482B2 (en) 2019-05-03 2021-08-24 Ims Nanofabrication Gmbh Adapting the duration of exposure slots in multi-beam writers
KR20210132599A (ko) 2020-04-24 2021-11-04 아이엠에스 나노패브릭케이션 게엠베하 대전 입자 소스
KR20230065267A (ko) * 2020-09-07 2023-05-11 에이에스엠엘 네델란즈 비.브이. 전자기 차폐부를 포함하는 전자-광학 조립체
EP3982391A1 (en) * 2020-10-08 2022-04-13 ASML Netherlands B.V. Electron-optical assembly comprising electromagnetic shielding
JP2023554272A (ja) * 2020-12-22 2023-12-27 エーエスエムエル ネザーランズ ビー.ブイ. 一次電子ビームをサンプルに向ける方法及び電子光学カラム
EP4095882A1 (en) 2021-05-25 2022-11-30 IMS Nanofabrication GmbH Pattern data processing for programmable direct-write apparatus
US20230015805A1 (en) 2021-07-14 2023-01-19 Ims Nanofabrication Gmbh Electromagnetic Lens
US20230052445A1 (en) * 2021-08-12 2023-02-16 Ims Nanofabrication Gmbh Beam Pattern Device Having Beam Absorber Structure
JP2023166336A (ja) 2022-05-09 2023-11-21 アイエムエス ナノファブリケーション ゲーエムベーハー シャント装置を有する調節可能永久磁石レンズ
JP2024012118A (ja) 2022-07-15 2024-01-25 アイエムエス ナノファブリケーション ゲーエムベーハー 温度制御要素を有する調節可能永久磁石レンズ

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001075948A1 (fr) * 2000-04-04 2001-10-11 Advantest Corporation Appareil d'exposition a des faisceaux multiples a objectif a plusieurs axes, objectif a plusieurs axes de focalisation de faisceaux d'electrons, et procede de fabrication de dispositifs semi-conducteurs
JP2004282038A (ja) * 2003-02-28 2004-10-07 Canon Inc 偏向器、偏向器を製造する方法、偏向器を適用した荷電粒子線露光装置
JP2005108842A (ja) * 2003-09-30 2005-04-21 Ims Nanofabrication Gmbh 粒子光学静電レンズ
JP2005129944A (ja) * 2003-10-20 2005-05-19 Ims Nanofabrication Gmbh 帯電粒子マルチビーム露光装置
JP2006013388A (ja) * 2004-06-29 2006-01-12 Canon Inc 電子線露光装置、および、デバイス製造方法
JP2008112999A (ja) * 2006-10-30 2008-05-15 Ims Nanofabrication Ag 荷電粒子露光装置
JP2008530737A (ja) * 2005-02-11 2008-08-07 アイエムエス ナノファブリケーション エージー 静電ゾーンプレートを備える荷電粒子曝露
JP2009032691A (ja) * 2007-07-24 2009-02-12 Ims Nanofabrication Ag マルチビーム源
JP2010123958A (ja) * 2008-11-17 2010-06-03 Ims Nanofabrication Ag マスクなし粒子ビーム露光のための方法

Family Cites Families (120)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1033741A (en) 1911-02-08 1912-07-23 Bona Sims Armored tread for pneumatic tires.
US1420104A (en) 1921-05-10 1922-06-20 Edward W Howe Brush-block-boring machine
US1903005A (en) 1930-11-20 1933-03-28 Gen Motors Corp Oil pump screen
US2187427A (en) 1937-09-11 1940-01-16 Leslie H Middleton Dashboard fuse mounting
US2820109A (en) 1952-03-22 1958-01-14 Cgs Lab Inc Magnetic amplifier
US2920104A (en) 1958-07-01 1960-01-05 Vanderbilt Co R T Stabilized solutions of a dithiocarbamate
DE2302938C3 (de) 1973-01-22 1979-07-12 Polymer-Physik Gmbh & Co Kg, 2844 Lemfoerde Mehrstufiger Beschleuniger für geladene Teilchen mit Hochvakuumisolation
US4467211A (en) 1981-04-16 1984-08-21 Control Data Corporation Method and apparatus for exposing multi-level registered patterns interchangeably between stations of a multi-station electron-beam array lithography (EBAL) system
JPH0628231B2 (ja) 1985-07-09 1994-04-13 富士通株式会社 電子ビ−ム露光方法
EP0289885A1 (de) 1987-05-08 1988-11-09 Siemens Aktiengesellschaft Blendensystem zur Erzeugung mehrerer Teilchensonden mit veränderbarem Querschnitt
US5103101A (en) 1991-03-04 1992-04-07 Etec Systems, Inc. Multiphase printing for E-beam lithography
KR950002578B1 (ko) 1991-03-13 1995-03-23 후지쓰 가부시끼가이샤 전자빔 노광방법
GB9107207D0 (en) 1991-04-05 1991-05-22 Tycho Technology Ltd Mechanical manipulator
US5369282A (en) 1992-08-03 1994-11-29 Fujitsu Limited Electron beam exposure method and system for exposing a pattern on a substrate with an improved accuracy and throughput
JP3206143B2 (ja) 1992-10-20 2001-09-04 富士通株式会社 荷電粒子ビーム露光方法
JP3437306B2 (ja) 1995-02-01 2003-08-18 富士通株式会社 荷電粒子ビーム露光方法及び装置
US5841145A (en) 1995-03-03 1998-11-24 Fujitsu Limited Method of and system for exposing pattern on object by charged particle beam
US6229595B1 (en) 1995-05-12 2001-05-08 The B. F. Goodrich Company Lithography system and method with mask image enlargement
JP3565652B2 (ja) 1996-04-25 2004-09-15 富士通株式会社 荷電粒子ビーム露光装置用透過マスク及びそれを利用した露光装置
JP3335845B2 (ja) 1996-08-26 2002-10-21 株式会社東芝 荷電ビーム描画装置及び描画方法
US6225637B1 (en) 1996-10-25 2001-05-01 Canon Kabushiki Kaisha Electron beam exposure apparatus
US5847959A (en) 1997-01-28 1998-12-08 Etec Systems, Inc. Method and apparatus for run-time correction of proximity effects in pattern generation
US5876902A (en) 1997-01-28 1999-03-02 Etec Systems, Inc. Raster shaped beam writing strategy system and method for pattern generation
JP3085454B2 (ja) 1997-03-13 2000-09-11 日本電気株式会社 荷電粒子線露光方法
JP3787417B2 (ja) 1997-06-11 2006-06-21 キヤノン株式会社 電子ビーム露光方法及び電子ビーム露光装置
AU747317B2 (en) 1997-12-17 2002-05-16 International Coatings Limited Powder coating process
US6552353B1 (en) 1998-01-05 2003-04-22 Canon Kabushiki Kaisha Multi-electron beam exposure method and apparatus and device manufacturing method
US6014200A (en) 1998-02-24 2000-01-11 Nikon Corporation High throughput electron beam lithography system
SE9800665D0 (sv) 1998-03-02 1998-03-02 Micronic Laser Systems Ab Improved method for projection printing using a micromirror SLM
US6043496A (en) 1998-03-14 2000-03-28 Lucent Technologies Inc. Method of linewidth monitoring for nanolithography
JP2000056960A (ja) 1998-08-13 2000-02-25 Ricoh Co Ltd ユーザインターフェイス装置
US6252339B1 (en) 1998-09-17 2001-06-26 Nikon Corporation Removable bombardment filament-module for electron beam projection systems
US6111932A (en) 1998-12-14 2000-08-29 Photoelectron Corporation Electron beam multistage accelerator
JP2000252198A (ja) 1999-03-02 2000-09-14 Advantest Corp 荷電ビーム露光装置
JP2000260686A (ja) 1999-03-08 2000-09-22 Toshiba Corp 露光方法及び露光装置
KR100339140B1 (ko) 1999-04-28 2002-05-31 히로시 오우라 전자빔 노출 장치
US6720565B2 (en) 1999-06-30 2004-04-13 Applied Materials, Inc. Real-time prediction of and correction of proximity resist heating in raster scan particle beam lithography
US6472673B1 (en) 1999-07-29 2002-10-29 Ims Ionen-Mikrofabrikations Systeme Gmbh Lithographic method for producing an exposure pattern on a substrate
AU1926501A (en) 1999-11-23 2001-06-04 Ion Diagnostics, Inc. Electron optics for multi-beam electron beam lithography tool
JP2001168018A (ja) 1999-12-13 2001-06-22 Canon Inc 荷電粒子線露光装置、荷電粒子線露光方法及び露光補正データの決定方法、該方法を適用したデバイスの製造方法。
JP4585661B2 (ja) 2000-03-31 2010-11-24 キヤノン株式会社 電子光学系アレイ、荷電粒子線露光装置およびデバイス製造方法
WO2001075949A1 (fr) * 2000-04-04 2001-10-11 Advantest Corporation Appareil d'exposition multifaisceau comprenant une lentille electronique multiaxe, et procede de fabrication d'un dispositif a semi-conducteur
US6509955B2 (en) 2000-05-25 2003-01-21 Ball Semiconductor, Inc. Lens system for maskless photolithography
US6473237B2 (en) 2000-11-14 2002-10-29 Ball Semiconductor, Inc. Point array maskless lithography
US7302111B2 (en) 2001-09-12 2007-11-27 Micronic Laser Systems A.B. Graphics engine for high precision lithography
JP3730153B2 (ja) 2001-10-18 2005-12-21 セイコーインスツル株式会社 プリンタのカッター装置
US6671975B2 (en) 2001-12-10 2004-01-06 C. William Hennessey Parallel kinematic micromanipulator
DE10161152B4 (de) 2001-12-12 2014-02-13 Medical Intelligence Medizintechnik Gmbh Positionierung des Behandlungsstrahls eines Strahlentherapiesystems mittels eines Hexapoden
US6768125B2 (en) 2002-01-17 2004-07-27 Ims Nanofabrication, Gmbh Maskless particle-beam system for exposing a pattern on a substrate
JP2003347192A (ja) 2002-05-24 2003-12-05 Toshiba Corp エネルギービーム露光方法および露光装置
TW546595B (en) 2002-07-23 2003-08-11 Internet Motion Navigator Corp Six-axis translation-type dynamic simulation device
KR100480609B1 (ko) 2002-08-09 2005-04-06 삼성전자주식회사 전자 빔 리소그래피 방법
US6896037B2 (en) 2002-10-29 2005-05-24 Duramax Marine, Llc Keel cooler with fluid flow diverter
US6767125B2 (en) 2003-01-21 2004-07-27 Red Devil Equipment Company Keyed paint container holder for a paint mixer
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
GB2399676B (en) 2003-03-21 2006-02-22 Ims Ionen Mikrofab Syst Apparatus for enhancing the lifetime of stencil masks
US7138629B2 (en) 2003-04-22 2006-11-21 Ebara Corporation Testing apparatus using charged particles and device manufacturing method using the testing apparatus
CN100576003C (zh) 2003-06-06 2009-12-30 株式会社尼康 光学元件保持装置、镜筒、曝光装置及设备的制造方法
DE102004025832A1 (de) 2004-05-24 2005-12-22 Carl Zeiss Smt Ag Optikmodul für ein Objektiv
JP4313145B2 (ja) 2003-10-07 2009-08-12 株式会社日立ハイテクノロジーズ 荷電粒子ビーム描画方法及び荷電粒子ビーム描画装置
GB2408383B (en) 2003-10-28 2006-05-10 Ims Nanofabrication Gmbh Pattern-definition device for maskless particle-beam exposure apparatus
GB2413694A (en) 2004-04-30 2005-11-02 Ims Nanofabrication Gmbh Particle-beam exposure apparatus
GB2414111B (en) 2004-04-30 2010-01-27 Ims Nanofabrication Gmbh Advanced pattern definition for particle-beam processing
JP4634076B2 (ja) 2004-06-30 2011-02-16 キヤノン株式会社 荷電粒子線露光装置及びデバイス製造方法
JP2006079911A (ja) 2004-09-09 2006-03-23 Hitachi High-Technologies Corp 電子ビーム電流計測方法、電子ビーム描画装置および電子ビーム検出器
JP4460987B2 (ja) 2004-09-30 2010-05-12 株式会社東芝 電子線描画方法および磁気記録媒体の製造方法
JP2006126823A (ja) 2004-09-30 2006-05-18 Fujitsu Ltd 可変矩形型電子ビーム露光装置及びパターン露光・形成方法
DE102004052994C5 (de) 2004-11-03 2010-08-26 Vistec Electron Beam Gmbh Multistrahlmodulator für einen Partikelstrahl und Verwendung des Multistrahlmodulators zur maskenlosen Substratsstrukturierung
WO2006053360A1 (en) 2004-11-17 2006-05-26 Ims Nanofabrication Gmbh Registering device and method for a pattern lock system in a particle-beam exposure apparatus
US7459247B2 (en) 2004-12-27 2008-12-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2006107664A2 (en) 2005-04-01 2006-10-12 Trustees Of Stevens Institute Of Technology Flexible parallel manipulator for nano-, meso-or macro-positioning with multi-degrees of freedom
JP4648087B2 (ja) 2005-05-25 2011-03-09 キヤノン株式会社 偏向器の作製方法、荷電粒子線露光装置、および、デバイス製造方法
US8039813B2 (en) 2005-09-06 2011-10-18 Carl Zeiss Smt Gmbh Charged particle-optical systems, methods and components
JP4638327B2 (ja) 2005-10-17 2011-02-23 新日本工機株式会社 パラレルメカニズム装置、パラレルメカニズム装置のキャリブレーション方法、キャリブレーションプログラム、及び記録媒体
TWI383996B (zh) 2006-01-31 2013-02-01 Shinetsu Chemical Co 高分子化合物、光阻保護膜材料及圖型之形成方法
DE602007003089D1 (de) 2006-04-03 2009-12-17 Ims Nanofabrication Ag Teilchenstrahl-belichtungsvorrichtung mit gesamtmo
US7738077B2 (en) 2006-07-31 2010-06-15 Asml Netherlands B.V. Patterning device utilizing sets of stepped mirrors and method of using same
US20080142728A1 (en) 2006-10-30 2008-06-19 Applied Materials, Inc. Mechanical scanner
JP4932433B2 (ja) 2006-11-02 2012-05-16 株式会社ニューフレアテクノロジー 電子ビーム描画装置及び電子ビーム描画方法
DE102008010123A1 (de) 2007-02-28 2008-09-04 Ims Nanofabrication Ag Vielstrahl-Ablenkarray-Einrichtung für maskenlose Teilchenstrahl-Bearbeitung
NL2001369C2 (nl) 2007-03-29 2010-06-14 Ims Nanofabrication Ag Werkwijze voor maskerloze deeltjesbundelbelichting.
JP5491704B2 (ja) 2007-05-14 2014-05-14 イーエムエス ナノファブリカツィオン アーゲー 対向電極アレイ板を有するパターン定義装置
JP4996978B2 (ja) 2007-05-28 2012-08-08 株式会社ニューフレアテクノロジー 描画方法
DE102007034232B4 (de) 2007-07-23 2012-03-01 Bruker Daltonik Gmbh Dreidimensionale Hochfrequenz-Ionenfallen hoher Einfangeffizienz
KR20100138907A (ko) 2008-02-05 2010-12-31 닐 테크놀로지 에이피에스 전자빔 리소그래피를 수행하는 방법
CN102113083B (zh) 2008-06-04 2016-04-06 迈普尔平版印刷Ip有限公司 对目标进行曝光的方法和系统
US8227768B2 (en) 2008-06-25 2012-07-24 Axcelis Technologies, Inc. Low-inertia multi-axis multi-directional mechanically scanned ion implantation system
NL2003304C2 (en) 2008-08-07 2010-09-14 Ims Nanofabrication Ag Compensation of dose inhomogeneity and image distortion.
DE102008053180B4 (de) 2008-10-24 2012-07-12 Advanced Mask Technology Center Gmbh & Co. Kg Teilchenstrahlschreibverfahren, Teilchenstrahlschreibvorrichtung und Wartungsverfahren für selbige
EP2190003B1 (en) 2008-11-20 2014-10-01 IMS Nanofabrication AG Constant current multi-beam patterning
JP5634052B2 (ja) 2009-01-09 2014-12-03 キヤノン株式会社 荷電粒子線描画装置およびデバイス製造方法
US8198601B2 (en) 2009-01-28 2012-06-12 Ims Nanofabrication Ag Method for producing a multi-beam deflector array device having electrodes
EP2251893B1 (en) 2009-05-14 2014-10-29 IMS Nanofabrication AG Multi-beam deflector array means with bonded electrodes
EP2433294B1 (en) 2009-05-20 2016-07-27 Mapper Lithography IP B.V. Method of generating a two-level pattern for lithographic processing and pattern generator using the same
KR101854828B1 (ko) 2009-05-20 2018-05-04 마퍼 리쏘그라피 아이피 비.브이. 듀얼 패스 스캐닝
TW201133534A (en) 2009-09-18 2011-10-01 Mapper Lithography Ip Bv Multiple beam charged particle optical system
US8546767B2 (en) 2010-02-22 2013-10-01 Ims Nanofabrication Ag Pattern definition device with multiple multibeam array
JP2011199279A (ja) 2010-03-18 2011-10-06 Ims Nanofabrication Ag ターゲット上へのマルチビーム露光のための方法
US8542797B2 (en) 2010-09-24 2013-09-24 Elekta Ab (Publ) Radiotherapy apparatus configured to track a motion of a target region using a combination of a multileaf collimator and a patient support
JP5662756B2 (ja) 2010-10-08 2015-02-04 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP5809419B2 (ja) 2011-02-18 2015-11-10 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
KR101854148B1 (ko) 2011-05-09 2018-05-03 삼성전자주식회사 전자빔 노광 장치 및 이를 이용한 레티클 제조 방법
JP2013004216A (ja) 2011-06-14 2013-01-07 Canon Inc 荷電粒子線レンズ
CN202204836U (zh) 2011-07-28 2012-04-25 辽宁省电力有限公司 高压试验设备绝缘支架
NL2009797A (en) 2011-11-29 2013-05-30 Asml Netherlands Bv Apparatus and method for converting a vector-based representation of a desired device pattern for a lithography apparatus, apparatus and method for providing data to a programmable patterning device, a lithography apparatus and a device manufacturing method.
JP5977941B2 (ja) 2011-12-19 2016-08-24 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
JP5383786B2 (ja) 2011-12-27 2014-01-08 キヤノン株式会社 荷電粒子線描画装置および描画方法、それを用いた物品の製造方法
JP5956797B2 (ja) 2012-03-22 2016-07-27 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
JP6014342B2 (ja) 2012-03-22 2016-10-25 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
EP2757571B1 (en) 2013-01-17 2017-09-20 IMS Nanofabrication AG High-voltage insulation device for charged-particle optical apparatus
JP6195349B2 (ja) 2013-04-26 2017-09-13 キヤノン株式会社 描画装置、描画方法、および物品の製造方法
TWI533096B (zh) 2013-05-24 2016-05-11 Nuflare Technology Inc Multi - charged particle beam mapping device and multi - charged particle beam rendering method
JP2015023286A (ja) 2013-07-17 2015-02-02 アイエムエス ナノファブリケーション アーゲー 複数のブランキングアレイを有するパターン画定装置
EP2830083B1 (en) 2013-07-25 2016-05-04 IMS Nanofabrication AG Method for charged-particle multi-beam exposure
US20150069260A1 (en) 2013-09-11 2015-03-12 Ims Nanofabrication Ag Charged-particle multi-beam apparatus having correction plate
JP6211435B2 (ja) 2014-02-26 2017-10-11 株式会社アドバンテスト 半導体装置の製造方法
EP2913838B1 (en) 2014-02-28 2018-09-19 IMS Nanofabrication GmbH Compensation of defective beamlets in a charged-particle multi-beam exposure tool
US20150311031A1 (en) 2014-04-25 2015-10-29 Ims Nanofabrication Ag Multi-Beam Tool for Cutting Patterns
JP6890373B2 (ja) 2014-07-10 2021-06-18 アイエムエス ナノファブリケーション ゲーエムベーハー 畳み込みカーネルを使用する粒子ビーム描画機における結像偏向の補償
US9568907B2 (en) 2014-09-05 2017-02-14 Ims Nanofabrication Ag Correction of short-range dislocations in a multi-beam writer

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001075948A1 (fr) * 2000-04-04 2001-10-11 Advantest Corporation Appareil d'exposition a des faisceaux multiples a objectif a plusieurs axes, objectif a plusieurs axes de focalisation de faisceaux d'electrons, et procede de fabrication de dispositifs semi-conducteurs
JP2004282038A (ja) * 2003-02-28 2004-10-07 Canon Inc 偏向器、偏向器を製造する方法、偏向器を適用した荷電粒子線露光装置
JP2005108842A (ja) * 2003-09-30 2005-04-21 Ims Nanofabrication Gmbh 粒子光学静電レンズ
JP2005129944A (ja) * 2003-10-20 2005-05-19 Ims Nanofabrication Gmbh 帯電粒子マルチビーム露光装置
JP2006013388A (ja) * 2004-06-29 2006-01-12 Canon Inc 電子線露光装置、および、デバイス製造方法
JP2008530737A (ja) * 2005-02-11 2008-08-07 アイエムエス ナノファブリケーション エージー 静電ゾーンプレートを備える荷電粒子曝露
JP2008112999A (ja) * 2006-10-30 2008-05-15 Ims Nanofabrication Ag 荷電粒子露光装置
JP2009032691A (ja) * 2007-07-24 2009-02-12 Ims Nanofabrication Ag マルチビーム源
JP2010123958A (ja) * 2008-11-17 2010-06-03 Ims Nanofabrication Ag マスクなし粒子ビーム露光のための方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10388488B2 (en) 2017-06-02 2019-08-20 Nuflare Technology, Inc. Multi charged particle beam drawing apparatus and multi charged particle beam drawing method
US10854424B2 (en) 2019-02-28 2020-12-01 Kabushiki Kaisha Toshiba Multi-electron beam device

Also Published As

Publication number Publication date
EP2937889A1 (en) 2015-10-28
JP6544020B2 (ja) 2019-07-17
US9443699B2 (en) 2016-09-13
US20150311030A1 (en) 2015-10-29
EP2937889B1 (en) 2017-02-15

Similar Documents

Publication Publication Date Title
JP6544020B2 (ja) パターン切削用マルチビーム・ツール
JP6592661B2 (ja) パターン切削用マルチビーム・ツール
JP6491842B2 (ja) 補正プレートを有する荷電粒子多重ビーム装置
JP5973061B2 (ja) 荷電粒子マルチ小ビームリソグラフィシステム及び冷却装置製造方法
TW538323B (en) Electron beam exposure apparatus
KR20140023927A (ko) 정전기 클램프 장치 및 리소그래피 장치
US11615956B2 (en) Light generator including debris shielding assembly, photolithographic apparatus including the light generator
JP2008027686A (ja) 偏向器アレイ、露光装置およびデバイス製造方法
TWI483281B (zh) 用於反射電子之方法及裝置
TWI578367B (zh) 用於多束帶電粒子微影系統之裝置
KR101210971B1 (ko) 플라즈마 방사선 소스를 이용하는 장치, 방사선 빔을 형성하는 방법 및 리소그래피 장치
US8564225B1 (en) Accelerator on a chip having a grid and plate cell
US8648315B1 (en) Accelerator having a multi-channel micro-collimator
TW202307899A (zh) 帶電粒子評估系統及方法
US8680792B2 (en) Accelerator having acceleration channels formed between covalently bonded chips
Petric et al. New advances with REBL for maskless high-throughput EBDW lithography
JP2009070982A (ja) 飛散粒子除去装置、飛散粒子の低減方法、光源装置、照明光学装置、露光装置及び電子デバイスの製造方法
US8541757B1 (en) Accelerator on a chip having a cold ion source
US11610758B2 (en) Charged particle beam lithography system
US20230112229A1 (en) Semiconductor structure
JP5104095B2 (ja) 飛散粒子除去装置、飛散粒子の低減方法、光源装置、露光装置及び電子デバイスの製造方法
US20090135400A1 (en) Method and apparatus for lithographic imaging using asymmetric illumination

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180306

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180817

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190111

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190122

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190417

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190514

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190603

R150 Certificate of patent or registration of utility model

Ref document number: 6544020

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350