JP2005129944A - 帯電粒子マルチビーム露光装置 - Google Patents

帯電粒子マルチビーム露光装置 Download PDF

Info

Publication number
JP2005129944A
JP2005129944A JP2004305981A JP2004305981A JP2005129944A JP 2005129944 A JP2005129944 A JP 2005129944A JP 2004305981 A JP2004305981 A JP 2004305981A JP 2004305981 A JP2004305981 A JP 2004305981A JP 2005129944 A JP2005129944 A JP 2005129944A
Authority
JP
Japan
Prior art keywords
particle
target
lens
column
electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004305981A
Other languages
English (en)
Other versions
JP4835897B2 (ja
Inventor
Gerhard Stengl
シュテングル ゲルハルト
Elmar Platzgummer
プラツグンメル エルマール
Hans Loeschner
レーシュネル ハンス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
IMS Nanofabrication GmbH
Original Assignee
IMS Nanofabrication GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by IMS Nanofabrication GmbH filed Critical IMS Nanofabrication GmbH
Publication of JP2005129944A publication Critical patent/JP2005129944A/ja
Application granted granted Critical
Publication of JP4835897B2 publication Critical patent/JP4835897B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J3/00Details of electron-optical or ion-optical arrangements or of ion traps common to two or more basic types of discharge tubes or lamps
    • H01J3/06Details of electron-optical or ion-optical arrangements or of ion traps common to two or more basic types of discharge tubes or lamps two or more guns being arranged in a single vacuum space, e.g. for plural-ray tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/08Ion sources; Ion guns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • H01J37/3177Multi-beam, e.g. fly's eye, comb probe

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Nanotechnology (AREA)
  • Analytical Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Electron Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

【課題】高い処理量を可能にする荷電粒子露光装置を提供する。
【解決手段】ターゲット41を露光する帯電粒子マルチビーム露光装置1が、ターゲット41に向かう並行ビーム経路に沿って伝播する帯電粒子の複数のビームを使用する。各粒子ビームのために、照明システム10、成形手段20および投射光学系30が設けられている。照明システム10およびまたは投射光学系30は一つを超える粒子ビームに共通のレンズ素子を有する粒子光学レンズからなる。パターン規定手段20が、それぞれの粒子ビーム内にある多数のビームレットを規定し、アパーチャを透過するビームレットの形状を規定する複数のアパーチャのみの通過を許容することによってターゲット41上に投射される形状を所望のパターンとし、さらにそれぞれの経路から選択されたビームレットの通過をスイッチオフさせるブランキング手段からなる。
【選択図】図1

Description

本発明は、エネルギー的に帯電された粒子の一連のビームによって半導体基板のようなターゲットの面上にパターンを形成するために使用される帯電粒子マルチビーム露光装置に関する。より詳しく説明すると、本発明は帯電粒子の複数のビームでターゲットを露光するための帯電粒子マルチビーム露光装置に関し、前記粒子ビームが前記粒子ビームの各々のためのターゲットに向かう平行ビーム経路に沿って伝播する。照明システム、成形手段および投射光学系が設けられており、照明システムがビームを生成し、これを成形手段を照明する実質的にテレセントリック・ビームに形成するように適用され、成形手段が照明ビームの形状を所望のパターンに形成するように適用され、また投射光学系が成形手段に規定されたビーム形状の画像をターゲットに投射するように適用されている。
この種の露光装置で一つの重要な適用例は、リトグラフ装置のような半導体技術に使用される粒子―ビーム・リトグラフの分野がある。シリコン・ウェハ上に規定されるべき回路層のような基板面上に所望のパターンを規定するために、ウェハは放射感知フォトレジストの層で覆われる。従って、所望の構造がリトグラフ装置によってフォトレジスト上に作像される。従って、パターン化されたフォトレジストは前回露光ステップによって規定されたパターンに基づいて部分的に除去され、今回エッチングのようなさらなる構造作成工程のためにマスクとして使用される。この計画を反復することにより、集積回路のような複雑な微小構造物を形成することができる。
上述の種類のマルチビームまたはマルチーカラム・デバイス、特にマルチビーム・リトグラフ・デバイスは、従来技術において何度も取り組まれてきた。マルチーカラムにおけるアプローチの初期の報告は、ネルソン・ユーによる「電子ビーム・マイクロファブリケーション用モジュラー・システム」、電子およびイオン・ビーム科学および技術の議事録、B.Bakish(1974)111編集に開示されている。
別のアプローチとして、N.W.パーカー他による「マルチカラム・マルチビーム(M×M)直接書込電子リトグラフ・システム」、SPIE Vol.4343(2000)811,およびE.Yin他による「マルチカラム、マルチビーム直接書込電子ビーム・リトグラフ」、J.Vac.Sci.Technol.18(2000)3126が提示されている。
J.Vac.Sci,Technol.8(990)pp.1698およびJ.Vac.Sci.Technol.B14(1996)pp.3774−3781.T.H.P.の記事中、チャン他は、20mmの横寸法を有する小型走査電子顕微鏡として低電圧(約1keV)電子ビーム・カラムが実現可能であることを実証している。この種の複数の小型カラムを並置して配列することにより、マルチビーム・リトグラフ・システムを実行することが可能である。フィールド放射電子源のチップが基板上に1:1で作像され、従ってそれぞれのビームの適切な成形がこのシステムに伴う必要条件である。
マルチ電子ビーム・システムがN.シミズ他によって、記事「マルチプルE―ビーム・システムへのアプローチ」で提案している。この記事は、ハワイ、1997年8月11〜15開催された「高スルースプット帯電粒子リトグラフに関する国際ワークショップ」における会議議事録で、単一電子銃を伴う電子―光学系において、クロスオーバー後、電子銃のビームがレンズ・マトリックスによってサブビームのアレイに分割され、またクロスオーバーが種々のアパーチャと対物レンズの連続構造によってウェハ上に縮小作像されることが検討された。類似の概念がアンドウ他による米国特許第5,012,105号で実現されている。
出願人(譲受人)によるGB2340991Bが、静電レンズ・カラム・アレイとして実現された全ビーム、マルチビーム光学系のための共通粒子源を備えたマルチビーム・リトグラフ用の装置を開示しており、各サブビームに対して偏向ユニットが設けられ、所望ターゲット位置および書込み工程中のサブービームの位置並びに基板面に関するそれぞれサブビームの個々の作像収差を修正するように適用されている。
半導体技術の分野における別の期待できる開発は、制御された連続移動中に基板の表面上方でアパーチャの画像を走査するためにターゲットの適切な移動と組み合わせてブランキング装置のアレイを使用するマスクレス・リトグラフがある。従って、ターゲットの総露光可能域を露光するための書込み基準を達成する。
この種の装置は例えば、本出願人(譲受人)による米国特許第6,768,125号(=GB0300693.9=JP2003−45145)に開示されている。この種の装置において、粒子ビームはエネルギー保有粒子のホモセントリック・ビームまたは選択的にテレセントリック・ビームを生成する照明システムによって発生される。このビームは、アパーチャのアレイを有するパターン規定(PD)手段を照明し、PD手段はそれぞれのアパーチャを通るビームの粒子の経路を許容(「スイッチオン」)するか、または不活動(「スイッチオフ」)するように制御することができる。PDデバイスは、前記アパーチャを透過するビームレットの形状を規定する同様の形状をした複数のアパーチャを有するアパーチャ・アレイ手段と、選択されたビームレットの経路をスイッチオフするブランキング手段からなる。アパーチャとブランキング手段は、例えばケーシング内で規定距離に互いに取付けられた分離プレートとして実現される。ビームはスイッチオンされたアパーチャを通ってブランキング・アパーチャ・アレイを透過し、これによってスイッチオンされたアパーチャの空間的構成によって表わされたパターン情報を保有するパターン化粒子ビームを形成する。こうしてパターン化ビームは、粒子―光学投射系(システム)によって基板上に投射され、ここに透明アパーチャの画像が形成される。照射されるパターン化ビーム(ことによると小さい横方向修正を除いてそれ自体移動しない)下で、ターゲットの適切な移動によって、画像全体がターゲットの表面上を移動して、基板面の全域をカバーする。
帯電粒子光学系に基づいたリトグラフ内の露光装置の処理量は、任意の解像ノード(用語、リトグラフ・ノードは露光のための仕様、特に限界寸法およびロードマップに関する半導体工業によって規定された最小特徴サイズを言う)のための最大電流によって制限される。45nmノードにおける18μCレジスト露光ドーズによる300mmウェハをベースとして1時間当たり(WPH)10ウェハに必要とする代表的電子ビーム電流は、10から20μAの間となる。チップ工場によって約2010を必要とされる32および22nmノードに対しては、係数2(32nmノードに対し)、また係数4(22nmノードに対し)だけより大きい電流がノードを同じ処理量に保持するために必要となる。これは各露光スポット内の粒子の統計的に適切とする量を保有してショット・ノイズを阻止し、すなわち、単一粒子の相互作用効果が解像度およびライン・エッジの粗さに対する役割を果たすのに必要とするからである。帯電粒子光学系内の電流に関する基本的な電流制限は、軌道に沿った粒子のクーロン相互作用に起因しており、画像中のいわゆる推計学的不鮮明な原因につながり、また二番目の結果として画像の空間電荷関連歪および脱合焦効果につながる。従って、帯電粒子のある一定密度のみが一度にカラム内で許容され、この密度は粒子の速度および全体の電流に関係する。
同じ解像度でカラムを通る最大電流を高めるためのいくつかの可能性がある。例えば、焦点距離を短くすることによりカラムを短縮すること、または高いビーム・エネルギーを使用することである。これらのアプローチ両方は、静電作像システム内で最大可能静電界強度に関してまた同様に磁気作像システム内で最大可能磁気飽和に対して共通に使用し、使い切られる。他のアプローチは、空間電荷が最大値に達する領域に、すなわち、光学系の続くセクション内で修正されたこれらの領域内で収差ビームを生成することによってクロスオーバーの周りに電流密度が分布するためのビーム制御デバイスを含んでいる。別の可能性は大きい投射アプローチを使用することで、同じ解像度に対する合焦ビーム・システムの場合に比較してずっと大きい電流を許容する。出願人の知る限りでは、任意のリトグラフ・ノードの上方電流制限をリトグラフ・ロードマップに一致するレベルにシフトすることを許容する解決策をこれまで見たことがない(Int.Sematech.http://www.sematech.org)。公知の帯電粒子システムにおいて、カラムを通る可能な電流ビームのために使用される粒子間のクーロン相互作用のために制限されたままである。
高処理量リトグラフのために適用可能な帯電粒子光学系用の上述した処理量問題を回避する期待できる方法は、同時に二つまたはそれ以上の平行カラム書き込み処理をマルチビーム・システム内の同じウェハに使用することである。この考えはいくつかの刊行物で開示されているが、最初に説明した分野において提案されたマルチビーム・システムはいずれもこれまで成功していない。なぜ先行概念は結局どれも実際に実現しなかったかという理由は、これまでに提案され他の走査基準が十分に効率的でなかったか、あるいは他の大きい欠陥(例えば、信頼性および電気―機械一体性に関する不一致)のいずれかである。
空間電荷問題が、多数の平行カラムを使用することによって回避できる一方で、マルチビーム・システムの主たる技術的チャレンジが、工業規格に求められている仕様に一致する問題に関連している。すなわち、
1. 帯電粒子ビーム源(または複数のビーム源、事情に応じて、特にカラム当たり一つの源の場合)の信頼性、
2. (自由露光範囲を横断する)ビームに要求される均一性、
3. ビームのアライメント、
4. ウェハの加熱および磁気擾乱を含む必要安定性、
5. パターン発生のための必要データ、
6. 必要解像度、
7. 画像歪の必要欠乏、
8. 汚染問題に関する必要信頼性、
9. より高い露光ドーズおよび低い外形寸法に関する所望される伸張性。
これらの問題のために、先行マルチビーム・リトグラフ・システムは、機能的、かつ、信頼できるリトグラフ・ツールのための完全な解決策を提供できなかった。従って、帯電粒子に基づくマスクレス・リトグラフが現在低容量生産に制限されている。例えば、ASICおよびプロトタイプ回路の従来式e−ビーム書込みによる製造、また10MPHを超えるリトグラフがターゲットされているアプリケーション分野に未だ導入されていない。
米国特許第5,012,105号 GB2340991B 米国特許第6,768,125号(=GB0300693.9=JP2003−45145) 米国特許第4,985,634(=EP0344646) 米国特許第4,967,088号 ネルソン・ユーによる「電子ビーム・マイクロファブリケーション用モジュラー・システム」 電子およびイオン・ビーム科学および技術の議事録、B.Bakish(1974)111 N.W.パーカー他による「マルチカラム・マルチビーム(M×M)直接書込電子リトグラフ・システム」、SPIE Vol.4343(2000)811 E.Yin他による「マルチカラム、マルチビーム直接書込電子ビーム・リトグラフ」、J.Vac.Sci.Technol.18(2000)3126 1997年8月11〜15開催された「高スルースプット帯電粒子リトグラフに関する国際ワークショップ」における会議議事録 Int.Sematech.http://www.sematech.org
上述した問題に鑑みて、本発明の目的はマルチビーム・ツール・セットアップと少なくとも10WPHの高い処理量を可能にする露光計画を提供することである。
この目的は最初に説明したような装置によって達成され、各粒子ビームの成形手段は各粒子ビーム内の多数のビームレットを規定するためのデバイスとして実現され、前記デバイスは照明ビームを複数のアパーチャのみを通る照明ビームを通過させ、前記アパーチャを透過するビームレットの形状を規定し、前記デバイスはビームレットのそれぞれのパスから選択されたビームレットの通過をスイッチオフするブランキング手段をさらに含んでいる。照明システムおよび(または)投射光学系は一つを超える粒子ビームに対して共通の取付けおよび(または)電源に少なくとも関係するレンズ素子を有する粒子―光学レンズからなる。
本発明の基本的アイデアは、マスクレス粒子―ビーム露光装置のいくつかのカラムを使用することであり、米国特許第6,768,125号に開示されているような種類が好ましく、処理量を増大させるために同じウェハ上に並列に稼動するように提供されている。既述したように、米国特許第6,768,125号の装置において、走査ストライプ技術がウェハ露光のために使用され、ツールセットアップが基本的に粒子源と、一つの光学カラムによって実現された帯電粒子投射システムと、大きい縮小で基板に転送される動的に発生された画像からなる。しかし、米国特許第6,768,125号に開示された装置は、それ自体では、マルチビーム・デバイス内での使用には適しておらず、むしろ修正が必要である。修正例は、特に共通のレンズ素子だけでなく、さらなる修正装置も必要であろうし、例えばカラムの縮小寸法のための手段および適用されたウェハ走査計画からなる。各カラムの書込みフィールドはオーバーラップすることなく、従って各カラムはウェハの1/N域の分離サブフィールドを露光する。ただしNカラムがウェハ域の周りを取り囲まれた正方形状域に渡って規則的に分布されていると仮定する。従って、本発明によればいくつかのカラムが平行に稼動し、マルチ走査ストライプ露光装置と呼ばれて実現され、性能、信頼性およびツール製造費用に関してユニークな利点が引き出され、また、単一ステージ・カラムに基づいた帯電粒子光学系の物理的制限を回避する。走査ステージ・システムの回避できない機械的制限のために、特にステージ速度および加速度(上限はそれぞれ約1〜2m/sおよび30〜40m/s)だけでなく、高い処理量計画、例えば約20WPHにおけるジャークが強調され、生産量が多数のカラムによる線形方法によるよりもずっと早く増大する。この理由は、総転向時間(処理量に対する露光不感時間である)が、低い走査時間で相当短くなり、同じ加速度とジャークで、いくつかのカラムの平行使用によって達成される。
特に、ソース信頼性に関するリスクは、各ソースが完全なパターンを書くために必要であれば、多数のソースに比例する。従って、多数のソースは低く維持しなければならない。マルチ走査ストライプ露光の場合において、ソースの信頼性に関するリスクは大きく低減され、各カラムが独立して一つのサブフィールド(サブフィールドの対応する数に分割された総フィールドに基づいた)に書き込み、サブフィールドは整数のチップ数からなるのが好ましく、ソースに関連するリスクを1/N(ここにNは独立カラムの数である)の係数に低減する。
露光のために利用可能な総電流、および特にカラム当たりの電流は、最大処理量のために可能な限り高く選択されることになる。これはパターンが動的に発生され米国特許第6,768,125号のように投射システムを使用することによって達成される。多数のビームレット(すなわち、一般的に数百から10万の範囲)が、平行な内部の一つのカラム内でスイッチオンとスイッチオフされる。従って、カラム当たりの画素率は、複数のビームのために総電流によってのみ制限され、一般的にカラム当たり1から10μAがカラムの長さと粒子のエネルギーに依存している。比較のために、最新技術によれば、カラム当たりの画素率はソースの輝度とブランキング率によって相当制限されている。これは最新技術が、単一アパーチャ投射か、または単一かあるいは成形ビーム直接書込み、あるいはカラム当たり比較的少ない(1000未満)ブランク・ビームが予想されるからである。カラム当たりのビーム数に差がある理由は、本発明の目的で使用された投射システムの非常に大きい(例えば、200×)縮小係数にあって、このシステムはPDのMEMS(マイクロ電子システム)の製造を利用可能な技術で実行できるようにする。
本発明の有利な変形例によれば、共通レンズ素子が粒子ビームの各々のために設けられ唯一の電源に接続された個々のレンズ素子によって実現できる。この共通レンズ素子は出来上がった構造の安定性を高める粒子ビームの各々を取り巻く共通の構造部材によっても実現することができる。
さらに、照明システムの粒子―光学レンズおよび投射光学系が、一つを超える粒子ビームに対して共通のレンズ素子からなり、しかもレンズ素子が一つの粒子ビームそれぞれに対応し、かつ、個々の電源に接続されている。特に、照明システムの粒子―光学レンズおよび投射光学系が、マルチ粒子ビームだけでなく共通静電レンズ効果の個々の修正を導入するためのそれぞれ一つの粒子ビームに対応する静電レンズ素子に共通である静電レンズからなる。
デバイスの製造を簡略にする本発明の変形例において、パターン規定手段内のアパーチャは同じ形状をしている。別の方法として、パターン規定手段内のアパーチャは、ターゲット上に同じ形状の画像を生成する形状を有しており、これによってターゲット上のパターンの相対位置に関係なく画像上のパターンが均一な基本画素からなることを許容する。
本発明の別の有利な発展例において、投射システムは二つの連続するクロスオーバーを有する縮小投射光学系を実現する三つまたはそれ以上の合焦素子からなる。縮小光学系はパターンをターゲットに対して非常に小さい外形寸法に発生することができる。
本発明の別の好ましい観点において、投射レンズ系が2ステージ縮小システムを実現する四つのレンズを備えており、そのビームの一部がビーム調整と、第一と第二クロスオーバー間に配置された中間画像の位置でのビーム分析に使用される。さらに、ターゲット・ステージが予め設定された走査動作に基づくマルチビーム下でターゲットを移動させるように適用されており、これによってビームが露光工程中に露呈されるべきターゲットの全域をカバーする。この場合において、ターゲット・ステージが走査動作を実行するように適用することもでき、これによって各ビームがターゲットのサブフィールドの全域をカバーする。ここでサブフィールドは露光されるべきターゲットの全域を完全に総合し、および(または)ビームのサブフィールドが露光されるべきターゲットの全域の分離部分をカバーする。さらに、走査動作は、各ビームが単一通過走査ストライプ露光パターン内のターゲットにあるサブフィールドの全域をカバーするように実行できる。
ビームの自立性を高めるとともに複雑なパターンの発生を容易にするために、PD手段が各粒子ビームのために設けられ、このPD手段がアパーチャの配置されたパターン・フィールドを有しており、前記パターン・フィールドがアパーチャの寸法の少なくとも500倍の長さを有している。同様にして、各粒子ビームに対して、パターン規定手段が少なくとも20000のアパーチャを備えており、その粒子ビームに対する透過性がスイッチオンとスイッチオフ間で電気的に制御可能である。
デバイスの有利なレイアウトが、それぞれのビーム経路をそれぞれ実質的に回転対称に取り巻く実質的に等しい形状をなす一連の少なくとも三つの電極として実施された電極カラムを有する電極レンズを実行し、前記電極がビーム経路の中心を表わす光軸に沿って同心的に連続する順に配置され、また前記電極が異なる静電電位をそれぞれの電極に供給するための電源を備えている。このレイアウトは、望んでいない磁界および電界のビームからシールドを容易にする。
この場合において、静電レンズの全電極の外径を適切に選択するには、レンズ内にある前記粒子ビーム経路の最大半径の5倍より大きくないことである。磁気シールドをさらに高めるために、電極カラムの電極は環境条件で100より大きい、好ましくは300の透磁率を有する軟磁気材料で少なくとも一部が作られる。(この明細書内の透磁率の値はSI単位で比透磁率をいう)。有利なことに、磁気シールドは、電極カラムを取り巻き、電極カラムの少なくとも長さに渡って光軸の方向に沿って延長する軟磁気材料で作られた付加的な磁気シールド管によって提供される。
一つまたは複数の電極カラムの適切な形状は、電極の外部が対応する対向面を有しておれば、次の電極と前の電極それぞれに向かって対面させることによって得られる。例えば、外方部材リングと比べて、説明したように、内方部材リングは光軸に向かって円形エッジを呈している。外方部分が不要な磁界をシールドする作用をする一方で、内方部分はレンズ内部の静電界を形成する作用をする。さらに、二次電子作用を回避するために、内方部材リングは円形エッジから外方に延長し、帯電粒子が電極カラムに流入する方向に向かって対面する凹面を備えている。
次に、図面を参照して本発明をより詳細に説明する。
本発明の好ましい実施例を図1に示す。四つのカラムが、装置の底部を表わすターゲット・チェンバー内に保持されたウェハを露光するためのマルチカラム装置1の2×2配列に合成されている。図1の長手方向セクションが、二つの近接するカラム4を走行している。図2は図1の基本素子を示す概略長手方向断面図である。(明確にするために、カラムは単一平面に配列されたように図2では示している。)
従来技術に対する本実施例の主要な改良は、例えば一方でパターン発生工程に大きい冗長を提供し、他方で異なるカラム間のアライメント問題を回避することである。上述の米国特許第6,768,125号に基づくマルチ―カラムおよびマルチビーム(カラム当たり)アプローチの新規な装置を開示する。さらに、全てのサブシステム内に発生する技術的なリスクを部分的に低減または妨げることも許容し、特に、電子源、縮小システム、熱問題、MEMSブランカー/IC、アライメント、歪、汚染問題、データ率、冗長性、ステージ/静電走査、処理量、オーバーレイ、Z―マージン(焦点の深さ)に関する。
マスクレス粒子―ビーム露光装置の原理は、米国特許第6,768,125号に開示されており、本明細書では参照例として含まれ、図1および2の装置のこれらの特徴は米国特許第6,768,125号の範囲を超えており、次に説明し、またこれらの詳細は本発明を開示するのに必要とする内容のみを示し、明確にする目的で、要素は図2では原寸で示していない。本発明は次に説明した実施例に限定するものではなく、本発明の可能な実行例の一つを表わすにすぎないことを理解すべきである。
リトグラフ装置1の主要素は、―図1および2で垂直方向下方に走行するリトグラフ・ビームcbの方向に対応してー照明システム10、PDシステム20、投射システム30、および基板41を伴うターゲット・ステーション40である。全装置1は、カラム4の各々内でそれぞれの光軸cxに沿ってビームcbの妨げられていない伝播を保証する高真空に維持された真空ハウジング2内に収容されている。真空ハウジング2の周囲は、外部磁界の影響を受けなくするためにマルチ磁気シールド3が装置の静電レンズ部分の周りに設けられている。
PDデバイスの表面の汚染(例えば、有機物質のビーム誘起溶着によって誘起される)を回避するために、PDデバイス周辺の真空がUHV状態またはこれに近い状態に維持されるのが好ましい。さらに、全PDデバイスは他の重大なカラム要素の配列を乱すことなくプレートを取外して定期的に点検できるような方法で取付けられる。(簡略化の目的で、PDデバイスの変更のための機械的デバイスは図示していない。)
簡略化の目的で、外部から個々のPDデバイスまでのパスは図示していない。光学手段、例えば、光電検出器と組み合わされたファイバー・オプチックス・アレイは高いデータ定格で伝送するのに使用することができる。この種の機器は先行技術、特に通信技術において周知である。説明しなればならないことは、マルチ―カラム・アレイ内部の光線は低いまたはゼロ電位差で、あるいは高い電位差で保持されたプレート間の自由空間に投射されれば、保持された共通プレート間に配置されたファイバーによって案内されることである。データの伝送は、カラムに平行な方向に沿って実行することができ、十分な空間がカラムの矩形構成内で利用可能である。
粒子―光学系10,30は、静電レンズまたは電磁レンズを使用して実現される。カラムの密度が増大するにつれて、静電レンズの使用がより好都合になる。その理由は、第一に静電レンズの外径が焦点倍率の相当な変化と収差係数(例えば、図10を参照)なしに低い値に決めることができる。第二に、共通電極素子の有効性のために、複数の静電カラムが磁気レンズと比較して有利である。なぜなら磁気コイルが共通電極および共通電位を使用する静電レンズと同じくらい簡単に供給することができないからである。同様の論議を多数のコイルの回避できない電力消費を抑えるために適用する。これは静電の場合には存在しない。小さいカラム直径のために、静電レンズの使用は静電フィールドおよび磁気妨害フィールドのより有効なシールドも許容する。
図1のカット―オープン・カラム4を特に参照して、照明システム10は、例えば抽出システムだけでなくマルチ電極カラムとして実現されたコンデンサ・レンズ系を備えた電子銃11からなる。これについては図10を参照してさらに次に説明する。
既述したように、装置1は帯電粒子として電子を使用している。しかし、電子の代わりとして一般的に電子的に帯電した粒子も使用することができることに注意しなければならない。従って、適切なイオン源、例えば水素イオンまたはより重いイオンによって提供される。
抽出システムは粒子を一般的に数keV、例えば10keVの規定エネルギーに加速する。コンデンサ・レンズ系によって、ソース11から放出された粒子はリトグラフ・ビームとして作用する広い、実質的にテレセントリック粒子ビームに形成される。ビームは、その位置を保持するのに必要なデバイスと共同してPDシステムを形成するPDデバイス20を照射する。PDデバイス20は、複数のアパーチャ21を照射するリトグラフ・ビームlbのパス内の特定位置に保持される。アパーチャのいくつかが、衝撃ビームに対して透明になるように「スイッチオン」、または「開」され、他のアパーチャは「スイッチオフ」、または「閉」、「すなわちビームに対して非透明(不透明)になる。スイッチオン・アパーチャのパターンは、基板上で露呈されるべきパターンに基づいて選択され、これらのアパーチャはビームに対して透明なPDデバイスの一部だけであり、従ってアパーチャから進入するパターン化ビームpbに形成される。
次に、パターン化ビームpbによって表わされたパターンが、電子―磁気―光学投射システム30によって、スイッチオン・マスク・アパーチャの画像を形成している基板41上に投射される。この投射システム30は、二つのクロスオーバーc1,c2により例えば200×の縮小を実行する。例えば、基板41はフォトレジスト層で覆われたシリコン・ウェハである。このウェハはターゲット・ステーション40のウェハ・ステージ42によって保持され、位置付けされる。互いに関連するカラム4のビームとウェハのアライメントは、図6を参照して以下に詳述するアライメント・システム60によって実行される。
図1と2に示す本発明の実施例において、投射システム30は、二つの連続する電子―磁気―光学投射ステージ31,32からなる。投射装置31,32を実行するのに使用されたレンズは、シンボル形状のみで図2に示す。粒子作像システムを実行する技術は、先行技術において周知であり、例えば本出願人(譲受人)による米国特許第4,985,634(=EP0344646)がある。第一投射装置31は、デバイス20のアパーチャの平面を中間平面に作像し、次に第二投射装置32によって基板面上に作像される。中間平面において、PDデバイスの位置にあるようにビームは実質上テレセントリックである。両ステージ31,32は、クロスオーバーc1,c2を介して作像する縮小を使用する。縮小係数は両ステージに対して約14×であり、全体としての縮小は200×になる。この程度の縮小は、PDデバイスで最小化の問題を軽減するためにリトグラフ・セットアップに特に適している。
両投射ステージにおいて、それぞれのレンズ系は色収差および幾何学的収差に関してよく補正されており、さらに第一ステージ31の残留色収差は第二ステージ32の電子電位の適切な微調整によって補正することができる。
画像を全体として横方向に、すなわち、光軸cxに対して垂直方向に沿ってシフトするということは、方向付け手段325が投射ステージの一方または両方に設けられている(図2には第二ステージ32用のもののみを示している)。偏向手段は例えば図2に示したようにクロスオーバー近くか、またはそれぞれの投射装置の最終レンズ後方に配置されるマルチポール電極システムとして実行できる。この装置において、マルチポール電極は、画像をステージの動きに関してシフトするためと、アライメント・システムに関して作像システムを修正するための両方の偏向手段として使用される。
図3はPDデバイス20のアパーチャ20の構成を示す平面図である。アパーチャ21の規則的アレイのPDフィールドpf内に配列され設けられた複数の方形アパーチャ21が、近接ラインplに沿って配列され、各ラインplにおいて、同数のアパーチャが設けられている。ラインplと直交する方向から見て、アパーチャは一連の列r1,r2,r3を形成しており、図示実施例において、列r1〜r3は近接しておらず、隔置されている。アパーチャは非対称レギュラー配列に基づくアパーチャ・フィールドに配列され、3列毎のアパーチャが(n=3)に整列され、隣り合う列間のピッチがアパーチャ幅wの3倍(すなわち、pn=n×w)であり、隣り合う列間のオフセットpmがアパーチャの幅の4倍(すなわち、m=4としてpm=m×w)である。ラインpl内で、アパーチャのオフセットはn・pm=12である。従って、アパーチャは一度にフィールドpfの域の1/(n×m)=1/12のみをカバーし、n×m=12の画像素子うちの一つのみが図4に示すように露光することができ、他の素子はアパーチャの画像に関して「走査方向」sdに沿って基板を移動させる次のステップで露光される。
図4は基板上に生成された画像フィールドmfを示す。明確さの目的で、全てのアパーチャはこの図でスイッチオンされていると仮定する。画像フィールドの幅fwは、投射システムの縮小係数によって縮小されたPDフィールドpfの幅Lである。画像フィールドは複数の画像素子mx(画素ともいう)からなる。基板上の画像フィールドの任意の位置に対して、アパーチャ・アレイのアパーチャ21の各々は画像素子mxに対応しているが、PDフィールド域の一部のみをカバーしているだけである。多数の画像素子の対応する部分のみ(図4でハッチングを付けて示す)を一度に露光することができる。他の画像素子も露光させるために、画像フィールドが基板上をシフトするように基板がビーム下で移動される。図4aは可能12(=n×m)位置を通る基板の連続移動位置にある画素の露光状態を示し、画素は従ってアルファベットaからl(ハッチングを付して示した画素が位置aである)で表わされている。全画像フィールドmfは基板41として作用するフォトレジストでカバーされたウェハの表面上を移動し、基板面の全域をカバーする。ここで説明した例において、最小外形寸法は50nmであり、ここでは画素幅xとして規定されたウェハ上を照明すべき最小スポットは25nmである。画像フィールド幅fwは100μmであり、200×縮小投射システム(上述参照)に関連するこの画像フィールドを生成するために、方形形状PDフィールドは幅L=20mmである。結局、多数のラインplはL/w=4000であり、また4000ビット・ストリームが到来データ・ストリームによってアドレスされる。到来データ・ストリームは各画素のカラー深さの4000ビット倍で構成され、カラー深さは一般的に6ビット(64グレー・スケール・ステップに対応)である。横断方向において、列r1〜r3の各々においてfw/(n・x)=L/(n・w)=1333のアパーチャがある。
ビームレットのブランキングは、PDフィールドpfに対応するブランキング・フィールド内のアパーチャに各々対応する開口部のアレイからなるブランキング・プレートとして実現されるブランキング手段によって制御される。各開口部は一連のビーム・ブランキング電極からなり、ビームレットがターゲットに到達しない(「ブランキング」)ときに、そのパスのビームレットを偏向するように偏向プレートとして作用する。ブランキング手段はさらに隣り合うブランカーの静電フィールドをシールドするための付加的なプレートからなる。ブランキングのレイアウトと適切な回路に関するより詳細は、米国特許第6,768,125号で見ることができる。
本発明によれば、各カラム4のPDフィールドは、PDフィールドの画像が生成された、より正確には、スイッチオンされたアパーチャ上でターゲット41上に作像される。従って、四つの画像フィールド(または、概して、多数のカラムに対応する数)が、ウェハ上に一度に生成される。
一般的に、PDフィールドpf内のアパーチャの最小数は10,000程度、普通20,000を超えて現れることに注意しなければならない。同様のレイアウトにおいて、少なくともラインpl当たり64倍ライン数、すなわち、5μmのアパーチャで分数の計算1(n*m)=1/16で5.12×5.12mmのプレートに対する64*1024=65536のアパーチャがあり、その粒子ビームへの透過性がスイッチオン状態とスイッチオフ状態間を電気的に制御することができる。この数は半導体アプリケーションのための必要条件を考慮した基板上で少なくとも64グレー・スケールを生成するのに必要である。
さらに、本実施例において、アパーチャ21は同じ形状をしている。より一般的なレイアウトにおいて、アパーチャ形状は特定必要条件に合うように適用され変えることができる。例えば、フィールドpfのエッジに近いアパーチャ、または特定グレー・スケールアレイを実行するように選択されたアパーチャはより大きいか、より小さいサイズとなる。これは、例えばフィールドpfを横切って起こりうるドーズの不均質性を補正するために有用である。
図5は四つの画像フィールドp1,p2,p3,p4のあるターゲットとして作用するウェハ41のスナップショット平面図の例を示す。これまでの説明から明白となろうが、画像フィールドp1〜p4はウェハを横切って図5で水平方向に走行するラインに沿って移動する。図5のウェハ・ステージの適切な動きのために、ウェハ・ステージはウェハを右から左に移動すると仮定する、そうしてウェハを基準にすると、画像フィールドp1〜p4はウェハ面を横切って左から右にふらつくように現れる。(図5の一時的状況において、画像フィールドp4はウェハをちょうどはずれている。)一本の線が終了したときに、ウェハが垂直方向に次のラインに移り、次に露光され、というふうに全ウェハが露光されるまで続く。カラム4はウェハ面上の同じ形状とサイズの域A1,A2,A3,A4に割り当てられ、画像フィールドp1〜p4はそれぞれの域A1〜A4内のみを移動する。従って、画像フィールドは各々露光されるべきターゲット41の全面の対応する部分のみをカバーする。四つのカラムで示した実施例の特定例において、これはウェハ・ステージ運動が両横方向にウェハのサイズの半分に延長するだけであることを意味している。重要なのは、任意の数のカラムに対して、個々のカラムの位置が最大処理量、特にチップ寸法に対して適性化されることに注意することである。カラムの規則的なアレンジメントは、走査工程によってアドレスされるべき全ウェハ域をカバーする割り当て域を提供する必要はない。例えば、走査方向に沿った大きいステージ動作が許容され、この方向に沿ったカラムの距離が長くなる。他方で、オーバーラップするサブフィールドdoは過露光を意味し、交差域をデータ準備とタイミングで適切にすることを考慮する。カラム位置の選択で高い柔軟性が工業内で本発明によるツールを許容するのに都合よく働く。
画像フィールドp1〜p4の各々が、ターゲット上に画素画像を生成する相当なビームレットからなることを理解しなければならない。カラム当たりの高い画素数が、「マルチ走査ストライプ露光」書込み計画を実行するのに必須であり、その各カラムがウェハのサブフィールド内にあるパターン情報100%に的を絞る。これは特に、小さいアドレス・グリッドを備えたリトグラフによって必要とされるグレー・スケールとして真であり、単一カラム画像フィールド内部のラインに配置されたビームレットによるマルチ露光によって実行される。各カラムに多数のビームが要求されるもう一つの理由は、マスクレス・リソグラフィ・プロセスの信頼性を有意に増大させる大量の余剰(high−redundancy)にある。マルチ―カラム構成で異なるカラムによって発生された単一画素露光のオーバーレイは非常に高い安定性とアライメント精度を必要とすることに注意しなければならない。従って、本発明にとって好ましい計画は、全ての画素(適用可能ならば、グレー・スケールと冗長画素を含む)を最も有利な単一パス走査計画(単一パスと言うのはどのストライプもただ一度で走査され、パターンの100%が転送されることである)を使用する一つのカラムによって書き込むことである。このことがカラム直径を低い制限とし、アパーチャ・プレート・システムのサイズが、少なくとも走査方向にあるアパーチャ間の距離とグレー・スケール数を乗算した積にならなければならない。冗長性を所望ならば、グレー・レベル当たり一つを超えるアパーチャが必要である。一般的な値は、10mm方形サイズのアパーチャ・プレート上に各グレー・スケールにつき、5μmアパーチャが2つであり、プレート上のアパーチャ密度は1/16である。
マルチビーム・システムの適切な操作の重要な点は、ビーム対ビームのアライメント、すなわち、互いに関してカラムの相対的画像配置である。本発明において、ビーム対ビームのアライメントは、いくつかのビームが一つのチップに書き込まれるシステムよりも必須ではないので、それだけいっそう域A1,A2,…間のスティッチ線sl(図5)が、例えばいわゆる筆記線(スクライブ・ライン)上のチップ・フィールドcp間の領域内にあるようにして選ぶことができる。しかし、ウェハが異なる露光ツールによって露光されるいわゆるミックス―アンド―マッチ処理に対して、各ツールは個々の倍率誤差と配置誤差を有しており、ウェハ・アライメントに関する各カラムの絶対アライメントは、前回処理層の誤差を補正するようにマークしていることを知る必要がある。
個々のカラムによって発生されたビームのビーム対ビームのアライメントを調整する一つの可能性を、図6を参照して次に説明する。ビーム対ビームのアライメントは次のものの使用にもとづいている。すなわち、
1) アライメント・マーク62の付いたターゲット・チャック61、各カラムにつき少なくとも一つのアライメント・マーク、
2) 電子テスト・パターン、
3) ベスト・マッチの位置を決定するためにアライメント・マーク上でテスト・パターンを走査する走査デバイス325、
4) 超高時間解像度を有する第二電子(SE)検出器。
次に説明するように、アライメント・システムに関するさらなる詳細は本出願人(譲受人)による米国特許第4,967,088号で見ることができる。SE検出器(図6には示さず)は、アライメント・プレート上か、ちょうど第二電子顕微鏡のようにカラムの内部にもあるカラムの出口アパーチャとすることができる。出口プレートが好ましい場所である。
ビーム対ビーム位置の決定は、二次元非妨害アライメント・マークからなるチャックによって実現される。このチャックは、必要とされる熱安定性を満たすためにゼロデゥール(Zerodur)または非常に低い熱膨張係数の別の材料から作られるのが好ましい。アライメント・マークは、ゼロデゥール材料に直接構成されるか、またはゼロデゥール・チャックに接着あるいは溶着される異なる材料基板上に構成される。
アライメント・マークのパターンは、例えば二つの角度ドメイン内で選択されたスペースを保った線と空間からなり、これによって投射ビームに関する位置が電気的に発生されたテスト・パターンをアライメント・マークの上方にシフトし、テスト・パターンがアライメント・マーク・パターンと強力な相関関係を有するようになる。テスト・パターンの一つの可能な構造は、アパーチャ・プレート(全アパーチャがスイッチオンされている)の縮小画像でホールドされたアライメント・マスクのパターンである。従って、AND回路を線と空間パターンに論理的に接続するアパーチャ・プレート画像であるテスト・パターンは、アライメント・マスク・パターンの線に沿った単一アパーチャの強力に寄与するものを包含しており、その間には強度はまったくない。SE信号の時間解決量は、テスト・パターンが同じ個所に配置され、次にアライメント・マスク・パターンが配置されたときにのみ、シャープさが最高になる。
位置検出は二つの方向内の画像を走査することによって実行され、最高の画像相関関係(最大SE信号)の位置が最適化される。画像の走査方向に沿ってシフトすることは、正規の露光モードのように、しかし安定位置にある基板で、アパーチャ・プレート・システム自体によって、またはカラム偏向装置プレートあるいはこれら両者によって達成することができる。従って、2D相関機能は一体化SE信号によって与えられる。
SEコントラストを増すために、偏向装置プレートによる全画像フィールドを投射画像の動きに関して逆方向に走査、すなわち、ウェハ上の画像速度を相対的に下げること、またはアパーチャ・プレート・システム内の低下トグル周波数を使用することができる。前者は走査方向に沿ってアパーチャの幾何学的画像の一次元偏位につながる。
ナノ構造アライメント・マスクが地形的または化学的面接触によって影響を受け、外観サイズが5nm〜100nmの範囲で必要とされる解像度に依存している。必要とされる高精度構造を生成する方法は、例えば合焦イオン・ビーム・エッチングおよび溶着、あるいはリトグラフを基準にしたシリコン技術処理である。
カラム画像平面の調整および所望位置での拡大も必要である。画像平面のZ―位置(光軸に沿って測定された位置)の調整、拡大およびある範囲の画像歪も特定感度によるアライメント・マークを使用して同様にアライメント工程が実行される。合焦および歪調整に対して、最小の幾何学的スポット・サイズの範囲にある複数の非常に小さいドットを使用することができる。拡大測定に対して、相関構造が画像フィールドの外域に集中しているパターンを使用することができる。この外域の拡大誤差が最大である。画像平面変化は普通、露光および(または)露光中の動的測定前にマップされる。
上述した手段および方法は、拡大および画像Z―位置(画像平面)変形に関する光学投射システムの校正を実行するのにも使用することができ、この光学投射システムは大きい縮小のためのユニットおよびPDデバイス内のアパーチャ・プレート・システムのようなデバイスからなる。
アライメントの別の観点は、ウェハ・アライメントの観点である。ウェハ・アライメント・マークは、光学アライメント・マークか、またはe―ビーム検出アライメント・マークのいずれかにすることができる。光学標準平面に関するウェハのアライメントは、ウェハ上に直接配置されたアライメントを検出することに匹敵する露光ツールと同じ方法で実行される。走査工程中、アライメントはウェハ上のアライメント・マークおよび(または)ウェハ・チャック上のアライメント・マークの検出によって保持され、ビームはウェハの実際の位置に関してトラックされる。
並列光学カラムからなるマルチビーム・ツールの生産性に関する別の観点は、最終解像度に起因する。普通の規定によれば、最終解像度はドーズ分布の全幅、最大の半分で絶対的不鮮明である。文学的には、推計学的不鮮明に寄与する重要な結果となる幾分議論の余地のあり、またどれだけの電流がある一定のノードのために投射システムに使用することができるかである。上述したように、電流はカラムの形状寸法とビーム・エネルギーを最適化することによって最大にすることができる。一般的に、45nmノードの約25μAまでの範囲の電流は推計学的クーロン相互作用の重要性のみを考慮することが可能となる。しかしながら、特にカレントに依存する、したがって、パターンに依存する、グローバル空間帯電効果が考えられるとしたら、画像歪及び焦点ぼけ(defocusing)の効果がハイ・ビーム・カレントでは考慮されるべきである。しかし、大きい努力を回避し、空間電荷効果に関するリスクを軽減するために、本発明において最終的にカラム当たり大きい電流をターゲットにしていないが、ノードおよび光学系の実現に依存しており、クーロン当たり500nAと3〜5μA間の電流が特に重要である。このような電流に対して、300mmウェハのフットプリント上におけるカラムの密度パッケージングが利用可能製造技術を使用して可能である。
本発明に鑑みて、処理量は基本的にカラム数の関数、カラム当たりの電流およびストライプ幅(すなわち、一つのカラムによって露光されるストライプの幅)である。ストライプ幅は直接処理量に関係し、ステージの総方向転換時間内に絶対的に含まれる。総方向転換時間は、方向転換中の処理量を減じ、ウェハの露光は不可能である。カラムからカラムの距離が150mmに配置された2×2カラムの方形構成に対して、200×縮小光学系が100μmストライプ幅、カラム当たり4.1μAの電流を達成し、45nmノードで5WHPの処理量を達成することができる。対応するステージ・パラメータが、0.46cm/sの速度、11.1m/sの加速度および668m/sのジャークである。カラム当たり同じ電流で半分のストライプ幅が、40m/sステージ加速度を必要とし、これは技術的にずっときつい。可能なアレンジメントと対応する処理量の概略を表1に示す。
本発明の主たる利点の一つは、「付加的なパラメータ」が、一連の重大なパラメータ、すなわち、カラム数を適切にするのに導入されることである。重大なパラメータにはソースの明るさ、ステージ速度、加速度およびジャーク、さらにカラム当たりの電流が含まれる。選択された走査露光計画のためだけに、一つのパラメータを別のパラメータの増大によって、または換言すれば、一つのパラメータに関するリスクを別のパラメータによって補正することによって相当小さくすることが可能である。
例えば、走査露光システムの一つのボトルネックであるステージ必要条件を緩和するために、必要ならばカラム数を約100(この数は多数のグレー・スケールを含む単一パス走査ストライプ露光のための十分大きいサイズを有するPDからなる200×縮小係数の投射カラムの実行可能な最高密度のアレンジメントである)に増やすことができる。カラム数を増やすことは、走査域を一つのカラムによって、すなわち、n×mカラムが使用されれば、係数1/(n*m)によってアドレスされたサブフィールド域に低下させる結果になる。従って、無駄露光時間の相当な短縮になる。走査速度を低下させることによって、加速度およびジャークが変更されなければ、無駄露光時間の端数を直線的にスケールダウンする。これは単一の方向転換事象の方向転換時刻Tに対する分析式によって表わされる:
=G/J + 2vs/G
ここに、vsは走査速度、Gはステージの加速度、およびJはステージのジャークである。総方向転換、すなわち、無駄露光時間は一つのサブフィールド×時刻Tによるストライプ数である。
Figure 2005129944
表1


カラム数の上限に対する納得のいく値は、ウェハ当たりのチップ数であり、換言すれば各チップは一つのカラムによって露光されるのが好ましい。さらに、アパーチャ・プレートの既述した最小サイズに関するカラムの最小直径があり、これも300mmウェハ上のカラム密度に対する上限を規定している。ステージ必要条件を緩和するために、同じ数のカラムでストライプ幅を増やすこともできる。処理量に関する別のボトルネックは、確かに推計学的クーロン相関作用であるが、概してパターン依存歪につながり、さらに付加的な不明瞭さに寄与することにつながる空間―電荷関連歪でもあり、リトグラフには許容されない。カラム当たりの許容できる電流は決定することができ、従って任意の処理量に対して対応する数のカラムを、この処理量必要条件で任意のノードのための解像度を達成するために並列に使用しなければならない。ウェハに対して100keVの電子エネルギーで150mm直径の最適カラムの典型的な電流は、約5から10μAである。空間電荷の大きい影響を回避するために、カラム当たり約3から5μAが使用される。
ソース輝度の必要条件を緩和するために、カラム数、従って並列ソース数を増やすことができる。複数のパラメータ形態があり、その中のマルチ・ストライプ露光装置が作動する。設計の柔軟性、特に任意のソース・パラメータ、ステージ・パラメータ、画像収差および最適化処理量に関する空間電荷制限に対してカラム数を適切にすることは、本発明の別の主要な利点を提示する。
本発明の別の主要な利点は、再度、並列走査ストライプ露光を使用する並列書込計画、特にウェハの加熱に関連する。米国特許第6,768,125号に開示されたツールに対して、走査計画は、ウェハへの熱入力が最高の範囲に均一化されることが好ましい。しかし、電流が増すと、より小さいノードまたはより高い処理量を必要とし、ただ一つのカラム手段の使用が極端により高い電流密度となり、従ってウェハへの加熱電力が増大する。一つのカラムに基づくシステムは、低い解像度には拡張されない。前述していることは、増大した電流に対して、カラムは短縮する必要があり、普通これがストライプ幅の短縮に結びつく。従って、単一カラムによって達成されるべき15WPHの処理量は、ウェハに相当な熱負荷を伴い、さらに露光中レジスト材料の蒸発ともなる。他方で、本発明の観点において、ビーム・パワーはウェハ上に多数の異なるカラムによって均一に分布され、結果として従来のウェハ冷却にかけられるアライメントおよび管理可能な熱経費が重大でなくなる。アライメントに関して、さらに説明しなくてはならないことは、マルチ―カラム・アプローチに関連する低走査速度が、普通1秒当たり数センチメートルの範囲であり、ウェハの走査処理中、または特にチップの周りで、例えば方向転換中に検出されたアライメント・マークによって実際のウェハ位置の動的トラッキングを許容する。
マルチ走査ストライプ露光書込み計画は、さらに重大な利点を提供する。第一に、総データ・ストリームがいくつかの流れ(使用されたカラム数に基づいて、2から約100)に副分割され、総データ・ストリームの量の端数のみを有するようになる。これは例えば、45nmノードで約12WPHを必要とする2.5Tbit/sデータ・ストリームが、カラム数に基づいて4,6,9,16またはそれ以上に、一般的にi×j(i,jは整数)に効果的に分割されることを意味する。一つのカラムに分割されるべきデータ・レートの縮小は、本発明によって提供される重要な利点であり、ウェハのオーバーラップしないサブフィールド内で独立した書込みに基づいているデータ処理は、米国特許第6,768,125号に開示された以外の書込み計画が使用されればずっと複雑になり、一つのデータ・ストリームがアパーチャ・プレート上部のシフト・レジスタを介してシフトされ、画素当たり5bitに対して複数のグレー・スケールと相当な冗長を発生する。
完全なウェハが蛇行状態にシーケンスで最初のチップから最終チップにストライプ毎に操作される米国特許第6,768,125号に開示された走査計画が、本発明に使用することができ、その修正例は各画像フィールドが全ウェハ域を横切って走査されず、図5を参照して上述したように域の一部のみを走査する。サブフィールドの境界線がダイの内側にあるようなチップ数であれば、最も便利な走査計画が一つのストライプから次のストライプへ、頂部から底部へ走査される。
再度図1と2を参照して、照明および投射システム10、30に使用された粒子―光学レンズは、静電レンズELまたは電磁レンズMLで実行することができる。図示実施例において、抽出システムは静電レンズであり、また照明システムのコンデンサ・レンズ13は静電レンズL1(図2)として実行される。投射光学系30は静電レンズと磁気レンズ両方からなり、より詳しく説明すると、第一レンズは静電レンズL2であり、一方連続する三つのレンズは磁気レンズL3,L4,L5である。
レンズL1〜L5は個々のビームの全体的光学処理を決定する主要レンズとして作用する。これらのレンズは全カラムに対し一致して作動するので、これらには共通電圧源と電流源がそれぞれ接続される。均一な電磁背景で取り巻かれて配置された同様のカラムによる(仮想上の)理想的なセットアップにおいて、レンズL1〜L5は照明および投射システムとして十分である。しかし、例えば製造公差または変化する背景フィールドのために、個々の差がレンズ系の画像特性を僅かに異ならせることになる。カラムのこれら個々の偏差を修正するために、補助レンズLB1〜LB5がレンズL1〜L5に設けられている。補助レンズLB1〜LB5は対応する主レンズL1〜L5の前方または後方の適切な位置に配置される。特別な場合において、レンズはその間に配置することもできる。主レンズL1〜L5とは逆に、補助レンズは、カラム各々に対して個々の電圧または電流が印加されるが、補助レンズが主レンズによって生成された基本フィールドを補正する働きのみをするので、補助レンズに印加される電圧/電流は、主レンズの電圧/電流よりも相当小さい。例えば、コンデンサ・レンズL1のような静電レンズでは、主レンズL1の電極に印加される電圧はkV程度であり、一方補助レンズLB1に関わる電圧はほんの数Vまたは数100V程度である。投射システム30の全四つの補助レンズを実際に設ける必要がないことに注意すべきである。これはその二つが個々の収差を修正するために既に十分制御可能だからである。
図7は切断面がカラム4間を走行する装置1の別の長手方向断面を示す。図から分かるように、カラムは異なるカラムの主レンズの対応する電極間の電気接続としても作用するストラット・プレート8によって相互連結されている。これに反して、カラムは各々それ自体PDデバイス20を備えていることがわかる。各カラムは互いに抗して、またハウジング2に抗して電極の必要とされる空間を提供する一連の絶縁体によって保持されている。ストラット・プレート8は電極との電気接点を提供する。電極に印加される高電圧のための接点は、ストラット・プレート8に作られ、補助電圧は付加的な低電圧源(例えば、バッテリ)によって供給することができる。金属と絶縁部分間の接続は、全支持部材の必要とする強度を保証するためにハンダ付けまたは適切なねじ止めによって実行することができる。PDデバイスは、例えば次の電極上に配置された運動学的取付部材によって保持される。これはPDデバイスを交換しなければならない場合に再生可能性を保証する。
カラム4´の空間内に嵌合できる粒子―光学系のいずれの適切なレイアウトも使用できることを理解すべきである。図8はカラムの長さを短くするのに特に適した変形投射システム30´を備えた光学系を示す。投射システム30´も二つのクロスオーバーを備えた、しかし三つのレンズL2´、L4´、L5´のみを備えた縮小投射光学系を実行する。この投射システムは、図2の光学系と比較して第一と第二ステージ間で近似テレセントリック・ビームを形成しない。図8のシステムにおいても、どのレンズL1,L2´,L4´,L5´もそれぞれ補助レンズLB1,LB2´,LB4´,LB5´を備えている。しかし、全て三つの補助レンズLB2´,LB4´,LB5´は、投射システム30´には実際存在する必要はない。なぜなら、そのうちの二つが既にそれぞれの収差を修正するために十分制御可能だからである。
図9は6×6のアレイに配列された42のカラムを備えた変形装置102の長手方向断面を示す。切断面は粒子光学カラム4´のラインの一つを通って走行している。換言すれば、この装置102は、図1に示した装置1に対応している。カラムの配列は規則的な矩形アレイである必要はなく、いずれの二次元配列にもできることに注意する価値がある。一つの特に有利な例は、ウェハ・ターゲット上のチップ・フィールドの位置に似せた配列にカラムを配列する(図5参照)。
静電レンズをカラムに割り当てられた規定横方向空間内に収容するために、装置1の静電レンズELに対してマルチ電極レンズが図10の長手方呼応断面内に表示されたようなレイアウトを用いて使用される。マルチ電極レンズMLは、光軸に沿って配列された実質上等しい形状の一連の電極EMを含む電極カラムからなる。レンズの前方開口部(すなわち、電子の入る個所)に配置された前方電極EFRと、後方開口部に配置された最終電極EFNは、電子がそれぞれ静電レンズMLに流入および流出する際に露光される初期および最終静電電位を決定するために設けられている。どの電極EMも個々の電位を印加するためのそれ自体の給電部(不図示)を備えている。個々電位は、例えば最低電位と最大電位を供給する少数の電位から個々の静電位を補間する抵抗器アレイによって発生させることができる。個々の供給源は、特に電極数が多く過ぎないときに、電源(不図示)から直接供給を受けることができる。1カラム基準ツールにマルチ電極レンズを使用することは、外部から真空装置へ対応する電位で全電子を供給するための相当、かつ、費用のかかる努力を必要とすることになる。本発明によるマルチ―カラム・セットアップは、電源の高い並行使用を可能にし、費用と空間必要条件を下げることができる。低電圧部材はバッテリ電源による供給を受けることができ、真空装置内部に配置され、さらにコンパクト性と機能性が改善される例えば光学リンクを介してシステム・コンピュータによって制御される。
単一電極EMの形状は、図10aの長手方向断面詳細に示される。この電極EMは光軸の周りを回転対称であり、従って電子ビームの光路を取り巻くリングを形成している。電極は二つの主要部材、すなわち、外方部材リングORおよび外方部材リングから内方向、従って光軸に向かって突出する内方部IRからなる。外方部材リングはシリンダー形状をなし、その前面f1と後面f2がマルチ電極レンズ群内の次電極および前電極に向いてそれぞれ対面している。各電極の後面f2とそれぞれ次電極の前面間に、小さい空隙が設けられている。この空隙は、電圧が電極間に存在するために必要であり、空隙の幅はリトグラフ・デバイス内の残留ガスの電気特性に鑑みて二つの隣り合う電極間の最大許容電圧に基づいて選択される。
電極EMの内方部IRは、光軸に向かう円形エッジcd内で終端内側にテーパの付けられた内方部材リングを形成している。うまく規定されたレンズ・フィールドを得るために、内方部IRの最内部分は薄くなっていなければならないが、電子の電界放射を回避するために鋭いエッジを有していないことである。内方部IRの機械的安定性の観点で、厚みは光軸cxからの距離とともに増し、半径方向に沿って計測して内方部の幅wpiの少なくとも1/10でなければならない。
内方部材リングIRは、連続する電極間の空隙をカバーするように肩部s1が形成される方法で外方部材リングORに結合されている。好ましくは、レンズMLを取り巻く磁気シールドMS(図1および4のシールディング3に対応)に付加して、電極EMも軟磁気材料、すなわち、急勾配であるが細いヒステリシス曲線を有し、環境条件において高い透磁率、少なくとも100、好ましくは少なくとも300の磁気材料で作られる。
この種の材料は、従来技術から周知である。例えば、軟鉄またはいわゆるパーマロイが、電極EM用に嵩高い材料として使用することができる。この場合において、外方肩部s1の存在が、磁力線の内部空間への「漏洩」を阻止する働きをする。これは空隙を進行する磁力線が肩部s1に当たり、従って磁気材料に進入し、内部空間周辺に磁力線を導入する。部材リングORの内側において、連続する電極(図10aには示していない)の内方部材リング用に空間を残すために内方肩部s2が外方肩部s1に対応して設けられている。
電極電位を変化させることになる絶縁層への電荷の集積を阻止するために、電極はZrNまたはTiNのような導電性の優れた薄層材料でコーティングされるのが好ましい。
たとえ電極が強磁性材料で作られていなくても、従って磁気シールディング(単一シールディング)の一部でなくても、しかも2倍の高いシールディング係数が例えば同じ焦点距離のアインツェルーレンズと比較してマルチ電極レンズにおける材料の半分未満で得られる。これは外方シールドのより小さい外形寸法によるものである。従って、この場合においても、直径の縮小は磁気シールディングに実質上利点をもたらす。
静電リニア粒子加速装置の経験から、加速電極における二次電子の生成は電子増幅につながり、二次電子マルチプライアで利用するものと匹敵する効果を有している。加速装置管内の電子量の増加が電極を通る電流の増加となり、起こりうる電圧破壊まで電圧不安定性を誘起する。
本発明の帯電粒子光学系において、二次電子は絶縁体および絶縁層の帯電のような他の望まない作用をする責任もある。これによって光学的性能を低下させ、または作像されるべき物体(マスク、アパーチャ・プレートまたはこれと同様のもの)に向けて加速される二次正イオンの生成がある。
従って、光学カラムへ放射される二次電子の数を最小化することが重要である。これは電極の「裏」側、すなわち、より高いエネルギーに向けて方向付けられた電極面の磁界が、この電極面から放出された二次電子が直接次の電極、すなわち、放射面と対面する「表」面に加速するようにして(半径方向対称の)電極を成形する事によって実行される。この趣旨で、電極ホール(これを通って帯電粒子が進行する)を閉止し、各電極の裏面が基本的に円錐状でなければならず、円錐形の断面線は任意にカーブしており、円錐形のベースはシステムの低エネルギー端に向けて設けられている。「前」面で生成された二次電子のうち電極の低エネルギー端に向かうものはこの面に戻るように加速され、光学カラム内に入る機会がなく、すなわち、増幅されることはない。
このような二次電子作用を阻止するために、図11に示した別の適切なマルチ電極カラムにおいて、電極EM’の内方部IR’は湾曲形状をなしている。この内方部IR’には円形エッジcdから外方に向けて延長する凹面cvが設けられている。帯電粒子が電極カラムに流入する方向に向けて対面するように方向付けられている。
図11aにおいて、一つの電極を、電極面から出発する1eV電子の方向とともに詳細に示す。図11aから分かるように、裏面の断面線crの「円錐」態様は電極の最内側半径からの距離で(電極の光軸に沿ってみた電極の開口幅の半径)出発している。これはエッジcdの外形が制限寸法の円cdとして形成されているからである。円cdの最小半径は、真空内で許容される最大磁界強度によって決定され、従って電極からのスパークリングが回避される。
電子の軌道の例は図11aに描かれており、符号‘a’の付けられた電子のみが光学カラムの内方部に放射されることになり、一方電子‘b’、‘c’および‘d’は、隣の電極の前面に向かって加速されることになる。
図11aに示す変形例において、「前」面cvおよび「裏」面crの内方部の断面線も円形である。円の半径は材料の安定性だけでなく高電圧安定性基準に基づいて選択される。二次電子が光学カラム内に放出される領域が少し大きくなることを犠牲にすれば円とは異なる形状、例えば対称軸の周りを回転させたとき「標準」円錐セグメントに対応する直線も選択できる。図11および11aの変形例において、内方部IR’は裏側で外方部材リングOR’を越えて延長し、これによって内方部IR’の裏側の湾局面が、連続する電極の外方部材リングOR’間に形成された空隙を介して「漏洩」する磁力線を回避する肩部として作用する。
本発明の実施例によるマルチビーム装置を示す図である。 図1に示す装置の要素の概略図である。 図1の装置に使用されたパターン規定デバイス内のアパーチャ配列を示す平面図である。 基板面上に表わされた画像フィールドを示す図である。 図1の装置のカラムによって書かれたウェハの域を示す図である。 カラム配列の概略図である。 図1の装置を別の断面で示す図である。 短縮された投射光学系を備えた装置の別の変形例を示す図である。 カラム数の増えた図1の装置の変形例を示す図である。 静電レンズとして使用されたマルチ電極カラムの変形例を示す図である。 静電レンズとして使用されたマルチ電極カラムの変形例を示す図である。

Claims (23)

  1. 帯電粒子の複数のビームでターゲット(41)を露光する帯電粒子マルチビーム露光装置(1)であって、前記粒子ビームがターゲット(41)に向かって平行ビーム経路に沿って伝播し、
    前記粒子ビームの各々のために、照明システム(10)、成形手段(20)および投射光学系(30)が設けられており、照明システム(10)がビームを生成するとともにこれを実質的にテレセントリック・ビームに変形し、成形手段を照明するように適用され、成形手段(20)が照明ビームの形状を所望のパターンに形成するように適用され、また投射光学系(30)が成形手段内に規定されたビーム形状の画像をターゲット(40)上に投射するように適用され、
    各粒子ビームの成形手段(20)がそれぞれの粒子ビーム内にある多数のビームレットを規定するパターン規定手段として実現され、前記手段がアパーチャを透過するビームレットの形状を規定する複数の前記アパーチャのみを通る照射ビームを通過させるように適用されており、前記手段がさらにビームレットのそれぞれの経路から選択されたビームレットの通過をスイッチオフするブランキング手段からなり、
    照明システム(10)および(または)投射光学系(30)が一つを超える粒子ビームに対して共通のレンズ素子(L1,L2,L3,L4,L5)を有する粒子光学レンズからなる帯電粒子マルチビーム露光装置。
  2. 共通レンズ素子が、粒子ビームの各々のために設けられるとともに単独の電源に接続された個々のレンズ素子として実現される請求項1に記載の装置。
  3. 共通レンズ素子が、粒子ビームの各々を取り巻く共通の構造部材によって実現される請求項1または2に記載の装置。
  4. 照明システム(10)と投射光学系(30)の粒子―光学レンズが、一つを超える粒子ビームに対して共通のレンズ素子(L1,L2,L3,L4,L5)だけでなく、個々にそれぞれ一つの粒子ビームであり、個々の電源に接続されたレンズ素子(LB1,LB2,LB3,LB4,LB5)からなる請求項1から3のいずれか一つに記載の装置。
  5. 照明システム(10)と投射光学系(30)の粒子―光学レンズが、マルチ粒子ビームに対して共通の静電レンズ(L1,L2,L3,L4,L5)だけでなく、個々にそれぞれ一つの粒子ビームであり、共通の静電レンズ(L1,L2,L3,L4,L5)の個々の修正を導入する静電レンズ素子(LB1,LB2,LB3,LB4,LB5)からなる請求項1から4のいずれか一つに記載の装置。
  6. パターン規定手段(20)内のアパーチャが、同じ形状である請求項1から5のいずれか一つに記載の装置。
  7. パターン規定手段(20)内のアパーチャが、ターゲット(41)上に同じ形状の画像を生成する形状を有する請求項1から5のいずれか一つに記載の装置。
  8. 投射システムが、二つの連続するクロスオーバーを有する縮小投射光学部を実行する三つまたはそれ以上の合焦素子からなる請求項1から7のいずれか一つに記載の装置。
  9. 2ステージ縮小システムを実現する4レンズを有する投射レンズ系からなり、ビームの一部が第一と第二クロスオーバー間に配置された中間画像の位置でビーム調整とビーム分析のために使用される請求項1から8のいずれか一つに記載の装置。
  10. 露光工程のコース内に露呈されるべきターゲットの全域をカバーするビームに基づいて予め規定された走査動作によるマルチビーム下でターゲット(41)を移動するように適用されたターゲット・ステージ(40)からなる請求項1から9のいずれか一つに記載の装置。
  11. ターゲット・ステージ(40)が、ターゲットのサブフィールドの全域をカバーする各ビームにより走査動作を実行するように適用され、サブフィールドが露呈されるべき全域に完全に総計される請求項10に記載の装置。
  12. ターゲット・ステージ(40)が、ターゲットのサブフィールドの全域をカバーする各ビームにより走査動作を実行するように適用され、ビームのサブフィールドが露呈されるべきターゲットの全域の分かれた部分をカバーする請求項10または11に記載の装置。
  13. ターゲット・ステージ(40)が、単一パス走査ストライプ露光パターン(図5)内のターゲットのサブフィールド全域をカバーする各ビームにより走査動作を実行するように適用される請求項10から12のいずれか一つに記載の装置。
  14. 各粒子ビームに対して、アパーチャが配備されたパターン・フィールド(pf)を有するパターン規定手段が設けられ、前記パターン・フィールドがアパーチャのサイズ(w)の少なくとも500倍の長さ(L)を有する請求項1から13のいずれか一つに記載の装置。
  15. 各粒子ビームに対して、パターン規定手段が少なくとも20000アパーチャを備えており、その粒子ビームに対する透過度がスイッチオンとスイッチオフ状態間で電気的に制御可能である請求項1から14のいずれか一つに記載の装置。
  16. それぞれのビーム経路を取り巻く実質上回転対称(EFR,EM,EFN)の実質的に等価形状の少なくとも三つの電極シリーズとして実行された電極カラムを有する静電レンズ(ML)からなり、前記電極がビーム経路の中心を表わす光軸に沿って同心的に連続する順に配列されている請求項1から15のいずれか一つに記載の装置。
  17. 静電レンズの全電極の外径がレンズ内の前記粒子ビーム経路の最大半径の5倍より大きくない請求項16に記載の装置。
  18. 電極カラムの電極(EM)が環境条件で比透磁率が100より大きい軟磁気材料で少なくとも一部が作られている請求項16または17に記載の装置。
  19. 比透磁率が300より大きい請求項18に記載の装置。
  20. 電極カラムを取り巻くとともに電極カラムの少なくとも長さ全体に渡り光軸の方向に沿って延長している軟磁気材料で作られている磁気シールド管(MS,3)をさらに含む請求項16から19に記載の装置。
  21. 一つまたは複数の電極カラムの電極(EM)の外方部(OR)が次の電極と前の電極のそれぞれに向いて対面している対応する対応面(f1,f2)を有している請求項16から20のいずれか一つに記載の装置。
  22. 電極カラムの各電極(EM)が、次の電極と前の電極のそれぞれに向いて対面している対応する対応面(f1,f2)を備えたシリンダー形状を有する外方部材リング(OR)からなり、さらに光軸に向かう方向に円形(ed)を付けた内方部材リング(IR)を含む請求項16から20のいずれか一つに記載の装置。
  23. 内方部材リング(IR)が円形エッジ(cd)から外方に延長するとともに帯電粒子が電極カラムに進入する方向に向けて対面している(cv)を備えている請求項22の静電レンズ。

JP2004305981A 2003-10-20 2004-10-20 帯電粒子マルチビーム露光装置 Active JP4835897B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
ATA1660/2003 2003-10-20
AT16602003 2003-10-20

Publications (2)

Publication Number Publication Date
JP2005129944A true JP2005129944A (ja) 2005-05-19
JP4835897B2 JP4835897B2 (ja) 2011-12-14

Family

ID=33479928

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004305981A Active JP4835897B2 (ja) 2003-10-20 2004-10-20 帯電粒子マルチビーム露光装置

Country Status (3)

Country Link
US (1) US7214951B2 (ja)
JP (1) JP4835897B2 (ja)
GB (1) GB2408143B (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009505384A (ja) * 2005-08-09 2009-02-05 カール ツァイス エスエムエス ゲゼルシャフト ミット ベシュレンクテル ハフツング 粒子光学系
WO2009157054A1 (ja) * 2008-06-24 2009-12-30 株式会社アドバンテスト マルチコラム電子ビーム露光装置及び磁場発生装置
JP2011517131A (ja) * 2008-04-15 2011-05-26 マッパー・リソグラフィー・アイピー・ビー.ブイ. 小ビームブランカ構成体
JP2015211040A (ja) * 2014-04-25 2015-11-24 アイエムエス ナノファブリケーション アーゲー パターン切削用マルチビーム・ツール
JP2015211041A (ja) * 2014-04-25 2015-11-24 アイエムエス ナノファブリケーション アーゲー パターン切削用マルチビーム・ツール
US10361067B2 (en) 2013-06-26 2019-07-23 Canon Kabushiki Kaisha Drawing apparatus, and method of manufacturing article by controlling a plurality of charged particle optical systems based on respective sets of sub-drawing regions

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2406704B (en) * 2003-09-30 2007-02-07 Ims Nanofabrication Gmbh Particle-optic electrostatic lens
US7185310B2 (en) * 2004-04-14 2007-02-27 Hitachi Global Storage Technologies System and method for charge-balanced, continuous-write mask and wafer process for improved colinearity
DE102004052994C5 (de) * 2004-11-03 2010-08-26 Vistec Electron Beam Gmbh Multistrahlmodulator für einen Partikelstrahl und Verwendung des Multistrahlmodulators zur maskenlosen Substratsstrukturierung
GB2435348B (en) * 2004-11-17 2009-06-24 Ims Nanofabrication Ag Pattern lock system for particle-beam exposure apparatus
JP5214090B2 (ja) * 2004-11-30 2013-06-19 株式会社Sen ビーム偏向走査方法及びビーム偏向走査装置並びにイオン注入方法及びイオン注入装置
TWI274787B (en) * 2005-01-04 2007-03-01 Prec Instr Dev Ct Nat Method and apparatus for fabricating nanostructure multi-element compound
TWI432908B (zh) * 2006-03-10 2014-04-01 Mapper Lithography Ip Bv 微影系統及投射方法
JP5241195B2 (ja) * 2006-10-30 2013-07-17 アイエムエス ナノファブリカツィオン アーゲー 荷電粒子露光装置
NL2001369C2 (nl) * 2007-03-29 2010-06-14 Ims Nanofabrication Ag Werkwijze voor maskerloze deeltjesbundelbelichting.
US8445869B2 (en) 2008-04-15 2013-05-21 Mapper Lithography Ip B.V. Projection lens arrangement
US8890094B2 (en) 2008-02-26 2014-11-18 Mapper Lithography Ip B.V. Projection lens arrangement
JP5634052B2 (ja) * 2009-01-09 2014-12-03 キヤノン株式会社 荷電粒子線描画装置およびデバイス製造方法
EP2228817B1 (en) * 2009-03-09 2012-07-18 IMS Nanofabrication AG Global point spreading function in multi-beam patterning
WO2010137257A1 (ja) * 2009-05-27 2010-12-02 株式会社日立ハイテクノロジーズ 荷電粒子線応用装置及び試料観察方法
US8362441B2 (en) 2009-10-09 2013-01-29 Mapper Lithography Ip B.V. Enhanced integrity projection lens assembly
NL2003619C2 (en) * 2009-10-09 2011-04-12 Mapper Lithography Ip Bv Projection lens assembly.
US8987679B2 (en) 2009-10-09 2015-03-24 Mapper Lithography Ip B.V. Enhanced integrity projection lens assembly
EP2587517B1 (en) 2010-10-27 2015-09-09 Param Corporation Electron lens and the electron beam device
US9305747B2 (en) 2010-11-13 2016-04-05 Mapper Lithography Ip B.V. Data path for lithography apparatus
US8455838B2 (en) 2011-06-29 2013-06-04 Kla-Tencor Corporation Multiple-column electron beam apparatus and methods
US8933425B1 (en) 2011-11-02 2015-01-13 Kla-Tencor Corporation Apparatus and methods for aberration correction in electron beam based system
US11348756B2 (en) 2012-05-14 2022-05-31 Asml Netherlands B.V. Aberration correction in charged particle system
US8953869B2 (en) 2012-06-14 2015-02-10 Kla-Tencor Corporation Apparatus and methods for inspecting extreme ultra violet reticles
EP2757571B1 (en) * 2013-01-17 2017-09-20 IMS Nanofabrication AG High-voltage insulation device for charged-particle optical apparatus
JP2015023286A (ja) 2013-07-17 2015-02-02 アイエムエス ナノファブリケーション アーゲー 複数のブランキングアレイを有するパターン画定装置
EP2830083B1 (en) 2013-07-25 2016-05-04 IMS Nanofabrication AG Method for charged-particle multi-beam exposure
US20150069260A1 (en) 2013-09-11 2015-03-12 Ims Nanofabrication Ag Charged-particle multi-beam apparatus having correction plate
US20150187540A1 (en) * 2013-12-27 2015-07-02 Canon Kabushiki Kaisha Drawing apparatus and method of manufacturing article
EP2913838B1 (en) 2014-02-28 2018-09-19 IMS Nanofabrication GmbH Compensation of defective beamlets in a charged-particle multi-beam exposure tool
EP2950325B1 (en) 2014-05-30 2018-11-28 IMS Nanofabrication GmbH Compensation of dose inhomogeneity using overlapping exposure spots
JP6892214B2 (ja) 2014-07-10 2021-06-23 アイエムエス ナノファブリケーション ゲーエムベーハー 畳み込みカーネルを使用する粒子ビーム描画機のカスタマイズ化
US9568907B2 (en) 2014-09-05 2017-02-14 Ims Nanofabrication Ag Correction of short-range dislocations in a multi-beam writer
JP2016092136A (ja) * 2014-10-31 2016-05-23 キヤノン株式会社 描画装置、および、物品の製造方法
CN104576286A (zh) * 2014-12-31 2015-04-29 同方威视技术股份有限公司 用于离子迁移谱仪的电极环、离子迁移管、离子迁移谱仪
US10008364B2 (en) * 2015-02-27 2018-06-26 Kla-Tencor Corporation Alignment of multi-beam patterning tool
US9653263B2 (en) 2015-03-17 2017-05-16 Ims Nanofabrication Ag Multi-beam writing of pattern areas of relaxed critical dimension
EP3096342B1 (en) 2015-03-18 2017-09-20 IMS Nanofabrication AG Bi-directional double-pass multi-beam writing
US9589764B2 (en) * 2015-03-27 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Electron beam lithography process with multiple columns
US10410831B2 (en) 2015-05-12 2019-09-10 Ims Nanofabrication Gmbh Multi-beam writing using inclined exposure stripes
US10325756B2 (en) 2016-06-13 2019-06-18 Ims Nanofabrication Gmbh Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer
US10242839B2 (en) * 2017-05-05 2019-03-26 Kla-Tencor Corporation Reduced Coulomb interactions in a multi-beam column
US10325757B2 (en) 2017-01-27 2019-06-18 Ims Nanofabrication Gmbh Advanced dose-level quantization of multibeam-writers
US10522329B2 (en) 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids
US10651010B2 (en) 2018-01-09 2020-05-12 Ims Nanofabrication Gmbh Non-linear dose- and blur-dependent edge placement correction
US10840054B2 (en) 2018-01-30 2020-11-17 Ims Nanofabrication Gmbh Charged-particle source and method for cleaning a charged-particle source using back-sputtering
WO2020108801A1 (en) * 2018-11-30 2020-06-04 Arcam Ab Apparatus and method for forming a three-dimensional article
US11099482B2 (en) 2019-05-03 2021-08-24 Ims Nanofabrication Gmbh Adapting the duration of exposure slots in multi-beam writers
KR20210132599A (ko) 2020-04-24 2021-11-04 아이엠에스 나노패브릭케이션 게엠베하 대전 입자 소스
EP4095882A1 (en) 2021-05-25 2022-11-30 IMS Nanofabrication GmbH Pattern data processing for programmable direct-write apparatus
US20230052445A1 (en) * 2021-08-12 2023-02-16 Ims Nanofabrication Gmbh Beam Pattern Device Having Beam Absorber Structure

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5423476A (en) * 1977-07-25 1979-02-22 Akashi Seisakusho Kk Composite electron lens
JPS61263217A (ja) * 1985-05-17 1986-11-21 Nippon Telegr & Teleph Corp <Ntt> 荷電ビ−ム露光装置
JP2000252207A (ja) * 1998-08-19 2000-09-14 Ims Ionen Mikrofab Syst Gmbh 粒子線マルチビームリソグラフイー
WO2001075950A1 (fr) * 2000-04-04 2001-10-11 Advantest Corporation Appareil d'exposition multifaisceau comprenant une lentille electronique multiaxe, procede de fabrication de ladite lentille, et procede de fabrication d'un dispositif a semi-conducteur
US20020015143A1 (en) * 2000-02-19 2002-02-07 Yin Edward M. Multi-beam multi-column electron beam inspection system
JP2002110534A (ja) * 2000-10-03 2002-04-12 Advantest Corp 半導体素子製造システム、電子ビーム露光装置
JP2002175968A (ja) * 2000-12-06 2002-06-21 Advantest Corp 電子ビーム露光装置及び電子レンズ
US20030085360A1 (en) * 1999-11-23 2003-05-08 Multibeam Systems, Inc. Electron optics for multi-beam electron beam lithography tool
JP2003203836A (ja) * 2001-12-28 2003-07-18 Canon Inc 露光装置及びその制御方法並びにデバイス製造方法
JP2003297732A (ja) * 2002-04-04 2003-10-17 Hitachi Ltd 電子ビーム描画方法及び描画装置、並びにこれを用いた半導体製造方法
JP2003332207A (ja) * 2002-05-10 2003-11-21 Advantest Corp 電子ビーム露光装置及び電子ビーム処理装置
JP2004040076A (ja) * 2002-01-17 2004-02-05 Ims Nanofabrication Gmbh パターンを基板上に露光するマスクレス粒子ビーム装置
JP2004055613A (ja) * 2002-07-16 2004-02-19 Canon Inc マルチビーム発生装置及び電子ビーム描画装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4694178A (en) * 1985-06-28 1987-09-15 Control Data Corporation Multiple channel electron beam optical column lithography system and method of operation
AT393925B (de) * 1987-06-02 1992-01-10 Ims Ionen Mikrofab Syst Anordnung zur durchfuehrung eines verfahrens zum positionieren der abbildung der auf einer maske befindlichen struktur auf ein substrat, und verfahren zum ausrichten von auf einer maske angeordneten markierungen auf markierungen, die auf einem traeger angeordnet sind
US4985634A (en) * 1988-06-02 1991-01-15 Oesterreichische Investitionskredit Aktiengesellschaft And Ionen Mikrofabrications Ion beam lithography
US5012105A (en) * 1989-02-02 1991-04-30 Nippon Seiko Kabushiki Kaisha Multiple-imaging charged particle-beam exposure system
EP1171901B1 (en) * 2000-02-09 2008-10-08 Fei Company Multi-column fib for nanofabrication applications
US6797953B2 (en) * 2001-02-23 2004-09-28 Fei Company Electron beam system using multiple electron beams
JP4183454B2 (ja) * 2002-08-02 2008-11-19 株式会社日立ハイテクノロジーズ 電子ビーム描画装置
US6995830B2 (en) * 2003-12-22 2006-02-07 Asml Netherlands B.V. Lithographic projection apparatus and device manufacturing method

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5423476A (en) * 1977-07-25 1979-02-22 Akashi Seisakusho Kk Composite electron lens
JPS61263217A (ja) * 1985-05-17 1986-11-21 Nippon Telegr & Teleph Corp <Ntt> 荷電ビ−ム露光装置
JP2000252207A (ja) * 1998-08-19 2000-09-14 Ims Ionen Mikrofab Syst Gmbh 粒子線マルチビームリソグラフイー
US20030085360A1 (en) * 1999-11-23 2003-05-08 Multibeam Systems, Inc. Electron optics for multi-beam electron beam lithography tool
US20020015143A1 (en) * 2000-02-19 2002-02-07 Yin Edward M. Multi-beam multi-column electron beam inspection system
WO2001075950A1 (fr) * 2000-04-04 2001-10-11 Advantest Corporation Appareil d'exposition multifaisceau comprenant une lentille electronique multiaxe, procede de fabrication de ladite lentille, et procede de fabrication d'un dispositif a semi-conducteur
JP2002110534A (ja) * 2000-10-03 2002-04-12 Advantest Corp 半導体素子製造システム、電子ビーム露光装置
JP2002175968A (ja) * 2000-12-06 2002-06-21 Advantest Corp 電子ビーム露光装置及び電子レンズ
JP2003203836A (ja) * 2001-12-28 2003-07-18 Canon Inc 露光装置及びその制御方法並びにデバイス製造方法
JP2004040076A (ja) * 2002-01-17 2004-02-05 Ims Nanofabrication Gmbh パターンを基板上に露光するマスクレス粒子ビーム装置
JP2003297732A (ja) * 2002-04-04 2003-10-17 Hitachi Ltd 電子ビーム描画方法及び描画装置、並びにこれを用いた半導体製造方法
JP2003332207A (ja) * 2002-05-10 2003-11-21 Advantest Corp 電子ビーム露光装置及び電子ビーム処理装置
JP2004055613A (ja) * 2002-07-16 2004-02-19 Canon Inc マルチビーム発生装置及び電子ビーム描画装置

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009505384A (ja) * 2005-08-09 2009-02-05 カール ツァイス エスエムエス ゲゼルシャフト ミット ベシュレンクテル ハフツング 粒子光学系
US8368015B2 (en) 2005-08-09 2013-02-05 Carl Zeiss Sms Gmbh Particle-optical system
JP2011517131A (ja) * 2008-04-15 2011-05-26 マッパー・リソグラフィー・アイピー・ビー.ブイ. 小ビームブランカ構成体
JP2011517130A (ja) * 2008-04-15 2011-05-26 マッパー・リソグラフィー・アイピー・ビー.ブイ. 投影レンズ構成体
JP2013140997A (ja) * 2008-04-15 2013-07-18 Mapper Lithography Ip Bv 投影レンズ構成体
JP2013140998A (ja) * 2008-04-15 2013-07-18 Mapper Lithography Ip Bv 小ビームブランカ構成体
WO2009157054A1 (ja) * 2008-06-24 2009-12-30 株式会社アドバンテスト マルチコラム電子ビーム露光装置及び磁場発生装置
US8390201B2 (en) 2008-06-24 2013-03-05 Advantest Corp. Multi-column electron beam exposure apparatus and magnetic field generation device
US10361067B2 (en) 2013-06-26 2019-07-23 Canon Kabushiki Kaisha Drawing apparatus, and method of manufacturing article by controlling a plurality of charged particle optical systems based on respective sets of sub-drawing regions
JP2015211040A (ja) * 2014-04-25 2015-11-24 アイエムエス ナノファブリケーション アーゲー パターン切削用マルチビーム・ツール
JP2015211041A (ja) * 2014-04-25 2015-11-24 アイエムエス ナノファブリケーション アーゲー パターン切削用マルチビーム・ツール

Also Published As

Publication number Publication date
US20050104013A1 (en) 2005-05-19
JP4835897B2 (ja) 2011-12-14
GB2408143A (en) 2005-05-18
GB2408143B (en) 2006-11-15
GB0423152D0 (en) 2004-11-24
US7214951B2 (en) 2007-05-08

Similar Documents

Publication Publication Date Title
JP4835897B2 (ja) 帯電粒子マルチビーム露光装置
Chang et al. Multiple electron-beam lithography
EP2279515B1 (en) Projection lens arrangement
US8445869B2 (en) Projection lens arrangement
EP1160824B1 (en) Illumination system for charged-particle lithography apparatus
US7786454B2 (en) Optics for generation of high current density patterned charged particle beams
NL2003304C2 (en) Compensation of dose inhomogeneity and image distortion.
TWI629571B (zh) Multiple charged particle beam device
US8502176B2 (en) Imaging system
US7755061B2 (en) Dynamic pattern generator with cup-shaped structure
JP2005136409A (ja) マスクレス粒子ビーム露光装置用パターン規定デバイス
JP2005056923A (ja) マルチ荷電粒子線露光装置および方法ならびに該装置または方法を用いたデバイス製造方法
US6573014B2 (en) Charged-particle-beam microlithography methods for exposing a segmented reticle
JP2002184692A (ja) 荷電粒子投射リソグラフィ・システムにおける空間電荷に起因する収差を抑制する装置および方法
US7173262B2 (en) Charged particle beam exposure apparatus, charged particle beam exposure method and device manufacturing method
US6894291B2 (en) Apparatus and methods for blocking highly scattered charged particles in a patterned beam in a charged-particle-beam microlithography system
US10340120B2 (en) Blanking aperture array, method for manufacturing blanking aperture array, and multi-charged particle beam writing apparatus
US20020036272A1 (en) Charged-particle-beam microlithography methods and apparatus providing reduced reticle heating
JP7192254B2 (ja) マルチ荷電粒子ビーム描画装置及びその調整方法
WO2007111603A1 (en) Optics for generation of high current density patterned charged particle beams
Chen Nanometric-scale electron beam lithography
KR20090008283A (ko) 고전류 밀도 패턴 하전 입자 빔의 생성을 위한 광학계

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070918

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101102

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110107

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110113

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110228

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110303

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110325

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110330

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110428

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110816

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110914

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141007

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4835897

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350