KR20090008283A - 고전류 밀도 패턴 하전 입자 빔의 생성을 위한 광학계 - Google Patents

고전류 밀도 패턴 하전 입자 빔의 생성을 위한 광학계 Download PDF

Info

Publication number
KR20090008283A
KR20090008283A KR1020087026237A KR20087026237A KR20090008283A KR 20090008283 A KR20090008283 A KR 20090008283A KR 1020087026237 A KR1020087026237 A KR 1020087026237A KR 20087026237 A KR20087026237 A KR 20087026237A KR 20090008283 A KR20090008283 A KR 20090008283A
Authority
KR
South Korea
Prior art keywords
aperture
pattern
charged particle
barrel
lens
Prior art date
Application number
KR1020087026237A
Other languages
English (en)
Inventor
윌리엄 파커 엔
Original Assignee
멀티빔 시스템즈, 인코퍼레이티드
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 멀티빔 시스템즈, 인코퍼레이티드, 도쿄엘렉트론가부시키가이샤 filed Critical 멀티빔 시스템즈, 인코퍼레이티드
Priority to KR1020087026237A priority Critical patent/KR20090008283A/ko
Publication of KR20090008283A publication Critical patent/KR20090008283A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/203Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70191Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarisation, phase or the like
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70308Optical correction elements, filters or phase plates for manipulating imaging light, e.g. intensity, wavelength, polarisation, phase or image shift
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electron Beam Exposure (AREA)

Abstract

다수의 빔 성형 어퍼쳐, 렌즈 및 편향기를 필요로 하지 않고 고 전류 밀도 성형 빔의 생성을 가능하게 하는 패턴 빔 한정 어퍼쳐를 사용하는 직접 묘화 전자 빔 리소그래피 시스템가 개시된다. 빔 블랭킹은 전자 소스와 이동 편향 블랭커에 의해 패터닝되는 웨이퍼 사이의 중간 교차를 필요로 하지 않고 달성되고, 또한 근접 효과 보정을 용이하게 한다. 단순한 형태의 "이동 렌즈"가 사용되어 성형 빔에서 비축 수차가 제거된다. 패턴 빔 한정 어퍼쳐를 디자인하는 방법이 또한 개시된다.

Description

고전류 밀도 패턴 하전 입자 빔의 생성을 위한 광학계{OPTICS FOR GENERATION OF HIGH CURRENT DENSITY PATTERNED CHARGED PARTICLE BEAMS}
본 발명은 하전 입자 광학계 분야에 관한 것이며, 보다 상세하게는 고전류 밀도로 성형된 전자 빔의 생성을 위한 방법 및 시스템에 관한 것이다.
반도체 마스크, 레티클 및 웨이퍼를 리소그래피 패터닝하기 위한 전자빔의 사용은 확립된 기술이다. 사용되는 다른 묘화 방법은 아래의 키 파라미터에 의해 특정될 수 있다.
빔 배치 방법
리소그래피 처리 동안 레지스트(resist)의 노광을 위한 전자 빔의 포지셔닝에 대해서는 두 개의 주요한 방법이 있다.
(a) 래스터 스캐닝(Raster Scanning)으로서, 빔은 규칙적인 2 차원 격자 패턴상에서 이동된다. 이러한 방법은 전자 스캐닝(scan electronics)이 일반적으로 더 간단하다는 장점을 갖지만, 노광될 필요가 없는 영역을 가로질러 이동하는 것에 많은 시간을 소비한다는 단점을 갖는다. 또한, 매우 정확한 패턴 엣지 위치를 달성 하기 위하여, 정교한 그레이-스케일(gray-scale) 및/또는 다중 패스 스캐닝(multiple-pass scanning)이 요구될 수 있다.
(b) 벡터 스캐닝(Vector Scanning)으로서, 빔은 묘화되는 영역으로 2 차원적이고 직접적으로 이동된다. 이러한 방법은 노광될 필요가 없는 영역에 대한 시간이 감소되는 장점을 갖지만, 보다 더 복잡하고 고가의 전자 편향이 필요하다는 단점을 갖는다. 빔 사이즈보다 매우 더 작은 2D 어드레스 그리드(grid)에서의 빔 위치 기능을 사용하는 경우, 정확한 패턴 엣지 위치는 또한 더 용이하다.
각각의 방법은 일정한 환경에서 유리하고, 최적의 선택은 패턴 임계 치수, 패턴 밀도(묘화될 면적의 %)에 의존하며, 또한 빔 전류 분포의 프로파일에 의존한다.
빔 성형 제어
기판에 레지스트를 노광하기 위해 사용되는 전자 빔의 성형에 대하여 두 개의 잘 알려진 방법이 있다.
(a) 가우시안 빔(Gaussian beam)은, 이러한 시스템에서 전자 소스의 상(image)이 기판 표면 상에 포커싱되므로, 고 전류 밀도(일반적으로 2000 A/cm2 이상)를 특징으로 하며, 그 때문에 소스의 고휘도를 최대한 이용한다. 가우시안 빔의 주요 단점은 중심 빔 직경의 외부로 멀리 연장되는, 전류의 긴 후미(long tail)이며, 기판에서의 빔 전류의 오직 50%만이 2 차원 가우시안 분포의 FWHM 이내에 도달 한다.
(b) 성형 빔(Shaped Beam)은 일반적으로 다수의 중간 성형 어퍼쳐(shaping aperture)를 갖는 전자 광학 경통(electron optical column)에 의해 형성되고, 추가적인 편향기(deflector) 및 렌즈와 결합하여 기판 표면 상에 어퍼쳐의 포커싱된 이미지를 형성한다 이러한 시스템은 일반적으로 가우시안 빔보다 10배까지 낮은 전류 밀도(예를 들어, 20-50 A/cm2)를 갖는다. 이러한 시스템의 장점은 바람직한 빔 형상의 외부에서 감소된 전류의 후미(current tail)이며, 이는 패터닝이 처리 변동에 덜 영향을 받도록 한다. 다른 장점은, 가변 성형 빔(variable shaped beam)의 면적이 하나의 픽셀에 비교하여 클 수 있으므로 다수의 픽셀이 효과적으로 동시에 묘화될 수 있다는 것이다.
반도체 산업에서, 잠재적으로 웨이퍼의 직접 묘화뿐만 아니라 마스크 및 레티클 묘화를 위하여, 최대의 패터닝 스루풋을 달성할 필요가 있다. 빔 포지셔닝에 대한 두 개의 방법 중 하나는 빔 형성에 대한 두 개의 방법 중 하나와 결합될 수 있으나, 이러한 네 개의 결합 중 어느 것도 반도체 산업의 필요를 완전히 만족시킬 수 없다. 높은 스루풋(적어도 시간당 복수 개의 웨이퍼 또는 한 시간 이내에 하나의 레티클의 묘화)을 갖으며, 적합한 시스템 신뢰도, 긴 평균무고장시간(mean-time-between-failures, MTBF) 및 짧은 평균수리시간(mean-time-to-repair, MTTR)을 보장하기 위하여 최대한 간단하게 구현 가능한 전자 광학 디자인과 함께, CD/8 이하의 엣지 위치 정확도를 갖고 매우 작은 CD를 패터닝하는 능력과 결합되는 전자 리소그래피 시스템이 명백히 필요하다.
고 전류 밀도 성형 빔을 생성하기 위한 하전 입자 광학 장치가 개시된다. 이러한 장치는, 경통(column)의 다양한 위치에서의 삽입을 위해 맞춰질 수 있는 패턴 빔 한정(beam-defining) 어퍼쳐와 함께, 고 전류 밀도 가우시안 빔을 생성하기 위해 사용되는 것들 중 통상적인 것인 하전 입자 광학 경통 디자인을 이용한다. 하전 입자 광학 경통 디자인의 일 실시예는 두 개의 렌즈를 사용하며, 하전 입자 소스는 제 1 렌즈에 의해 대체로 평행한 하전 입자 빔으로 성형된 하전 입자의 분산 빔을 방출한다. 그 후 제 2 렌즈는, 중심에서 고전류 밀도를 갖고 빔의 중심으로부터 전방향으로 확장되는 긴 테일(tail)을 갖는 일반적인 가우시안 전류 분포를 이용하여 기판의 표면에 대체로 평행한 하전 입자 빔을 포커싱시킨다. 이러한 예시에서, 패턴 빔 한정 어퍼쳐는 두 개의 렌즈 사이에 위치될 수 있다. 묘화될 패턴의 디자인 요구에 기초하여, 기판에서의 빔 형상이 결정된다. 패턴 빔 한정 어퍼쳐(patterned beam-defining aperture, PBDA)의 형상은 이하에 개시되는 다단계의 방법에서 개발된다. PBDA 형상은 (1) 미리 설정된 빔 형상 이내에 도달하는 빔 내의 하전 입자의 대부분을 전송하여야 하고, (2) 미리 설정된 빔 형상 외부에 도달하는 빔 내의 하전 입자의 대부분의 전송을 차단해야 한다는 두 개의 요구를 만족시켜야 한다.
본 발명을 이용하는 하전 입자 광 시스템에서, 빔 블랭커, 편향기, 이동 렌즈 및 스티그메이터(Stigmator)와 같은 다수의 추가적인 구성 요소가 포함될 수 있다.
빔 블랭커(Beam blanker)는 빔을 블랭킹 어퍼쳐로 편향시킴으로써 빔을 켜고 끄기 위하여 사용된다. 본 발명의 일 실시예에서, PBDA는 또한 블랭킹 어퍼쳐로서 작동한다.
편향기(Deflector)는 영역을 패터닝하기 위하여 기판의 표면을 가로질러 빔을 이동시키기 위하여 사용된다. 일 실시예에서, 이중 편향 메인 편향기(double-deflection main deflector)는 빔을 2㎛ 사각 서브필드의 중심으로 빔을 이동시킨다. 각각의 서브필드 내에서, 하나의 8극자(octupole)로 구성되는 서브필드 편향기는 빔을 편향시킨다.
이동 렌즈(Moving Lenses)는 성형 빔에서 비축(off-axis) 수차(aberration)를 최소화하기 위하여, 제 2 렌즈의 유효 광축은 비축으로 배치되어 메인 편향기로 인한 빔 편향과 매치(match)시킨다.
스티그메이터(stigmator)는 기계적 결함 또는 다양한 구성 요소의 포지셔닝 오류로부터 발생하는 광학 경통(optical column)에서의 결함을 정정하기 위하여 사용된다.
패턴 빔 한정 어퍼쳐를 위한 디자인 방법은 IC 치수(dimension) 및 웨이퍼 상의 레이아웃, IC의 임계 치수(critical dimension), 얼라인먼트 마크(alignment mark) 디자인 등과 같은 묘화될 패턴에 대한 데이터와 함께 시작하고, 이러한 데이터를 하전 입자 빔 경통의 광학 특성과 결합하여 최적의 성형 빔 크기를 결정하여 패턴이 최대 효율(즉, 최고 스루풋)을 갖고 묘화될 수 있도록 한다. PBDA 디자인은 1차적으로는 이상적인 형상으로서, 그후에는 그것을 제조 가능하게 하기 위한 변형으로서 개발될 수 있다. 제안된 PBDA 디자인은 본래 디자인을 개발하기 위하여 사용된 동일한 절차를 사용하여 테스트되며, 레이트레이싱(ray-tracing)을 이용하는 하전 입자 디자인 소프트웨어를 사용하여 광학 경통의 전극 및 극편(pole-piece)에 의해 형성된 전계 및 자계의 영향 하에서 실제 하전 입자를 시뮬레이션한다.
이러한 경통에 의해 생성된 성형 빔은 가우시안 빔에 비해 향상된 전류 프로파일 엣지 선명도뿐만 아니라, 레지스트 노광 도즈(does)에서 거의 사각형에 가까운 전류 분포를 특징으로 하며, 후자는 리소그래피 어플리케이션에 대해 매우 바람직하다. 이러한 장치의 장점은 가변 성형 빔 경통에서 일반적으로 발견되는 성형 어퍼쳐(shaping aperture), 편향기 및 렌즈에 대해 부가되는 복잡함이 없이 성형 빔(shaped beam)을 생성할 수 있는 능력을 포함한다. 더욱이, 레지스트 노광 시간을 매우 감소시키고 리소그래피 어플리케이션에서 묘화 스루풋을 증가시키면서, 가우시안 빔에 근접하는 전류 밀도가 달성된다.
패턴 빔 한정 어퍼쳐(patterned beam-defining aperture, PBDA)를 디자인하는 방법이 설명된다. 이러한 방법의 핵심은 레이 트레이싱(ray tracing)을 포함하여, 하전 입자 빔에서 어떠한 광선(ray)이 기판 표면을 가로지르는 다수의 위치에서 기판에서의 바람직한 빔 프로파일의 원인이 되는지를 결정하고, 다음으로 바람직한 빔 프로파일의 원인이 되는 광선(ray)을 전송하고 바람직한 프로파일의 외부에 도달하는 광선을 차단하는 패턴 빔 한정 어퍼쳐에 대한 디자인 과정을 포함한다. 본 발명의 더욱 혁신적인 측면은 다음 단락에서 설명된다.
블랭킹 시스템(Blanking System) - 여기에 개시된 장치는 전자 소스와 웨이퍼 사이의 중간 크로스오버(crossover)의 사용을 요구하지 않는 특별한 블랭킹 시스템을 이용한다. 이중 편향(double-deflection) 블랭커는 유효 블랭킹 면을 가상 소스의 위치로 되돌려 투사하기 위하여 사용된다. 중간 크로스오버의 부재가 전자-전자 상호 작용으로부터 발생하여 발산하는 공간 전하 빔(space charge beam)을 사실상 감소시키기 때문에, 이것이 유리하다. 이중 편향 블랭커 기하학적 구조의 추가적인 장점은 종래 기술에 따른 디자인에서의 빔 크기보다 매우 더 넓은 영역에 걸쳐 빔을 블랭크하는 능력이다. (싱글) 블랭커는 공액(conjugate) 블랭킹을 위한 크로스오버 위치에 배치되어야만 했기 때문에, 본 발명에서 가능한 빔 크기의 넓은 영역(< 30 nm 에서 > 120 nm)을 달성할 수 없으며, 그러한 넓은 크기 영역은 경통 배율을 다양하게 하기 위하여 광 축을 따라 다양한(넓게 펼쳐진) 위치로 크로스오버를 이동시킬 것을 요한다. 블랭킹 시스템의 다른 새로운 측면은 블랭커 위에 정방형(square) 빔-트리밍(beam-trimming) 어퍼쳐를 사용하여 빔 크기를 감소시키고 빔을 정방형 단면으로 성형하는 것이다. 이것은 빔이 (블랭킹 어퍼쳐로서 또한 작용하는) PBDA보다 단지 약간 더 크게 성형되고, 그것에 의해 도달 가능한 블랭킹 속도가 최대화된다는 장점을 갖는다. 더욱이, 정방형 빔은, PBDA를 가로질러 스위핑(sweeping)될 때, PBDA 개구부의 모든 부분을 균일하게 조사하고, 그것에 의해 성형 빔 내에서 웨이퍼 상에 퇴적되는 전류를 더욱 균일하게 만든다.
메인 편향기(Main Deflector) - 본 발명은, 패턴 빔을 다른 방향(~ 1㎛)에서 보다 어느 한 방향(일반적으로 > 25㎛)으로 더 먼 거리 비축(off-axis)으로 편향시키기 위한 요구에 대해 최적화된 특별한 메인 편향기 디자인을 이용한다. 편향기 디자인은 다수의 분리된 전극(본 발명의 일 실시예에서 참조 번호 22)을 이용하지만, 오직 네 개의 구동 신호(drive signal)를 요구한다. 편향기 전극(22)의 배열은 평행 판(parallel plate)의 세트에 의해 생성된 전계를 시뮬레이션하고, 그 전계는 종래 기술의 8극자(octupole) 디자인으로 가능한 것보다 더 균일하다. 더 균일한 전계는 빔에서 유도된 편향 수차(aberration)를 감소시키고, 본 발명의 패턴 빔에서 더 선명한 엣지 프로파일을 가능하게 한다. 종래 기술에 따른 편향기는, 여기서 요구되는 큰 편향도에 대해 수차를 증가시키는 대칭형 8극자 디자인을 이용한다.
메인 렌즈 디자인 - 넓은 영역의 위치 비축(적어도 25㎛)에 걸친 웨이퍼 표면 상에 성형 고전류 밀도 빔을 형성하기 위하여, 본 발명은 렌즈의 유효 축이 빔의 편향과 함께 동기화되어 이동되어 빔이 항상 메인 렌즈의 광 축에 있도록 보일 수 있는 메인 렌즈 구조를 이용한다. 본 발명의 렌즈 구조는 렌즈 구조 내에 통합된 두 개의 세트의 8극자 전극을 이용하여 작은 횡단하는(transverse) 쌍극자(dipole) 필드를 렌즈의 일반적인 축의 전계에 추가한다. 이러한 쌍극자 필드는 축 필드(axial field)를 25㎛ 초과(>25㎛)하여 오프셋시켜 빔 위로 렌즈를 중심에 둔다. 따라서, 빔은 축 상에서 발견되는 것과 거의 일치하는 포커싱 효과를 받는다. 모든 비축 수차, 기하학적 코마(coma), 비점수차(astigmatism), 필드 곡률(curvature), 왜곡 및 색채적 배율(magnification) 변화는 모두 본질적으로 제거되고, 그에 의하여 패턴 빔의 엣지 선명도를 향상시키기 때문에, 이러한 점은 유리하다. “이동 렌즈”를 이용하는 종래 기술에 따른 시스템은 본 발명에서 이용하는 것보다 매우 더 복잡한 전극 디자인을 요구했다.
제어 시스템(Control System) - 다중경통(multicolumn) 광학계를 위한 제어 시스템은 모든 경통에 대해 공통으로 있는 다수의 광 소자들을 수용하고, 따라서 다른 광 소자들이 각각의 경통에 대해 하나씩 개별적인 제어를 요구하는 것에 비해, 하나의 제어에 의해 제어될 수 있다.
패턴 데이터 경로(Pattern Data Path) - 본 발명을 위한 데이터 경로는 다수의 경통의 패터닝을 동시에 통합할 필요로 인해 요구되는 다수의 특징(feature)을 이용한다. 모든 경통의 묘화된 패턴을 함께 통합하여 웨이퍼 상의 패턴 품질을 유지할 필요가 있다. 더욱이, 묘화 효율을 최대화하기 위하여, 다양한 패턴 고 전류 밀도 빔이, 필요하다면 각각의 경통에서 서로 다른 크기로 생성될 수 있다. 따라서 하나의 경통은 30 nm 모양을 묘화하는 동안, 다른 경통은 동시에 120 nm 성형 빔을 이용하여 다수의 2 ㎛ 정방형 서브필드를 포함하는 본딩 패드(bonding pad)를 묘화할 수 있다.
근접 효과 보정 방법(Proximity Effect Correction Method) - 근접 효과의 보정을 위하여, 본 발명은 서브필드-서브필드 빔 도즈 변경의 방법을 이용하여 레지스트 현상 동안 프로세스 관용도(latitude)를 최대화하기 위하여 빔 묘화 도즈를 최소화한다. 반복 프로세스가 이용되어, 각각의 서브필드에서 묘화되는 영역의 일부가 결정되고, 이웃하는 서브필드에서 도즈를 수정하여 전체 레지스트 노광에 대한 BSE(backscattered electron) 기여도를 보정하기 위하여 사용된다.
도 1은 고 강도 패턴 전자 빔을 생성하기 위하여, 패턴 빔 한정 어퍼쳐를 이용하는 전자 광 시스템에 대한 디자인 절차의 순서도를 도시한다.
도 2A는 비원형(non-circular) 어퍼쳐를 사용하여 고 전류-밀도 패턴 전자 빔을 생성하는 전자 광학 경통의 단면도를 도시한다.
도 2B는 도 2A의 전자 경통의 하부의 단면도를 도시한다.
도 3A는 소스 팁(source tip)(201) 근방의 광선의 다양한 형상을 도시한다.
도 3B는 상단 얼라인먼트 편향기/스티그메이터(207)에서 광선의 다양한 형상을 도시한다.
도 3C는 하단 얼라인먼트 편향기(208)에서 광선의 다양한 형상을 도시한다.
도 3D는 빔-트리밍 어퍼쳐(276) 상의 광선의 다양한 도면을 도시한다.
도 3E는 빔 블랭터에서 광선의 다양한 도면을 도시한다.
도 3F는 하단 빔 블랭커(278)에서 광선의 도면을 도시한다.
도 3G는 빔 한정 어퍼쳐(212) 상의 광선의 다양한 도면을 도시한다.
도 3H는 상단 메인필드 편향기(213) 내부의 광선의 도면 및 메인필드 편향기의 회로도를 도시한다.
도 3I는 하단 메인필드 편향기(214) 내부의 광선의 도면을 도시한다.
도 3J는 서브필드 편향기/스티그메이터(215) 내부의 광선의 도면을 도시한다.
도 3K는 포커스(focus)-1 전극 어셈블리(216) 내부의 광선의 도면을 도시한다.
도 3L은 포커스-2 전극 어셈블리(217) 내부의 광선의 도면을 도시한다.
도 3M은 필드-프리(field-tube) 튜브(218) 내부의 광선의 도면을 도시한다.
도 4A는 제 1 패턴 어퍼쳐를 도 2A의 경통에 삽입하기 전에, 웨이퍼 면에서 광 축 (0,0)에 집중된 제 1 원형 빔 프로파일의 그래프를 도시한다. 바람직한 정방형 프로파일은 그래프에서 겹쳐진다.
도 4B는 제 1 패턴 어퍼쳐를 도 2A의 경통에 삽입하기 전에, 웨이퍼 면에서 광 축에서 +12.5㎛ 떨어져 집중된 제 1 원형 빔 프로파일의 그래프를 도시한다. 바람직한 정방형 프로파일은 그래프 상에서 겹쳐진다.
도 4C는 제 1 패턴 어퍼쳐를 도 2A의 경통에 삽입하기 전에, 웨이퍼 면에서 광 축에서 +25㎛ 떨어져 집중된 제 1 원형 빔 프로파일의 그래프를 도시한다. 바람직한 정방형 프로파일은 그래프에서 겹쳐진다.
도 5는 제 1 패턴 어퍼쳐를 도 2A의 경통에 삽입한 후에, 웨이퍼 면에서 광 축 (0,0)에 집중된 이상적인 빔 프로파일의 그래프를 도시한다.
도 6A는 도 2A의 경통에서 패턴 빔 한정 어퍼쳐(212)에 의해 전송된 이상적인 빔의 그래프를 도시한다.
도 6B는 도 2A의 경통에서 패턴 빔 한정 어퍼쳐(212)에 의해 차단된 이상적인 빔의 그래프를 도시한다.
도 7A는 도 2A의 경통에서 패턴 빔 한정 어퍼쳐(212)에 의해 전송된 실제 빔 의 그래프를 도시한다.
도 7B는 도 2A의 경통에서 패턴 빔 한정 어퍼쳐(212)에 의해 차단된 실제 빔의 그래프를 도시한다.
도 8A는 웨이퍼에서의 고 전류-밀도 정방형 전자 빔 및 5000 eV 빔 에너지에서의 광선을 생성하기 위해 디자인된 패턴 빔 한정 어퍼쳐(PBDA)를 도시한다.
도 8B는 웨이퍼 표면에서 광선 교차점으로 PBDA의 중심 부분을 통과하는 광선의 맵핑을 도시한다.
도 8C는 웨이퍼 표면에서 광선 교차점으로 PBDA의 외곽 부분을 통과하는 광선의 맵핑을 도시한다.
도 9는 빔 프로파일의 계산을 위하여 사용된 웨이퍼 표면에서 다양한 빔 위치 A - D의 다이어그램을 도시한다.
도 10A는 빔이 도 9의 위치 A에 있는 경우, 40 nm 정방형 전자 빔의 한번의 플래시(flash)로 인한 계산된 노광 도즈를 도시한다.
도 10B는 빔이 도 9의 위치 B에 있는 경우, 40 nm 정방형 전자 빔의 한번의 플래시(flash)로 인한 계산된 노광 도즈를 도시한다.
도 10C는 빔이 도 9의 위치 C에 있는 경우, 40 nm 정방형 전자 빔의 한번의 플래시(flash)로 인한 계산된 노광 도즈를 도시한다.
도 10D는 빔이 도 9의 위치 D에 있는 경우, 40 nm 정방형 전자 빔의 한번의 플래시(flash)로 인한 계산된 노광 도즈를 도시한다.
도 11은 빔이 도 9의 위치 A에 있는 경우, 40 nm 간격으로 “L” 패턴에 인 접하는 40 nm 정방형 전자 빔의 세 개의 플래시(도 10A에서와 같은 모든 플래시)로 인한 계산된 노광 도즈를 도시한다.
도 12는 빔이 도 9의 위치 A에 있는 경우, 40 nm 정방형 전자 빔의 두 개의 중복하는 플래시 및 분리된 하나의 플래시(도 10A에서와 같은 모든 플래시)로 인한 계산된 노광 도즈를 도시한다.
도 13은 빔이 도 9의 위치 A에 있는 경우, (도 10A에서와 같은) 하나의 40 nm 정방형 빔 및 하나의 40 nm FWHM 가우시안 빔에서의 계산된 빔 전류 밀도의 그래프를 도시한다.
도 14는 빔이 도 9의 위치 A에 있는 경우, 세 개의 결합된 40 nm 정방형 빔(도 10A에서와 같고 40 nm 만큼 간격이 떨어진 모든 플래시) 및 역시 40 nm 떨어져 이격된 세 개의 결합된 40 nm FWHM 가우시안 빔에서의 계산된 빔 전류 밀도의 그래프를 도시한다.
도 15는 빔이 도 9의 위치 A에 있는 경우, 40 nm 떨어져 이격된 빔을 갖는, 세 개의 결합된 40 nm 정방형 빔 및 세 개의 분리된 40 nm 정방형 빔(도 10A에서와 같은 모든 플래시)에서의 계산된 빔 전류 밀도의 그래프를 도시한다.
도 16은 40 nm 떨어져 이격된 모든 빔을 갖는, 세 개의 결합된 40 nm FWHM 가우시안 빔 및 세 개의 분리된 40 nm FWHM 가우시안 빔에서의 계산된 빔 전류 밀도의 그래프를 도시한다.
도 17A는 광학계를 설정하여 최적화된 정방형 빔 프로파일을 생성하는 가능한 빔-스캐닝 방법의 도면을 도시한다.
도 17B는 최적화된 40 nm 정방형 빔 프로파일을 설정하는 잠재적 방법을 설명하여, 도 17A의 다양한 스캔 방형에 대한 계산된 라인 스캔을 도시한다.
도 18은 빔이 도 9의 위치 A에 있는 경우, 도 8A의 패턴 빔 한정 어퍼쳐(212)를 사용하여, 30 nm 정방형 전자 빔의 하나의 플래시로 인한 계산된 노광 도즈를 도시한다.
도 19는 빔이 도 9의 위치 A에 있는 경우, 도 8A의 패턴 빔 한정 어퍼쳐(212)를 사용하여, 80 nm 정방형 전자 빔의 하나의 플래시로 인한 계산된 노광 도즈를 도시한다.
도 20은 빔이 도 9의 위치 A에 있는 경우, 도 8A의 패턴 빔 한정 어퍼쳐(212)를 사용하여, 120 nm 정방형 전자 빔의 하나의 플래시로 인한 계산된 노광 도즈를 도시한다.
도 21A는 바람직한 정방형 빔 크기에 대비한 소스 렌즈 및 메인 렌즈 포커싱 전압의 그래프이다.
도 21B는 바람직한 정방형 빔 크기에 대비한 웨이퍼에서 팁 반각 및 빔 전류의 그래프이다.
도 21C는 바람직한 정방형 빔 크기에 대비한 웨이퍼에서 빔 플래시 타임 및 (5μC/cm2 레지스트 감도를 가정한) 가정된 노광 전류 밀도의 그래프이다.
도 21D는 바람직한 정방형 빔 크기에 대비한 웨이퍼에서 가상 소스의 배율의 그래프이다.
도 22는 서브 필드 기저 상의 노광 도즈를 다양하게 하여 근접 효과 보정을 하기 위해 사용될 수 있는 빔 블랭킹 방법의 도면이다.
도 23은 포커스-1 및 포커스-2 8극자 전압에 대한 계산된 설정을 설명하는 메인 렌즈의 횡단면 확대 측면도이다.
도 24는 웨이퍼 스테이지 및 위치 센서의 일 실시예의 개략도이다.
도 25는 광학 경통 및 그것의 제어 전자계의 일 실시예의 개략도이다.
도 26은 데이터 경로 및 시스템 제어 전자계의 일 실시예의 개략도이다.
도 27A는 경통 묘화 영역이 50μm 폭의 스트라이프(stripe)로 분해되고, 스트라이프 각각은 1nm X-Y 어드레스 그리드를 갖는 2μm 정방형 서브필드로 계속해서 세분화되는 방법을 도시하는 도면이다.
도 27B는 X-Y 어레이에서 위치된 다수의 경통을 이용하여 50μm 폭의 스트라이프를 동시에 묘화하는 방법의 일 실시예를 도시한 도면이다.
도 27C는 일반적인 300mm 웨이퍼 상의 다이 배열 및 경통 X-Y 어레이 사이의 대응의 예시를 도시한 도면이다.
도 28A는 1 nm X-Y 어드레스 그리드를 갖는 2μm 정방형 서브필드로 분해된 집적 회로(IC) 패턴 데이터의 도면이다.
도 28B는 서브필드 헤더 데이터 형식의 예시의 개략적인 설명을 도시한다.
도 28C는 단일 플래시 및 다중 플래시를 묘화하기 위한 패턴 데이터 형식의 예시의 개략적인 설명을 도시한다.
도 28D는 단일 라인 및 다중 라인을 묘화하기 위한 패턴 데이터 형식의 예시 의 개략적인 설명을 도시한다.
도 28E는 상부 우측 사분면에서 전체 서브필드, 직사각형 또는 삼각형을 묘화하기 위한 패턴 데이터 형식의 예시의 개략적인 설명을 도시한다.
도 28F는 다중 묘화 패턴 타입을 포함하는 일반적인 서브필드를 설명하는 예시를 도시한다.
도 29A는 각각의 서브필드에서 묘화된 부분이 계산되는, 근접 효과 보정(PEC) 방법에서의 제 1 단계의 개략적인 설명을 도시한다.
도 29B는, 제 1 빔 도즈에 대한 어떠한 PEC 보정이 없다고 가정하면, 각각의 서브필드를 위한 후방산란된 전자(backscattered electron, BSE) 도즈가 계산되는, PEC 방법에서 제 2 단계의 개략적인 설명을 도시한다.
도 29C는, 각각의 서브필드에서 전체 도즈가 BSE 도즈에 더하여 제 1 빔 도즈를 결합하는 것에 의해 계산되는, PEC 방법에서 제 3 단계의 개략적인 설명을 도시한다.
도 30은 3000 A/cm2의 바람직한 노광 전류를 가정하여, 6x6 내지 10x10의 다양한 수의 경통을 갖는 하나의 리소그래피 모듈에 대한 서브필드 당 플래시의 평균 수에 대비한 계산된 스루풋의 그래프이다.
본 발명은 예시로서 전자 빔 리소그래피의 분야에서 그것의 실시예를 이용하 여 상세하게 검토될 것이다. 그러나, 바로 이하에서 설명된 것처럼 다양한 다른 분야에서의 사용이 예상된다.
스캐닝 전자 현미경법은 일반적으로 대략 가우시안 빔을 사용하여 빔 전자 밀도를 최대화하고, 그에 의해 이미징 시간을 최소화하고 및/또는 이미지 신호 대 잡음비를 최대화한다. 현미경법에 대한 가우시안 빔의 사용의 단점은 빔의 중심으로부터 멀리 퍼지는, 달성가능한 이미지 명암비(contrast)를 감소시키는 경향이 있는 긴 전류 테일이다. 본 발명은 이러한 전류 테일의 크기를 감소시켜 이미지 컨트라스트를 향상시키는, 스캐닝 전자 현미경법에서의 잠재적인 효용을 갖고 있다. 이것과 동일한 고찰이 스캐닝 오제 현미경(Scanning Auger Microscopes), 스캐닝 전자 현미경(Scanning Electron Microscopes), 스캐닝 전송 전자 현미경(Scanning Transmission Electron Microscopes) 등과 같은 다양한 종류의 스캔 전자 빔 이미징 및 분석 도구에 적용될 것이다.
본 발명은 또한 반도체 계측(metrology) 및 검사의 분야에의 잠재적 응용을 포함하고 있다. 이러한 응용을 위하여, 대략 가우시안 빔은 반도체 웨이퍼 또는 마스크 및 레티클 상의 모양(feature)을 측정 또는 검사하기 위하여 요구되는 시간을 최소화함으로써 계측 및 검사 스루풋을 최대화하기 위하여 사용된다. 가우시안 분포의 긴 전류 테일의 제거는 이러한 시스템에서 이미징 컨트라스트를 향상시킬 것이다. 반대로, 컨트라스트가 일정함을 유지한다면, 본 발명은 픽셀 데이터 획득을 더 빠르게 할 것이고 스루풋을 향상시키게 할 것이다.
본 발명의 패턴 빔 한정 어퍼쳐는 또한 예를 들어, 이온을 사용하는, 다른 종류의 입자 빔 시스템에 사용될 수 있다. 일례는 마스크리스 이온 주입(maskless ion implantation)을 위한 포커스(focused) 이온 빔 시스템이다. 이러한 시스템에서, 이온 빔은 바람직한 주입 이온(예를 들어, 붕소(boron), 비소(arsenic), 인(phosphorus) 등)으로 구성되고 외부의 전류 테일의 감소는 도핑(doping)이 필요한 영역 밖에서의 이온의 주입을 감소시킬 것이다. 다른 예시는 포커스 이온 빔 직접-묘화(direct-write) 리소그래피 도구이고, 여기서 이온 빔은 전자 빔 직접-묘화 시스템에 대한 경우와 유사하게 레지스트를 노광하기 위하여 사용된다. 전류의 감소는 리소그래피 프로세스에서 컨트라스트를 향상시킬 것이고, 그로 인하여 레지스트 현상(development)에 대한 프로세스 관용도(process latitude)를 증가시킬 것이다. 또 다른 예시는 스캐닝 이차 이온 질량 분석(secondary ion mass spectrometry, SIMS) 시스템이고, 여기서 포커스 이온 빔은 표본(specimen)에 충격을 주고, 그로 인해 물질의 화학적 구성의 2차 이온 특성의 방출을 유도한다. 1차 이온의 이온 테일이 매우 감소되므로 2차 이온은 적은 생산을 갖는 관심 영역으로부터 이러한 영역 외부에서 거의 대부분 생성되기 때문에, 외부 이온의 감소는 SIMS 이미지 및 질량 스펙트럼의 컨트라스트 및 해상도를 향상시킬 것이다.
도 1은 고 전류 밀도 성형 전자 빔을 생성하는데 사용하기 위해, 패턴 빔 한정 어퍼쳐를 이용하는 전자 광학 경통을 디자인하는 다단계 방법을 설명한다. 이러한 예시에서, 빔 형상의 다양한 범위가 빔 한정 어퍼쳐 패턴을 적절히 선택하여 실현될 수 있음에도 불구하고, 정방형 빔이 웨이퍼에서 바람직하다.
블록(102)에서, 패턴에 대한 임계 치수(critical dimension, CD), IC X-Y 차 원, 웨이퍼 상에서의 IC의 X-Y 레이아웃 및 요구되는 기타 데이터를 포함하여, 묘화될 집적 회로(IC) 패턴에 관한 초기 데이터가 정의된다.
블록(103)에서, 바람직한 묘화 스루풋(일반적으로 웨이퍼/시간), 묘화 빔에 대한 레지스트 감도(일반적으로 μC/cm2), 웨이퍼에서 바람직한 묘화 빔 에너지, (웨이퍼 이동 시간, 얼라인먼트 시간과 같은) 묘화 오버헤드(overhead) 및 요구되는 기타 파라미터를 포함하여, 시스템 작동 파라미터에 관한 초기 데이터가 정의된다.
블록(104)에서, 블록(102) 및 블록(103)에서의 패턴 및 묘화에 대한 사양(specification)으로부터, 바람직한 빔 전류 밀도와 함께, 최적의 패턴 빔 형상 및 크기가 결정된다. 예를 들어, 45 nm의 패턴 CD가 블록(102)에서 명시되었다면, 40 nm 정방형 빔 프로파일이 적합할 수 있다. 레지스트 감도(resist sensitivity)가 5 μC/cm2 라면, 바람직한 묘화 스루풋을 달성하기 위하여 3000 A/cm2 의 빔 전류 밀도가 필요할 수 있다.
다음으로, 블록(106)에서, 렌즈 전극 구멍, 두께, 위치 및 전압, 그리고 블록(104)에서 결정된 최종 패턴 빔의 크기보다 더 큰 웨이퍼에서 원형 빔의 직경을 포함하여, 전자 광학 디자인 계산은 경통의 디자인을 개발하기 위하여 수행될 것이다. 예를 들어, 40 nm 정방형 빔이 요구되었다면, √2 × 40 nm ≒ 56 nm 이상의 직경의 원형 빔이 요구될 것이다 - 이러한 빔 직경은 코너를 둥글게 하지 않고 블록(110)에서 40 nm × 40 nm 정방형 빔이 획득되도록 할 것이다. 도 2A 내지 3M은 그러한 프로세서의 도움으로 발전된 일반적인 전자 광학 경통 디자인을 설명한다. 또는, 종래의 경통의 파라미터가 입력될 수 있고, 그리고 나서 패턴 빔 한정 어퍼쳐는 블록(108)에서 시작하여, 디자인될 수 있다.
블록(108)은 블록(106)에서 개발된 경통 디자인을 이용하는 일련의 전자 광 디자인 계산을 포함하고, 여기서 빔 한정 어퍼쳐(212)(도 2A에 도시됨)에서의 광선 (X, Y) 위치는 웨이퍼 표면(221)(도 2A에 도시됨) 상의 그것의 종점(end point) (X, Y)에 따라 기록된다. 웨이퍼 상에서 서로 다른 (X, Y) 위치를 갖는 광선의 다섯 세트, 1) 축상(on-axis) 위치(즉, 스캔의 중심에서의 위치), 2) ± 스캔의 4분의 1 폭 및 3) ± 스캔의 2분의 1 폭(즉, 가장 먼 비축의 스캔의 두 개의 종단에서의 위치)가 일반적으로 사용된다. 이러한 데이터는, 각각의 세트에 대한 어떠한 광선이 바람직한 패턴 고 전류 밀도 빔 프로파일 내에 도달하는지 여부 및 각각의 세트에 대한 어떠한 광선이 웨이퍼에서 각각의 개별적인 (X, Y) 위치에 대해 바람직한 패턴의 외부로 도달하는지 여부를 결정하기 위하여 사용된다. 도 4A 내지 4C는 웨이퍼 표면(221)에서 궤적(trajectory) 데이터를 두 개의 그룹, 바람직한 패턴 내의 그룹과 외부의 그룹으로 분리하는 것을 도시한다. 이러한 광선의 세트들은 반드시 웨이퍼 상의 다섯 위치의 각각에 대해 동일한 광선들에 반드시 대응하지 않음을 주목해야 한다. 즉, 빔 한정 어퍼쳐에서 특정 위치를 통과하는 광선은, 그 빔이 축 상(on-axis)에 위치할 때, 바람직한 빔 프로파일에 도달할 수 있으나, 그 빔이 스캔의 2분의 1 폭으로 편향될 때, 바람직한 빔 프로파일 외부로 도달할 수 있다. 일반적으로 도 4A 내지 도 4C는 웨이퍼에서의 빔은 도시된 세 개의 경우 모두에서 원 형이고, 각각의 광선의 위치에서 작은 변화를 갖는 것을 도시한다. 이것은 블록(106)에서의 신중한 경통 디자인, 특히 최소의 빔 수차 및 이동 메인 렌즈의 사용을 도입하여 전체 메인필드 스캔(본 예에서 ±25μm) 에 걸쳐 비축(off-axis) 수차(aberration)를 거의 제거하는 메인필드 편향기의 디자인이다.
블록(110)은 블록(108)로부터 5 개의 일련의 광선의 교차(intersection)를 발견하는 다음 단계를 수행한다. 이러한 교차는 모든 5 개의 웨이퍼 위치에 대하여 바람직한 패턴 고 전류 밀도 빔 내에 도달하는 광선에 대응한다. 일반적으로 이러한 일련의 광선은 블록(108)에서의 5 개의 개별적인 웨이퍼 위치 각각에 대응하는 최초의 5 개의 일련의 광선 중 어떠한 것보다도 약 10 내지 15 % 정도 작다. 전자 빔(222)은, 그것이 메인필드 편향기(213 및 214)에 의해 편향되기 전에, 패턴 빔 한정 어퍼쳐에 부딪히고, 정확히 동일한 일련의 광선들이 웨이퍼 표면(221) 상에서 빔(222)의 모든 위치에 대해 패턴 빔 한정 어퍼쳐(212)에 의해 웨이퍼 표면(221)으로 전송되기 때문에, 이러한 프로세스가 필요하다.
블록(112)은 블록(110)으로부터의 궤적 데이터를 사용하여, 바람직한 빔 프로파일에 기여하는 모든 광선을 투과하고 동시에(즉, 전체 스캔에 걸쳐) 웨이퍼 상에서 모든 5 개의 위치에 대해 바람직한 프로파일 외부로 도달하는 모든 광선을 차단하는 목표를 가진 이상적인(즉, 물리적으로 실현 불가능한) 어퍼쳐 디자인을 개발한다. 도 6A는 빔 한정 어퍼쳐(212)에서 투과되어야만 하는 결과적인 빔을 도시한다. 도 6B는 빔 한정 어퍼쳐(212)에서 차단되어야만 하는 결과적인 빔을 도시한다.
블록(114)에서, 도 7A 내지 8A에서 도시된 바와 같이, 실제적인 어퍼쳐(212) 디자인을 위하여 최종 변경이 패턴 빔 한정 어퍼쳐에 행해진다. 결과적인 패턴 빔 한정 어퍼쳐는 두 개의 요구, 즉 (1) 바람직한 빔 형상 내에 도달한 빔 내의 하전 입자의 대부분을 투과시켜야만 하고, (2) 바람직한 빔 형상 외부로 도달하는 빔 내의 하전 입자의 대부분의 전송을 차단하여야만 한다는 요구를 충족하여야만 한다.
블록(116)은, 도 8A의 어퍼쳐를 사용하여, 도 2A의 전자 경통을 통하여 다수의 전자 선(electron ray)을 추적함으로써, 광학계 및 어퍼쳐 디자인의 정확도를 테스트한다.
블록(118)은 블록(116)에서 생성된 (일반적으로 30000 이상인) 다수의 광선을 결합하여 도 10A 내지 12에 도시된 것과 같은 빔 전류 밀도 프로파일을 획득한다.
마지막으로, 블록(120)에서, 빔 전류 프로파일의 그래프가 생성되고, 가우시안 빔에 대한 대응하는 전류 프로파일에 비교될 수 있다. 본 발명을 이용하는 전자 경통에 의해 생성된 패턴 빔의 향상된 엣지 선명도(sharpness)는, 도 16에서의 가우시안 프로파일과 비교하여, 도 13 내지 15에서 확인할 수 있다.
도 2A는 고 전류 밀도 패턴 전자 빔을 생성시키기 위하여 본 발명을 이용할 수 있는 일반적인 전자 광학 경통의 단면도를 도시한다. 도면은 Y 축을 따라 확대되어 빔(222) 및 다양한 전극이 더 명확히 도시되었다. 이러한 경통 디자인은 도 1의 블록(106)에서 개발될 일반적인 것이다. 도시된 구성요소는 전자 소스 팁(tip)(201), 인출 전극(extraction electrode)(202), 제 1 소스 렌즈 전극(203), 빔 제한 어퍼쳐(beam-limiting aperture, BLA)(204), 제 2 소스 렌즈 전극(205), 건 마운팅(gun mounting) 플레이트(206), 상단 얼라인먼트 편향기/스티그메이터(207), 가속 어셈블리(209), 전자 빔(222), 하단 얼라인먼트 편향기(208), 빔 트리밍 어퍼쳐(beam-trimming aperture, BTA)(276), 상단 블랭커(277), 하단 블랭커(278), 광학계 마운팅 플레이트(210), 빔 한정 어퍼쳐 마운트(211), 패턴 빔 한정 어퍼쳐(patterned beam-defining aperture, PBDA)(212), 상단 메인필드 편향기(213), 하단 메인필드 편향기(214), 서브필드 편향기/스티그메이터(215), 포커스(focus)-1 전극 어셈블리(216), 포커스-2 전극 어셈블리(217), 필드-프리 튜브(field-free tube)(218), 편향기 어셈블리(219), 전압 콘트라스트 플레이트(220) 및 전자 빔(222)에 의해 리소그래피 패턴닝되는 기판(221)을 포함한다. 필드-프리 튜브(218), 편향기 기구(219) 및 전압 콘트라스트 플레이트(220)의 결합은 검출기 광학계로 지칭되며, 전자 빔 리소그래피의 경우, 기판에 얼라인먼트 마크를 이미징하기 위하여 사용된다. 포커스-1 전극 어셈블리(216), 포커스-2 전극 어셈블리(217) 및 필드-프리 튜브(218)의 결합은 메인 렌즈로 지칭된다.
전자(electron)는 소스 팁(tip)(201)과 인출 전극(extraction electrode)(202) 사이에서 전압차(일반적으로 2500-3500 V)에 의해 유도된 고 전계의 영향 하에서 소스 팁(201)로부터 방출된다. 광학계(optics) 시스템의 대칭 축에 인접한 이러한 전자의 일부분은 전극(202)의 정공을 통과하여, 제 1 소스 렌즈 전극(203)를 향하여 이동한다. 빔 제한 어퍼쳐(204)는 전극(203)의 개구 내에 설치되고, 오직 작은 각도(일반적으로 ~ 2.0°반각) 내의 전자만을 광학 경통으로 통과시 킨다. (0 V의 소스 팁(201)에 대하여) 일반적으로 430 내지 640 V 전압이 전극(203) 및 빔 제한 어퍼쳐(204) 모두에게 인가되고, 이러한 전위(potential)는, 제 2 포커싱 전극(205)에 인가된 약 510 V와 결합하여, 건 마운팅 플레이트(206)를 통과하는 평행 빔(parallel beam)으로 빔(222)을 포커싱시키는 감속 렌즈(decelerating lens)를 형성한다. 상단 얼라인먼트 편향기/스티그메이터(207) 및 하단 얼라인먼트 편향기(208)는 광축(Z-축)에 평행한 빔 트리밍 어퍼쳐(276)를 통하여 전자 빔(222)을 조종하기 위하여 사용된다. 상단 얼라인먼트 편향기/스티그메이터(207)와 하단 얼라인먼트 편향기(208) 사이의 가속 영역(209)은 빔 에너지를 510 eV 로부터 5000 eV 로 올린다. 그리고 빔(222)은 상단 블랭커(277) 및 하단 블랭커(278)를 통과한다. 전자 빔(222) 내의 일부 광선은 패턴 빔 한정 어퍼쳐 마운트(211)에서 지지되는 패턴 빔 한정 어퍼쳐(212)에 의해 차단되는 반면에, 다른 광선은 메인필드 편향기(213 및 214), 서브필드 편향기/스티그메이터(215)를 통과하여 메인 렌즈로 들어간다. 메인 렌즈는 빔(222)을 기판 표면(221)에 포커싱시킨다. (유사한 전자 광학 경통 디자인의 추가 설명은 참조로서 여기에 포함된 미국 특허 #6,734,428 B2에서 제공된다.)
도시된 경통 디자인은 단지 설명의 목적을 위한 것이다. 본 발명의 방법에 의해 형성된 패턴 빔 한정 어퍼쳐는 당업자에게 잘 알려진 다수의 경통 디자인에 이용될 수 있다.
도 2B는 고 전류 밀도 패턴 전자 빔을 생성하기 위하여 본 발명을 이용할 수 있는 일반적인 전자 광학 경통의 하부의 단면도를 도시한다. 도시된 구성요소는 빔 한정 어퍼쳐 마운트(211), 패턴 빔 한정 어퍼쳐(212), 상단 메인필드 편향기(213), 하단 메인필드 편향기(214), 서브필드 편향기/스티그메이터(215), 포커스-1 전극 어셈블리(216), 포커스-1 지지 전극(230), 포커스-1 8극자 전극(231-238), 포커스-2 전극 어셈블리(217), 포커스-2 지지 전극(240), 포커스-2 8극자 전극(241-248), 필드-프리 튜브(field-free tube)(218), 편향기 어셈블리(219), 전압 콘트라스트 플레이트(220) 및 위치(250)에서 기판 표면(221)에 부딪히는 전자 빔(222)에 의해 리소그래피 패터닝되는 기판(221)을 포함한다.
모든 도면에서 도시된 전자 광선은 SIMION 3D 버전 6.0(아이다호 국립공학환경연구소(Idaho National Engineering and Environmental Laboratory, INEEL)에서 데이비드 달(David Dahl)에 의해 개발된 하전 입자 광선 추적 프로그램)을 이용하여 계산된다.
도 3A는 소스 팁(201)에서 출발하는 광선을 도시한 2개의 도면을 도시하며, 광선의 최초 분포는 “층류(laminar)”, 즉 광선이 서로 교차하지 않고 팁(201)으로부터 펼쳐져 일정한 분포를 갖는 것을 도시한다. 도면 (a)는 소스 팁(201), 인출 전극(202), 제 1 소스 렌즈 전극(203), 빔 제한 어퍼쳐(204) 및 빔(222)의 측면에서의 측단면도이고, 소스 팁(201)으로부터 방출하는 30°이상 반각의 빔을 도시한다. 빔(222)의 축 측단면은 위치(301)에서 얻는다. 광 축은 Z-축(310) 에 평행하고 Y-축에 수직이다.
위치(301)에서 빔(222)의 축 측단면은 광선 절편(intercept)(302)를 포함하는 (b)에 도시된다. X-축(319) 및 Y-축(320)은 모두 광 축(310)에 수직한다. 각각 의 광선 절편(302)은 X-축(319) 및 Y-축(320)에 대응하는 격자(grid)에 고르게 분리되어 보여질 수 있다. 빔(222)에서의 광선은 기판 표면(221)으로의 거의 모든 경로에서 이러한 층류(laminar flow) 성질을 유지한다.
패턴 빔 한정 어퍼쳐(212)에 대해 여기서 설명하는 디자인 방법은 각각의 광선이 적절히 한정된 양의 전류를 나타낸다는 가정에 따른다. 이러한 전류의 계산은 아래와 같다.
Is = (소스 각도 세기(angular intensity))/(방출 입체각), 방출 입체각은 패턴 빔 한정 어퍼쳐(212)를 조명하기 위해 사용됨(일반적으로 0.4°내지 1.5°반각이고, 본 실시예에서 0.8°이며, 일반적으로 Is 는 100μA/sr 로부터 500μA/sr 이상까지의 범위를 가짐)
δ = X-축(319) 및 Y-축(320)에 따른 광선(302) 사이의 각도 증가량(angular increment)(통상적으로 0.04° 내지 0.15°, 본 실시예에서 δ = 0.08°)
ω = 각각의 광선(302)에 대한 입체각, 단 δ = 0.08°
= [δ(π/180°)]2 = 1.95×10-6 sr
Iray302 = Isω=(500μA/sr)(1.95×10-6 sr)=0.98 nA (각각의 광선(302)에 대해)
위와 같은 계산에서 각도 세기는 패턴 빔 한정 어퍼쳐(212)를 조명하는 정방형 빔(도 3G에서 광선(306)을 구성함)을 생성하기 위하여 사용되는 방출의 각 범위에 걸쳐 일정하다. 쇼트키(Schottky) 열전계(thermal field) 방출기의 예시에서, 일반적으로 각도 세기는 각 방출 분포의 중심(즉, 축상(on-axis))에서 매우 일정하기 때문에, 이러한 가정은 유효하다. 이러한 가정이 무효인 전자 소스를 이용하는 경우, 패턴 빔 한정 어퍼쳐의 디자인에 대해 여기서 설명하는 방법은 수정되어 소스 팁(201)에서 각각의 광선(302)의 초기 각도에 따라 Iray302 에 대한 다른 값을 고려할 수 있다.
도 3B는 상단 얼라인먼트 편향기/스티그메이터(207)에서의 광선에 대한 한 쌍의 도면을 도시한다. 도면 (a)는 건 마운팅 플레이트(206), 상단 얼라인먼트 편향기/스티그메이터(207) 및 빔(222)의 측면에서의 단면도이다.
위치(303)에서 빔(222)의 축 단면도는 (b)에서 도시된다. 빔(222)은 상단 얼라인먼트 편향기/스티그메이터(207) 내의 중심에 위치된다. 상단 얼라인먼트 편향기/스티그메이터(207)의 8개의 8극자 전극(260-267)이 도시된다. 전압은 8개의 전극(260-270)에 인가되어, 빔(222)을 편향시켜 회전 가능한 쌍극자 전계를 생성할 수 있다. 더욱이, 전압은 8개의 전극(260-267)에 인가되어, 상단 경통에서 빔(222) 의 무수차를 위하여 회전 가능한 4극자 전계를 생성할 수 있다. 광선 절편(304)은 평균 에너지에서 소스 팁(201)을 출발하는 전자에 대응한다. 각각의 광선 절편(304)은, 도 3A에서 대응하는 광선 절편(302)과 서로에 대해 상대적 위치가 거의 동일하게 유지되어, X-축(319) 및 Y-축(320)에 근사하게 대응하는 격자 상에 균일하게 분리되어 관찰될 수 있다.
도 3C는 하단 얼라인먼트 편향기(208)에서의 광선에 대한 한 쌍의 도면을 도시한다. 도면 (a)는 하단 얼라인먼트 편향기(208), 빔 트리밍 어퍼쳐(276), 상단 블랭커(277) 및 빔(222)의 측면에서의 횡단면도이다. 위치(398)에서 빔(222)의 축 횡단면도는 (b)에 도시된다. 빔(222)은 하단 얼라인먼트 편향기(208) 내의 중심에 위치된다. 하단 얼라인먼트 편향기(208)의 8개의 8극자 전극(268-275)이 도시된다. 전압은 8개의 전극(268-275)에 인가되어, 빔(222)를 편향시키기 위하여 회전 가능한 쌍극자 전계를 생성할 수 있다. 광선 절편(399)은 평균 에너지에서 소스 팁(201)을 출발하는 전자에 대응한다. 각각의 광선 절편(399)은, 도 3A에서 대응하는 광선 절편이 유지했던 서로에 대해 거의 동일한 상대적 위치에서, X-축(319) 및 Y-축(320)에 근사하게 대응하는 격자에 고르게 분리되어 관찰될 수 있다.
도 3D는 빔 트리밍 어퍼쳐(276) 바로 위의 광선에 대한 도면을 도시하고, 도 3A 내지 3B에서 도시된 각도에서의 초기 층류(laminar) 분포가 경통의 더 아래에서 대체로 유지되고 있는 것을 보여준다. 도면 (a)는 웨이퍼(221)에서 30 nm 빔의 경우에 대한 빔 트리밍 어퍼쳐(276) 바로 위의 빔(222)를 도시하며, 제 1 소스 렌즈 전극(203) 및 빔 제한 어퍼쳐(204)에 인가된 전압은 일반적으로 640 V 내외이다. 이 경우, 빔(222)에서 외부의 광선은 빔 제한 어퍼쳐(204)에 의해 전송된 최대 2.0°반각에 대응하고, (X-축(319) 및 Y-축(320)을 따라 소스 팁(201)에서 ±0.45°반각 내의 각도에 대응하는) 중앙 정사각형 내의 광선만이 경통의 더 아래로 전송된다. 중앙 정사각형 외부의 광선은 빔 트리밍 어퍼쳐(276)에 의해 차단된다. 빔 트리밍 어퍼쳐는 정사각형으로 패턴 빔 한정 어퍼쳐(212)에서 정방형 빔 단면을 생성한다. 이것은, 이하에서 상세히 설명되는 바와 같이, 웨이퍼 표면(221)에서 패턴 빔 내의 도즈의 적절한 제어를 위해 필요하다.
도 3D에서의 도면 (b)는 웨이퍼(221)에서 120 nm 빔의 경우에 대한 빔 트리밍 어퍼쳐(276) 바로 위의 빔(222)를 도시하고, 제 1 소스 렌즈 전극(203) 및 빔 제한 어퍼쳐(204)에 인가된 전압은 일반적으로 430 V 내외가 될 것이다. 빔(222)에서 외부 광선은 빔 제한 어퍼쳐(204)에 의해 전송된 최대 2.0°반각에 대응하고, (X-축(319) 및 Y-축(320)을 따라 소스 팁(201)에서 ±1.5°반각 내의 각도에 대응하는) 중앙 정사각형 내의 광선만이 경통의 더 아래로 전송된다.
도 3E는 빔 블랭커 근방 및 내부의 경통에 대한 두 개의 도면을 도시한다. 도면 (a)는 하단 얼라인먼트 편향기(208), 빔 트리밍 어퍼쳐(276), 상단 블랭커(277), 하단 블랭커(278), 광학계 마운팅 플레이트(210), 빔 한정 어퍼쳐 마운트(211), 패턴 빔 한정 어퍼쳐(212) 및 빔(222)의 측면에서의 횡단면도이다. 상단 블랭커(277)의 중심부에서, 위치(395)에서의 빔(222)의 축 횡단면이 (b)에 도시된다. 광선 절편(394)은 평균 에너지에서 소스 팁(201)을 출발하는 전자에 대응한다. 각각의 광선 절편(399)은, 도 3A에서 대응하는 광선 절편이 유지했던 서로에 대해 거의 동일한 상대적 위치에서, X-축(319) 및 Y-축(320)에 근사하게 대응하는 격자에 고르게 분리되어 관찰될 수 있다. 빔(222)을 블랭크하기 위하여, 일반적으로 ±1.7 V + 5000 V의 범위의 전압이 블랭커 플레이트(280 및 282)에 인가된다. 플레이트(280 및 282) 사이의 3.4 V 차이는, 도 3E (a)에 도시된 것과 같이 전자 빔(222)을 휘게하여 광 (Z-)축(310)으로부터 떨어뜨리는, Y-축에 평행한 횡단하는 전계를 생성한다. 플레이트(281)는 항상 5000 V의 공통 모드 전압에서 유지되어 플레이트(280 및 282) 사이의 간격에서 균일한 전계를 확보한다.
도 3F는 하단 블랭커(278)의 중심부에서, 위치(393)에서의 빔(222)의 축 횡단면을 도시한다. 광선 절편(392)은 평균 에너지에서 소스 팁(201)을 출발하는 전자에 대응한다. 각각의 광선 절편(392)은, 도 3A에서 대응하는 광선 절편이 유지했던 서로에 대해 거의 동일한 상대적 위치에서, X-축(319) 및 Y-축(320)에 근사하게 대응하는 격자에 고르게 분리되어 관찰될 수 있다. 빔(222)을 블랭크하기 위하여, 일반적으로 ±1.54 V + 5000 V의 범위의 전압이 블랭커 플레이트(283 및 285)에 인가된다. 플레이트(283 및 285) 사이의 3.08 V 차이는 Y-축에 평행하고 상단 블랭커(277)에서의 필드로부터의 방향에 반대하는 횡단하는 전계를 생성하고, 생성된 전계는 도 3E (a)에 도시된 바와 같이 전자 빔(222)을 구부려 광 (Z-)축(310)으로 다시 향하도록 한다. 플레이트(284)는 항상 5000 V의 공통 모드 전압에서 유지되어 플레이트(283 및 285) 사이의 간격에서 균일한 전계를 확보한다. 상단 블랭커(277) 및 하단 블랭커(278)의 결합된 편향 효과는 빔을 비축(off-axis)시키고, 빔이 광 (Z-)축 상의 가상의 소스 위치로부터 발생한 것처럼 여전히 보이게 하는 경로에서 패턴 빔 한정 어퍼쳐(212)로 향하도록 빔을 편향시키는 것이다. 이것은 소스 팁(201)과 웨이퍼 표면(221) 사이의 경통에 실질적인 교차가 없음에도 불구하고 공액(conjugate) 블랭킹을 보장한다. 중간 교차(cross-over)를 피함으로써, 경통 하부의 빔(222)의 더 큰 직경이 쿨롱 (공간 전하) 빔 확산을 크게 감소시키고, 웨이퍼 표면(221)에서 빔 엣지 선명도를 향상시키는 이득이 있다.
도 3G (a)는 빔 한정 어퍼쳐(212) 바로 위의 한 쌍의 빔(222)을 도시하고, 도 3A 내지 3B에서 도시된 각도에서의 초기 층류 분포가 경통의 더 아래에서 대체로 유지되고 있는 것을 보여준다. 상단 정방형 빔(305)은 도 3E (a)에 도시된 블랭크된 빔에 대응한다. 그것은 패턴 빔 한정 어퍼쳐(212)에서 개구부로부터 완전히 떨어져 편향되고, 따라서 어떠한 광선도 웨이퍼 표면(221)로 전송되지 않는다. 광선(306)은 하단 (블랭크되지 않은) 정방형 빔에서 도시된다. 패턴 빔 한정 어퍼쳐(212)에서 개구부를 통과하는 광선은 웨이퍼 표면(221)으로 전송되어 도 2B의 위치(250)에서 고 전류 밀도 정방형 빔을 형성한다.
정방형 빔 트리밍 어퍼쳐(276)에 대한 용도는 도 3G에서 관찰될 수 있다. 블랭킹 시간을 최소화하기 위하여, 패턴 빔 한정 어퍼쳐(212)에서 빔 크기를 가능한 작게 유지하는 것이 필요하다. 또한, 빔은 정방형 횡단면을 가져, 빔이 패턴 빔 한정 어퍼쳐(patterned beam-defining aperture, PBDA)(212)(도 22 참조)를 가로질러 스위핑(sweeping)할 때, PBDA(212)에서 개구부 상의 각각의 위치는 동일한 시간 동안 조명될 것이다. 이것은 빔 횡단면이 정방형인 경우에만 가능하다. 웨이퍼 표면(221)에서 모든 가능한 빔 크기에 대하여, 비록 도 3D의 도면 (a)-(b) 에서 도시 된 (팁(201)에서 2°반각에 대응하는) 외부 빔 직경이 크기에 있어 매우 다양함에도 불구하고, PBDA(212)에서의 정방형 조명 빔은 대략 도 3G의 도면 (a)-(b)에 도시된 크기일 것이다. 빔 트리밍 어퍼쳐(276)가 없이도, PBDA(212)를 조명하는 빔 직경은 크기에서 비슷한 넓은 범위를 나타낼 것이지만, 웨이퍼 표면(221)에서 빔 사이즈가 더 작아질수록 블랭킹 시간이 매우 길어질 것이다.
도면 (b)는 웨이퍼 표면(221)에서 30 nm 정방형 빔의 경우에 패턴 빔 한정 어퍼쳐(PBDA)(212)에서 빔(222) 횡단면의 확대도이다. 웨이퍼(221)에서 40 nm 내지 120 nm의 더 큰 빔 크기를 위하여, PBDA(212)에서의 정방형 빔은 도면 (b)에서보다 약간 더 작을 것이다. 따라서 30 nm 내지 120 nm의 정방형 빔을 위하여, 빔 트리밍 어퍼쳐(276)는 PBDA(212)에서 정방형 빔을 최대 대역(bandwidth) 블랭킹을 위한 근사 최적(최소) 크기에서 유지한다.
도 3H (a)는 상단 메인필드 편향기(213)의 중심에서의 광선(330)의 도면이다. 도면 3A 내지 3B에 도시된 각도의 초기 층류 분포는 경통 아래에서 대체로 유지되고 있다. 여기서 빔 횡단면은 패턴 빔 한정 어퍼쳐(212)에서의 개구부의 이미지를 유지한다는 것이 주목할만하다.
메인필드 편향기(213 및 214를 포함함)의 목적은 아래와 같다.
(1) X-축(319) 및 Y-축(320)에서 작은 웨이퍼 스테이지 위치 오류(~±2μm)를 보상함.
(2) X-축(319) 및 Y-축(320)에서 경통 위치에서의 작은 기계적 오류(~±2μm)를 보상함.
(3) 오직 X-축을 따라 묘화될 특정 서브필드의 중심에 빔을 위치시킴(최소한 ±25μm까지 편향)
이러한 요구의 전부는 상대적으로 저대역(low-bandwidth)이지만, X-축에 따르는 큰 비축 편향(예를 들어, ±25μm)에서도, 메인필드 편향기가 빔에서 수차(aberration)를 거의 유도하지 않을 것을 요구한다. Y-축(320) 편향이 더 작기 때문에, 큰 X-편향 및 더 작은 Y-편향을 위한 최적화된 편향기 디자인이 도시된 바와 같이 상단(213) 및 하단(214) 메인필드 편향기 모두에 대해 사용된다. 최대 X-축(319) 편향의 경우를 위한 일반적인 상단 메인필드 편향기(213) 전압은 (5000 V 공통 모드 전압에서) 아래와 같다.
Figure 112008074344217-PCT00001
이러한 예시에서, 전극(4001 내지 4009) 및 전극(4012 내지 4020)은 최소 편향 수차를 위해 요구되는 균일한 X-방향 전계를 유지하기 위하여 작동하는 전극(4010, 4011, 4021 및 4022)를 갖는 두 개의 평행 플레이트로서 작동한다. 4997.5 V 및 5002.5 V 사이의 0.5 V의 단계에서, 일련의 정전기 등전위선(electrostatic equipotential line)(4025)이 도시된다. 전계에서 고도의 균일성을 나타내며, 이것은 편향 수차를 최소화한다. 순 Y-축(320) 편향의 경우에서, 전극(4009 내지 4012, 4001 및 4020 내지 4022)은 균일한 Y-방향 전계를 유지하기 위하여 작동하는 전극(4002 내지 4008, 4013 내지 4019)를 갖는 두 평행 플레이트로 서 작동한다. 메인필드 편향기(213 및 214)가 X-축(319)에 평행하고 훨씬 더 큰 편향을 위해 최적화되었기 때문에, 편향기(213 및 214)는 비대칭이고, Y-축을 따라 더 큰 폭을 가져 ±25μm X-편향을 위해 요구되는 더 높은 X-축(319) 전계(field) 강도를 위한 전계 균일성을 유지한다. 바람직한 ±1μm Y-편향을 위해, 요구되는 필드 강도는 훨씬 더 낮고, 따라서 감소된 정도의 E-필드 균일성이 허용될 수 있다. 평행 플레이트 편향기의 디자인의 측면은 당업자에게 공지되어 있다.
도면 (b)는 전압을 전극(4001 내지 4022)의 각각에 연결하는 가능한 방법을 도시한다. 전극(4004 내지 4006, 4015 내지 4017)은 생략되어 회로도를 간단하게 한다. 각각의 이웃하는 한 쌍의 전극은 값 R을 갖는 저항(4035)에 의해 접속된다. 이러한 저항은 도시된 바와 같이 구동 신호(drive signal) V+X+Y(4031), V-X+Y(4031) V-X-Y(4031) 및 V+X-Y(4031) 사이에서 4개의 선형 전압 분배기를 형성한다. 따라서, 오직 4개의 구동 전압만이 22개의 전극(4001 내지 4022)을 제어하기 위하여 요구된다. 4개의 구동 신호와 내부 전극(4004 내지 4006, 4015 내지 4017) 사이에 최소한의 RC 시간 지연이 있기 위하여, 저항(4035)의 값 R은 충분히 낮게 유지되어야만 한다. 그러나, R의 값은 과도한 전력 손실(V = 편향 전압 일 경우, V2/R이 됨)이 있을 정도로 너무 낮지 않아야 한다. 전극(4001 내지 4022)으로의 연결에서 커패시턴스(capacitance) C를 최소화하기 위하여, 저항(4035)은 경통 어셈블리에 가능한 근접하여, 아마도 진공 용기(enclosure) 내에 설치되는 것이 바람직하다. 그러나, 대류 냉각(convective cooling)의 부족으로 인해 열 손실이 더 어려운 진공 용기에 서, 당연히, 과도한 RC 지연을 회피하면서도, R을 가능한 크게 하여 저항 R(4035)에서의 전력 손실은 최소화되어야 한다.
도 3I는 하단 메인필드 편향기(214)의 중심부에서의 광선(331)의 도면이다. 도 3A 내지 3B에 도시된 각도의 초기 층류(laminar) 분포는 PBDA(212)에서 개구부의 이미지와 함께 경통 더 아래에서 대체로 유지된다. 하단 메인필드 편향기(214) 전극(4101 내지 4122)에서의 차등 전압(5000 V의 공통 모드 전압을 무시함)은 크기는 동일하고 상단 메일필드 편향기(213)에서의 대응하는 전극(4001 내지 4022)의 전압과 극성이 반대이다. 4997.5 V 및 5002.5 V 사이의 0.5 V의 단계에서, 일련의 정전기 등전위선(electrostatic equipotential line)(4125)이 도시된다. X-축(319)은 빔(222)에 대한 큰 편향 방향, 일반적으로 웨이퍼 표면(221) 상의 광 축으로부터 최소 ±25μm에 대응한다. 스테이지 진행의 방향은 Y-축(320)에 평행하다(도 27B에 도시된 바와 같이, 선택적으로 각각의 이후의 웨이퍼 스캔과 함께 +Y- 및 -Y-방향이 됨). 일반적으로 Z-축(310)에 따른 전극(4101 내지 4122)의 길이는 동일할 것이며, 상단 메인필드 편향기(123)에서의 전극(4001 내지 4022)의 길이와 같다. 상단 및 하단 메일필드 편향기(213 및 214)의 길이는 동일하고 인가된 전극 전압은 크기에서 동일하고 극성에서 반대이기 때문에, 결합된 결과는 빔(222)을 광 축(310)에서 떨어뜨려 편향시키고 그것을 광(Z-) 축(310)에 평행하도록 복원시킬 것이다.
도 3J는 서브필드 평향기/스티그메이터(215)의 중심에서 광선(332)의 축 횡단면도이며, 도 3A 내지 3B에 도시된 각도의 초기 층류 분포가, PBDA(212)에서 개 구부의 이미지와 함께, 경통 더 아래에서 유지되는 것을 도시한다. 서브필드 편향기/스티그메이터(215)는 아래와 같은 2개의 기능을 결합한다.
(1) 편향기(즉, 회전 가능한 쌍극자 여기(excitation)를 갖음)로서, 서브필드 편향기/스티그메이터(215)는 X-(319) 및 Y-(320) 방향 모두에 ±1μm까지 빔(222)을 스캔하기 위하여 사용되어 2μm 정방형 서브필드를 담당하고,
(2) 스티그메이터(즉, 회전가능한 4극자 여기를 갖음)로서, 서브필드 편향기/스티그메이터(215)는 광학 경통에서 다른 소자에 의해 유도된 비점 수차(astigmatism)를 보정한다.
빔(222)은 상단 및 하단 메인필드 편향기(213 및 214)에서, 결합된 빔 편향으로 인해 서브필드 편향기/스티그메이터(215) 내에서 중심에서 떨어져 있다. 서브필드 편향기/스티그메이터(215) 전극은 도시된 바와 같이 종래의 8극자 구성으로 배열된다. 일반적으로, Z-축(310)을 따라 8극자 전극(223 내지 230)의 길이는 동일할 것이고 편향 및 스티그메이션(stigmation) 전압은 아래와 같을 것이다(5000 V의 공통 모드 전압은 도시 안됨).
Figure 112008074344217-PCT00002
±1μm 이하의 빔 편향을 위하여, 테이블에서의 전압은 선형으로 스케일링될 것이다. 결합된 X-Y 편향을 위하여, 중간의 2개의 경통에서의 전압은 적합한 스케일링 인자와 함께 선형으로 추가되어, 당업자에게 잘 알려진 것처럼 바람직한 X-편향 및 Y-편향을 설명할 것이다. 스티그메이터 전압은 비축 편향의 제곱(square)에 따라 편화하는 경향이 있고 각각의 전극(223 내지 230)에서 X-Y 편향 전압에 추가될 것이다.
도 3K는 포커스-1 전극 어셈블리(216)의 내부의 광선(333)의 축 횡단면도이다. 도 3A 내지 3B에 도시된 각도의 초기 층류(laminar) 분포는 PBDA(212)에서 개구부의 이미지와 함께 경통 더 아래에서 대체로 유지된다. 포커스-1 전극 어셈블리(216)는 전극 어셈블리(216, 217) 및 필드-프리 튜브(218)를 포함하는 메인 렌즈 어셈블리의 일부이다. 메인 렌즈 어셈블리는 웨이퍼 표면(221) 상에 빔(222)을 포커싱시키기 위하여 사용된다. 빔(222)은 상단 및 하단 메인필드 편향기(213 및 214)에서, 결합된 빔 편향으로 인해 포커스-1 전극 어셈블리(216) 내에서 (+X-방향으로) 중심에서 떨어져 도시된다. 포커스-1 전극 어셈블리(216)는 (1) 큰 원통 ID를 갖는 지지 전극(230)(도 2C 에 도시됨) 및 (2) 광(Z-) 축에 평행한 매우 짧은 전극 길이 및 지지 전극(230) 보다 더 작은 ID를 갖는 종래의 정전 8극자를 형성하는 전극(231 내지 238)을 포함한다. 8극자(231 내지 238)의 쌍극자 정전 여기(excitation)는 상단(213) 및 하단(214) 메인필드 편향기의 여기에 비례하여 변화되어 빔(222)과 중심이 같은 포커스-1 전극 어셈블리(216)의 유효 축을 유지한다.
+25μm X-방향 편향에 대한 일반적인 전극 여기 전압은 아래와 같다(5000 V 공통 모드 전압이 도시됨)
Figure 112008074344217-PCT00003
위의 테이블의 특정한 값은 도 23에 도시된 절차에서 이론적으로 결정되었다.
도 3L은 포커스-2 전극 어셈블리(217) 내부에서 광선(334)의 축 횡단면도이다(스케일은 도 3K보다 큼). 도 3A 내지 3B에 도시된 각도의 초기 층류(laminar) 분포는 PBDA(212)에서 개구부의 이미지와 함께 경통 더 아래에서 대체로 유지된다. 빔(222)은 상단 및 하단 메인필드 편향기(213 및 214)에서, 결합된 빔 편향으로 인해 포커스-2 전극 어셈블리(217) 내에서 (+X-방향으로) 중심에서 떨어져 도시된다. 포커스-2 전극 어셈블리(217)에서 전극(241 내지 248)에 대한 쌍극자 여기 전압의 적절한 설정으로, 포커스-2 전극 어셈블리(217)를 출발하는 X-Y 빔 위치는 포커스-1 전극 어셈블리(216)에 들어가는 X-Y 빔 위치와 동일할 것이다. 포커스-2 전극 어 셈블리(217)는 (1) 큰 원통 ID를 갖는 지지 전극(240)(도 2C 에 도시됨) 및 (2) 광(Z-) 축에 평행한 매우 짧은 전극 길이 및 지지 전극(240) 보다 더 작은 ID를 갖는 종래의 정전기 8극자를 형성하는 전극(241 내지 248)을 포함한다. 8극자(241 내지 248)의 쌍극자 정전기 여기(excitation)는 상단 및 하단 메인필드 편향기(213 및 214)의 여기에 비례하여 변화되어 빔(222)과 중심이 같은 포커스-2 전극 어셈블리(217)의 유효 축을 유지한다.
전극(240 내지 248)에서의 공통 모드 전압은 요구되는 특정 정방형 빔 크기에 대해 축상(on-axis)에서의 포커싱(focusing) 요구(requirement)에 의해 결정된다. 일반적인 포커싱 전압은 아래와 같다.
Figure 112008074344217-PCT00004
+25μm X-방향 편향에 대한 일반적인 전극 여기 전압은 아래와 같다(40 nm 빔에 대한 5007.4 V 공통 모드 전압이 도시됨).
Figure 112008074344217-PCT00005
위의 테이블의 특정한 값은 도 23에 도시된 절차에서 이론적으로 결정되었다. 빔(222)에서의 광선 절편(334)의 각각은, 도 3A에서 대응하는 광선 절편(302)과 서로에 대해 상대적 위치가 거의 동일하게 유지되어 , X-축(319) 및 Y-축(320)에 근사하게 대응하는 격자(grid) 상에 균일하게 분리된다.
도 3M은 필드-프리 튜브(218) 내부에서 광선(335)의 축 횡단면도이다. 도 3A 내지 3B에 도시된 각도의 초기 층류(laminar) 분포는 PBDA(212)에서 개구부의 이미지와 함께 경통 더 아래에서 대체로 유지된다. 빔(222)은 상단 및 하단 메인필드 편향기(213 및 214)에서, 결합된 빔 편향으로 인해 필드 프리 튜브(218) 내에서 (+X-방향으로) 중심에서 떨어져 도시된다. 포커스-1 전극 어셈블리(216)에서의 전 극(230 내지 238) 및 포커스-2 전극 어셈블리(217)에서의 전극(240 내지 248)에 대한 전압의 적절한 설정으로, 필드 프리 튜브(218)에 들어가는 X-Y 빔 위치는 포커스-1 전극 어셈블리(216)에 들어가는 X-Y 빔 위치와 대체로 동일할 것이다. 필드 프리 튜브(218)로의 입구에서, 포커스-2 어셈블리(217) 및 필드 프리 튜브(218) 사이의 전계의 침투로부터 발생하는 분산 가속 렌즈(diverging accelerating lens)가 있다. 이러한 분산 렌즈는 웨이퍼에서의 빔 편향을, 메인 편향기(213 및 214)로 인한 것보다 많이, 일반적으로 25% 까지 증가시킨다. 메인 렌즈의 포커싱 효과로 인해 빔(222)이 포커스-1 전극 어셈블리로의 입구에서 보다 직경이 훨씬 더 작다는 점이 주목된다.
전술한 “이동 렌즈”의 사용은 아래와 같은 2개의 중요한 장점을 갖는다.
1) 빔은 항상 렌즈 필드의 대칭 축 위에 있기 때문에, 모든 비축 수차, 기하학적(코마(coma), 비점 수차(astigmatism), 필드 곡률(curvature) 및 왜곡) 및 색채적(배율(magnification)에서의 변화)인 것은 모두 본질적으로 제거된다.
2) 웨이퍼 표면에 부딪치는 빔은 텔레센트릭하게(telecentrically), 즉 웨이퍼 표면에 수직하게 항상 스캔될 것이고, 그로 인해 초점 심도(depth-of-focus)를 향상시킬 것이다.
빔 한정 어퍼쳐의 위치에 대한 고찰
본 발명에서 패턴 빔 한정 어퍼쳐의 위치는 기판 표면(221)에서 빔 패턴 처리의 효과를 결정할 때 중요한 고려의 대상이다. 다음과 같은 경통 아래에서의 위치가 고려될 수 있다.
1. 소스 팁(201)에 근접한 위치
도 3A는 소스 팁(201)에 근접한 빔(222)의 프로파일의 도면이다. 빔(222) 내의 광선 절편(302)의 균일한 간격의 분포가 명백하게 관찰될 수 있다. 위치(301)에서, 빔(222)은 매우 팁에 근접하여 이상적인 균일한 간격의 각 분포로부터 빗나갈 시간이 거의 없기 때문에, 구면 수차 또는 색채 수차로 인한 영향이 최소이다. 구면 수차는 외부 광선(outer ray)을 광 (대칭) 축으로 다시 휘게하는 경향이 있을 것이고, 그것은 외부 광선(outer ray)이 내부 광선(inner ray)보다 더 가까이 간격을 두는 것을 나타낸다. 색채 수차는 높은 에너지 전자로부터 낮은 에너지 전자를 방사상으로 분리시키는 경향이 있다. 소스 팁(201)에 근접하게 빔 한정 어퍼쳐(212)를 위치시키는 것의 단점은 어퍼쳐에 충돌하는 전자가 후방 산란(backscatter)하고 소스 팁(201)에 충돌할 수 있어, 거기에 열과 아웃가스(outgas)를 발생시킬 수 있다.
2. 가속 경통(209)의 상부
도 3B는 가속 경통(209)의 상부에서 빔 프로파일을 도시한다. 이러한 위치(위치 303)에서, 빔(222) 내의 광선 절편(304)은 여전히 균일하게 간격을 두며, 소 스 렌즈(전극(202, 203, 204 및 205)로 구성됨)로 인한 구면 및 색채 수차는 여전히 최소이다.
3. 메인 편향기의 바로 위
도 2B는 빔 한정 어퍼쳐(212)에 대한 제 3의 가능한 위치를 도시한다. 이것은 여기에서 설명된 일실시예를 위해 선택된 위치이다. 위치(3)에 빔 한정 어퍼쳐(212)를 위치시키는 것의 주요 장점은 경통의 광학적 얼라인먼트에 관련된다. 빔 트리밍 어퍼쳐(276)를 가속 경통(209)의 하단에 위치시킴으로써, 그것은 얼라인먼트 편향기(207 및 208)의 여기를 돕도록하여, 패턴 빔 한정 어퍼쳐(212)를 통하여 경통의 하단부로 적절하게 빔이 지나가게 하도록 하기 위해 사용될 수 있고, 메인필드 편향기(213 및 214), 서브필드 편향기/스티그메이터(215) 및 메인 렌즈를 포함할 수 있다. 메인 렌즈를 통한 빔의 적절한 얼라인먼트는 기판 표면(221)에서 적합한 패턴 빔을 획득하는데 중요한 요소이다.
빔 한정 어퍼쳐가 위치한 경통 내의 지점에서의 전자 빔 에너지는 발생할 수 있는 어퍼쳐의 가열 양을 결정하는 요인이 될 것이다. 어퍼쳐 가열은 빔 한정 어퍼쳐에 대한 위치를 결정할 때 고려되어야만 한다.
빔 한정 어퍼쳐의 배치의 최적화에 관한 추가적인 고찰
최적화 처리가 사용될 수 있으며, 도 1에 도시된 처리가 경통 내의 빔 한정 어퍼쳐의 서로 다른 위치들에 대해 반복된다. 이것은 기판에서의 빔 프로파일 및 전류 밀도 분포와, 각각의 위치에 대한 빔 한정 어퍼쳐 디자인을 생성할 것이다. 최상의 위치는 아래와 같은 사항을 고려하여 결정될 수 있다.
a) 기판에서의 빔 프로파일
b) 기판에서의 빔 전류 밀도
c) 빔 한정 어퍼쳐의 제조 가능성(manufacturability)
도 4A는 패턴 빔 한정 어퍼쳐(212)를 도 2A의 경통으로 삽입하기 전에, 도 1의 블록(108)에서 생성된 통상적인, 광 축에 중심을 두는 기판(221)의 표면에서의 계산된 원형 빔 프로파일을 도시한다. 빔(222) 단면은 2개의 축, X(401) 및 Y(402)와 관련하여 도시된다. 여기서 정방형으로 도시되었지만, 어떠한 실용성있는 형태를 가질 수 있는, 바람직한 패턴 빔 형태(403)가 빔 단면에 겹쳐져 표시된다. 광선(405)이 바람직한 형태(403) 내부로 도달하는 반면에, 광선(404)은 바람직한 형태(403) 외부로 도달한다. 광선(404 및 405)의 각각에 대하여, 빔 한정 어퍼쳐(212)에서의 X-Y 좌표는 여기에 도시된 웨이퍼 표면(221)에서의 대응하는 X-Y 좌표와 함께 기록된다.
도 1의 블록(108)에서 계산은 도시된 (정방형) 패턴(403)의 모서리에서 광선의 손실이 적도록 바람직한 빔 패턴(403)을 에워싸도록 원형 빔의 직경을 조정하였다. 이것은 소스 팁(201)으로부터 방출 전류의 사용에서 가장 높은 효율을 보장하고, 따라서 기판(221)에서의 빔(222)의 전류 밀도를 최대화한다. 도시된 예에서, 바람직한 빔 패턴(403)은 한 변의 길이가 40 nm인 정사각형이고 원형 빔 직경은 정방형 빔 패턴(403)의 대각선 모서리 사이의 거리에 대응하는 √2×40 nm ≒ 56 nm 보다 조금 더 크도록 조정된다.
도 4B는 패턴 빔 한정 어퍼쳐(212)를 경통으로 삽입하기 전에, 도 1의 블록(108)에서 생성된 통상적인, 광(Z-) 축에서 +12.5μm 떨어져 중심을 두는 기판(221)의 표면에서의 계산된 원형 빔 프로파일을 도시한다. 빔(222) 단면은 2개의 축, X(406) 및 Y(402)와 관련하여 도시된다. 도 4A에서와 동일한 Y-축이 사용되지만, +12.5μm X-편향으로 인해, 다른 X-축(406)이 X-축(401)에서의 X=+12.5μm에 대응하여 X-축(406)에서의 X=0을 갖도록 정의된다. 여기서 정방형으로 도시된 바람직한 패턴 빔 형태(403)는 도 4A에서와 동일하다. 광선(408)이 바람직한 형태(403) 내부로 도달하는 반면에, 광선(407)은 바람직한 형태(403) 외부로 도달한다. 광선(407 및 408)의 각각에 대하여, 빔 한정 어퍼쳐(212)에서의 X-Y 좌표는 여기에 도시된 웨이퍼 표면(221)에서의 대응하는 X-Y 좌표와 함께 기록된다.
광학 경통의 디자인은, 도시된 것처럼 +12.5μm 축에서 떨어져 편향될 때라도, 빔이 거의 동일한 직경을 갖는 원형으로 남는 것을 보장해야 한다. 이것은 빔이 광 축에 근접할 때처럼 축에서 떨어져 편향될 때 동일한 빔 크기 및 전류 밀도를 획득하기 위하여 중요하다. 도시된 바람직한 패턴(403) 내부로 도달하는 일련의 광선(408)은 도 4A의 바람직한 패턴(403) 내부에 도달하는 일련의 광선(405)에 반드시 대응하지 않는다는 것도 또한 중요하다. 이것은 광학 경통에서 비축 기하 수차(off-axis geometrical aberration)로 인한 것이다.
도 4C는 패턴 빔 한정 어퍼쳐(212)를 경통으로 삽입하기 전에, 도 1의 블록(108)에서 생성된 통상적인, 광(Z-) 축에서 +25μm 떨어져 중심을 두는 기판(221)의 표면에서의 계산된 원형 빔 프로파일을 도시한다. 빔(222) 단면은 2개의 축, X(409) 및 Y(402)와 관련하여 도시된다. 도 4A 및 도 4B에서와 동일한 Y-축이 사용되지만, +25μm X-편향으로 인해, 다른 X-축(409)이 X-축(401)에서의 X=+25μm에 대응하여 X-축(409)에서의 X=0을 갖도록 정의된다. 여기서 정방형으로 도시된 바람직한 패턴 빔 형태(403)는 도 4A 및 도 4B에서와 동일하다. 광선(411)이 바람직한 형태(403) 내부로 도달하는 반면에, 광선(410)은 바람직한 형태(403) 외부로 도달한다. 광선(410 및 411)의 각각에 대하여, 빔 한정 어퍼쳐(212)에서의 X-Y 좌표는 여기에 도시된 웨이퍼 표면(221)에서의 대응하는 X-Y 좌표와 함께 기록된다.
광학 경통의 디자인은, 도시된 것처럼 +25μm 축에서 떨어져 편향될 때라도, 빔이 거의 동일한 직경을 갖는 원형으로 남는 것을 보장해야 한다. 이것은 빔이 광 축에 근접할 때처럼 축에서 떨어져 최대 거리를 편향할 때 동일한 빔 크기 및 전류 밀도를 획득하기 위하여 중요하다. 도시된 바람직한 패턴(403) 내부로 도달하는 일련의 광선(411)은 도 4A의 바람직한 패턴(403) 내부에 도달하는 일련의 광선(405) 또는 도 4B의 바람직한 패턴(403) 내부에 도달하는 일련의 광선(408)에 반드시 대응하지 않는다는 것도 또한 중요하다. 이것은 광학 경통에서 비축 기하 수차(off-axis geometrical aberration)로 인한 것이다.
도 5는 패턴 빔 한정 어퍼쳐(212)를 경통으로 삽입한 후에 도 1의 블록(108 내지 110)에서 생성된 통상적인, 광 축에 중심을 두는 기판(221)의 표면에서의 계 산된 정방형 빔 프로파일을 도시한다. 빔(222) 단면은 2개의 축, 도 4A에서와 동일한, X(401) 및 Y(402)와 관련하여 도시된다. 모든 광선(505)는 도 4A에 도시된 바람직한 패턴 빔 형태(403) 내에 도달한다.
블록(110)에서의 계산은 아래와 같은 5 개 세트의 광선의 교차를 결정한다.
1) (편향이 없는 것에 대응하는) 도 4A에서의 광선(405)의 세트
2) (+12.5μm X-편향에 대응하는) 도 4B에서의 광선(408)의 세트
3) (+25μm X-편향에 대응하는) 도 4C에서의 광선(411)의 세트
4) 웨이퍼(221)에서 (X-좌표가 역으로 된) Y-축 주위의 미러 이미지(mirror image)인 도 4B에서의 광선(408)의 세트(이것은 -12.5μm X-편향에 대응하여 데이터를 생성하고, 이것은 또한 빔 한정 어퍼쳐(212)에서 광선을 미러 이미지화함)
5) 웨이퍼(221)에서 (X-좌표가 역으로 된) Y-축 주위의 미러 이미지(mirror image)인 도 4C에서의 광선(411)의 세트(이것은 -25μm X-편향에 대응하여 데이터를 생성함)
이러한 5 개 세트의 교차는 일반적으로 5 개의 개별적인 세트 중 어떠한 것보다도 5-10% 더 작다. 이것은 최초의 원형 빔 프로파일을 왜곡하는 비축 기하 수차로 인한 것이다. 도 1의 블록(108)에서 광 디자인 프로세스의 목표는 비축 기하 수차를 최소화하여 전술한 광선의 5 개 세트의 교차의 크기를 최대화하는 것이다. 도 5에 도시된 광선(505)의 세트는 이러한 효과의 결과로서 도 4A에서 광선(405)의 세트의 서브세트이다. 이러한 특정한 실시예에서, 광선(405)의 세트는 237 멤버를 가지지만, 광선(505)의 세트는 5.9%가 감소된, 223 멤버를 갖는다.
도 6A는 도 5에서의 광선(505)에 대응하여, 웨이퍼로 전송되어야만 하는 패턴 빔 한정 어퍼쳐(212)에서 이상화된 광선(605, 606 및 607)의 세트의 그래프이다. 이것은 도 1의 블록(112)에서 개발된 이상적인 어퍼쳐 디자인의 결과이다. -40μm < X < +40μm 및 -40μm < Y < +40μm 를 만족하는 X-Y 좌표를 갖는 영역 내의 광선(605)의 중심 세트는 소스 팁(201)에서 광 축에 관하여 작은 각도에 대응하기 때문에 최소의 구면 수차(spherical aberration)를 겪는 광선에 대응한다. X < -40μm, X > +40μm, Y < -40μm, Y > +40μm 인 광선(606)의 외부 세트는 제 1 차 광학계에서 바람직한 빔 프로파일(403) 외부로 도달함에도 불구하고 그것을 바람직한 빔 프로파일(403) 내로 폴드 오버(fold over)하는 더 큰 구면 수차를 겪는 광선에 대응한다. Y-축(602)에 평행하여 광선의 분포에서 두 개의 홀(603)이 있다. X-축(601)에 평행하여 광선 분포에서 다른 두 개의 홀(604)이 있다. 이러한 4개의 홀(603 및 604)은 바람직한 빔 프로파일(403) 외부로 도달하는 광선(404, 407 또는 410 등)에 대응한다. Y-축(602) 및 X-축(601)에 대한 대각선을 따라, 모든 광선이 전송된다. 이것은 빔 형상(403)의 바람직한 크기에 그것의 대각선 크기에 따라 최초의 (원형) 빔 프로파일을 면밀하게 매칭시킨 결과이다. 홀(603 및 604)은 빔(222)에 불투명한 패턴 빔 한정 어퍼쳐(212)의 영역, 즉 솔리드(solid) 패턴에 대응한다. 그러나, 도 6A에서 알 수 있듯이, 이러한 솔리드 패턴은 빔 한정 어퍼쳐(212)의 외부로부터 분리되고, 따라서 물리적으로 실현 가능하지 않다. 광 선(607)은 도 8A에 도시된 실제적인 어퍼쳐 디자인을 획득하기 위하여 희생되어야만 하는 광선에 대응한다.
도 6B는 빔 한정 어퍼쳐(212)에 의해 차단되어 웨이퍼 표면(221)에 도달하지 않아야 하는 이상화된 광선(610 및 611)의 세트의 그래프이다. 이러한 광선 세트는 도 6A에 도시된 세트를 보완하고 도 1의 블록(112)에서 개발된 이상적인 어퍼쳐 디자인의 결과이다. 광선(610)의 세트는 바람직한 정방형 빔 프로파일(403)을 생성하기 위해 차단되어야 하는 광선에 대응한다. 이러한 광선(610)은 도 6A에서 홀(603 및 604)에 대응한다. Y-축(602) 및 X-축(601)에 대한 대각선을 따라, 어떠한 광선도 차단되지 않는다. 이것은 빔 형태(403)의 바람직한 크기에 그것의 대각선 크기에 따라 최초의 (원형) 빔 프로파일을 면밀하게 매칭시킨 결과이다. 경계 주위의 광선(611)은 최소 (원형) 빔 프로파일이 바람직한 빔 형태(403)의 대각선 크기보다 약간 더 컸다는 사실로 인한 것이며, 실제 빔 프로파일의 모서리를 다른 방법으로 잘라내는 것이 발생할 수 있기 때문에 이것이 바람직하다.
도 7A는 도 1의 블록(114)에서 발전된 도 8A에 도시된 실제적인 어퍼쳐 디자인에 의해 웨이퍼로 투과된 실제 광선의 세트의 그래프이다. 도 6A와 도 7A 사이에서, 투과된 광선에서의 유일한 변화는 위치(701)이며, 여기서 어떠한 투과된 광선도 없다. 이것은 도 6A에서 6 개의 광선(607)의 손실에 대응한다.
도 7B는 도 8A에 도시된 어퍼쳐 디자인에 의해 차단된 실제 광선의 세트의 그래프이다. 이러한 광선의 세트는 도 7A에 도시된 세트를 보완한다. 도 6B와 도 7B 사이에서, 전송된 광선의 유일한 변화는 위치(702)이며, 도 7A에 없는 광 선(701)에 대응하여, 6 개의 추가적인 차단된 광선이 있다.
도 8A는 실제적인 패턴 빔 한정 어퍼쳐(212)를 획득하기 위하여 도 1의 블록(114)에서 만들어진 어퍼쳐 디자인을 마지막으로 변화시킨 결과를 도시한다. 광선 절편(605 및 606)은 도 6A 및 도 7A에 대응하는 반면에, 스트럿(struts)(812)은 광선 절편(610)에 대응하는 광선을 차단하는 4개의 중심 구조를 지지하기 위하여 필요한 추가된 어퍼쳐 자재(material)에 대응한다. 다양한 구역(radii)(803)이 추가적인 강도와 제작의 용이성을 위하여 어퍼쳐 디자인에 추가되지만, 이러한 범위의 크기는 너무 많은 빔 전류를 차단하는 것을 피하기 위하여 요구되는 최소의 크기로 유지되어야 한다. 메인필드 편향은 항상 X-축(601)에 평행하고, Y-축(602)에 평행하여 작은(±1μm) 편향만이 있기 때문에 디자인은 4-폴드 대칭(four-fold symmetric)이 아니다. 이러한 비 4-폴드 대칭(non four-fold symmetry)은 중심 정방형 개구부 내의 2개의 작은 돌출부(804)의 추가로 명백하다. X-축(601) 및 Y-축(602) 주위의 빔 편향이 대칭이기 때문에, 결과적인 패턴 빔 한정 어퍼쳐 디자인은 거울 대칭(mirror-symmetric)이다.
패턴 빔 한정 어퍼쳐(patterned beam-defining aperture, PBDA)(212)는, 고정된 전위(potential)에서 유지되는, 전도성있는 구조물이다. 그것은 메탈 포일(metal foil), 전도성 멤브레인(membrane), 전도성 코팅 멤브레인(membrane) 또는 이에 상당한 얇은 물질을 기계 가공, 레이저 제거, 마이크로 기계 가공 등을 함으로써 제조될 수 있다. 고 에너지 전자가 그것에 입사(incident)하는 위치에서 경통에 PBDA(212)를 이용하는 응용을 위하여, 연속적인 멤브레인에 의해 지지되는 패 턴 후막(patterned thick film)을 이용하는 선택이 존재하고, 연속적인 멤브레인은 ‘전자 투명(electron transparent)’하다. 이러한 디자인으로 인해 PBDA는 기계적인 지지 스트럿(812) 및 구역(803)를 필요로 하지 않고 만들어진다.
다른 중요한 고려의 대상은 전자 빔(222)의 에너지 전파(spread)의 효과이고, 그것은, 예를 들어 쇼트키 이미터에서, 1.0 eV FWHM을 초과할 수 있다. 상단 경통, 즉 빔 한정 어퍼쳐(212) 상부의 모든 광 소자에서 색채 수차(chromatic aberration)의 효과는 빔 한정 어퍼쳐(212)에서 빔(222)을 블러(blur)시키는 것이다. PBDA(212) 상부의 중간 교차(cross-over)가 없는 광 디자인에서, 이러한 블러는 일반적으로 광 축으로부터 더 멀리 있는 고 에너지 전자 및 광 축에 더 가까이 있는 저 에너지 전자로 명백해진다. 색채 빔 블러링이 너무 크다면, 패턴 빔 한정 어펴처를 교차하는 광선은 적절히 어퍼쳐되지 않을 것이다. 도 8A는 공칭 에너지(nominal energy), 본 예에서 5000 eV에서의 전자에 대응하는 빔 한정 어퍼쳐(212)의 평면과의 광선 교점을 도시한다.
도 8B는 PBDA(212)를 통과하는 광선과 웨이퍼 표면(221)에서의 광선 교점의 맵핑의 일부를 도시한다. 웨이퍼 표면(221)에서 정방형 빔(840)은 PBDA(212)의 중심 (거의 정방형의) 개구부(860)를 통과하는 광선에 대해 도시된다. 광선(841)은 개구부(860)의 상단 우측 모서리를 통과하여, 정방형 빔(840)의 상단 우측 모서리의 웨이퍼 표면(221)에 도달한다. 마찬가지로, 광선(845)은 개구부(860)의 하단 좌측 모서리를 통과하여, 정방형 빔(840)의 하단 좌측 모서리의 웨이퍼 표면(221)에 도달한다. PBDA(212) 내의 중앙 개구부를 통과하는 광선과 정방형 빔(840)의 맵핑 은 광선(842 내지 844)과 동일한 패턴을 따르며, 이것은 웨이퍼 표면(221)에서 상대적으로 낮은 전류 밀도를 부여하는 종래의 성형 빔 시스템에서 패턴 빔이 형성되는 방법과 본질적으로 동일하다.
도 8B에 도시된 PBDA와 웨이퍼 사이의 광선의 맵핑은 본질적으로 웨이퍼 표면(221)으로의 중앙 정방형 개구부(860)의 이미지이다. 종래의 성형 빔 시스템에서, 웨이퍼 표면(221)에서의 빔 성형은 빔 성형 어퍼쳐(들)에서의 (X, Y) 좌표를 웨이퍼 표면(221)에서의 (X, Y) 좌표로 1:1 맵핑시켜 통상적으로 웨이퍼 표면(221)으로 축소되는 하나 이상의 어퍼쳐의 이미지이다. 1:1 맵핑은 PBDA(212)의 평면에서의 모든 (X, Y) 좌표에 대하여, 웨이퍼(221)의 평면에 정확히 하나의 (X, Y) 좌표가 있다는 것을 의미한다. 1:1 맵핑을 이루기 위하여, 광 시스템에서의 모든 수차가 최소화되어 이미징이 시스템의 제 1 차 광학계에 의하여 지배될 필요가 있다. 이러한 제한은, 웨이퍼 표면(221)으로 투과되는 소스 팁(201)에서의 빔 각도의 범위를 제한하는 것에 의해 수차가 최소화되어야 하기 때문에, 웨이퍼 표면(221)에서의 빔으로 포커싱될 수 있는 전류의 전체 양에 영향을 미친다.
그러나, 웨이퍼 표면에서 성형 빔을 형성하기 위해 이러한 1:1 맵핑을 이용할 필요는 없다. PBDA 평면으로부터 웨이퍼 평면으로 N:1 맵핑(여기서 N ≥2, N은 정수이고, 본 발명의 실시예에서 N=3)을 이용하는 것도 가능하다. 이러한 경우, N=3에서, 웨이퍼 표면(221)의 평면의 모든 (X, Y) 좌표로 맵핑되는 PBDA(212)의 평면의 정확히 3 개의 서로 다른 (X, Y) 좌표가 본질적으로 있다. 이러한 N:1 맵핑에서 유일한 예외는 빔의 중심인 (0, 0)이지만, 그것은 빔 엣지(edge)로부터 떨어져 있기 때문에 이것은 빔 성형에 어떠한 영향도 갖지 않는다. PBDA(212)의 조명도(illumination)는 균일하기 때문에, 3:1 맵핑으로, 1:1 맵핑으로 가능한 것보다 더 큰 전류가 웨이퍼 표면(221)에서 성형 빔으로 포커싱될 수 있다. 본 발명에서의 맵핑이 (2:1, 4:1 등과 대조적으로) 3:1인 이유는 구면 수차가 축상(on-axis)에서 지배적인 수차이기 때문이다. 비축(off-axis)에서 정방형 빔 형상을 유지하기 위하여, 더더욱 비축 수차가 최소화될 필요가 있으며, 그렇지 않으면 3:1 맵핑은 열화되어 바람직한 패턴 빔 형상에 손실이 초래될 것이다. 본 발명에서, “이동 렌즈”(도 3K, 3L 참조)는 모든 비축 빔 편향(도 23 참조)에 대해 빔과 중심이 같은 메인 렌즈의 유효한 축을 유지하기 위하여 사용된다. 빔은 항상 메인 렌즈의 유효 축 상에 있기 때문에, 모든 비축 수차(기하 및 색채)는 거의 제거되고, (비축에서 변화되지 않은) 구면 수차의 우세함은 유지된다.
개별적인 광선(각각의 광선은 하나의 전자의 궤도를 나타냄)은 그것들 사이에서 거의 어떠한 교차도 없이 겹쳐질 수 있기 때문에, N:1 맵핑은 가능하다. 임의의 잔여 상호 작용은 “공간 전하 빔 확장(space-charge beam spreading)”이라 불린다. nA 범위의 빔 전류에 대하여, 이러한 영향은 본 발명에서 이용된 빔 에너지(50 keV)에서 최소이다.
성형 빔(840)에서 전류 밀도를 증가시키기 위하여, 광선(841 내지 845)에 추가된 일련의 광선이 도 8C에 도시되고, PBDA(212)에서 외부 개구부(861 및 862)를 통과한다. 광선(846)은 중심 정방형 개구부(860)의 외부에서 개구부(861)를 통과하고 성형 빔(840)의 중심에서 웨이퍼에 도달한다. 광선(851)은 개구부(861)의 내부 및 외부 모서리 사이의 거의 중간에서 개구부(861)를 통과하고 성형 빔(840)의 중심에서 웨이퍼로 도달한다. 광선(849)은, 개구부(861)의 외부 모서리에 근접하여 통과하여, 성형 빔(840)의 상단 우측 모서리에서 도달한다. 개구부(862)를 통과한 광선(847, 850 및 848)의 맵핑은 도시된 바와 같이 유사하다. 개구부(860)에 대한 경우와 달리, 개구부(861 및 862)를 통과한 광선은 “폴드 오버(fold over)”되고, PBDA(212)의 중심으로부터 가장 멀리에서 개구부(861 및 862)를 통과하는 광선은 성형 빔(840)의 반대 편의 모서리에서 웨이퍼 표면(221)에 도달한다. PBDA(212)를 조명하는 전류 밀도가 균일하기 때문에, 성형 빔(840)에 도달하는 전체 빔 전류는 개구부(860 내지 862)의 면적에 비례한다. 광선(841 내지 845)의 포커싱은 종래의 성형 빔을 생성하기 위해 사용되는 것과 유사하기 때문에, 개구부(860)의 면적은, 개구부(860 내지 862)의 전체 면적과 비교하여, 본 발명의 사용을 통해 획득되는 웨이퍼 표면(221)에서 빔 전류 밀도의 증가를 결정한다. 도 8B 및 8C의 실시예에서, 개구부(861 및 862)의 전체 면적은 개구부(860)의 면적의 약 6 내지 7 배이고, 따라서 전류 밀도에서의 증가는 중심 개구부(860)만을 사용하여 가능한 것의 약 7 내지 8배이다.
도 9는 빔 프로파일의 계산을 위해 사용되는 웨이퍼 표면에서의 다양한 빔 위치인 A 내지 D의 다이어그램을 도시한다. 서브필드 편향기/스티그메이터(215)(도 2A 참조)만을 사용하여, 최대 편향은 X-편향(910)에서 ±1μm이고 Y-편향(911)에서 ±1μm이며, 빔(222)을 중심 위치 A(901)로부터 위치 B(902)로 이동시킨다. 메인필드 편향기(213 및 214)만을 사용하여, 나타나는 최대 편향은 X-편향(910)에서 +25 μm이고, 빔(222)을 위치 C(903)으로 이동시킨다. 메인필드 편향기(213 및 214) 및 서브필드 편향기/스티그메이터(215)을 모두 사용하는 것은 빔을 위치 D(904)로 이동시킨다. 통상적인 2μm-정방형 서브필드(912)가 도시되고, 스캔 스트라이프(scan stripe)의 2μm 폭(914)을 정의한다. 메인필드 편향기(213 및 214)가 빔을 일반적으로 X-축(910)을 따라 이동시키는 동안, 웨이퍼 스테이지는 도 27B에 도시된 S자 패턴에서 Y-축에 평행한 방향(915)으로 이동한다.
도 10A는 정방형 전자 빔의 한번의 플래시(flash)로 인한 도 9의 위치 A에서의 계산된 노광 도즈를 도시한다. 기판 표면(221)에서의 좌표 축은 X(1001) 및 Y(1002)이다. 영역(1004)은 빔 전류 밀도 ≥ 3000 A/cm2 인 영역에 대응한다. 본 도시된 예에서, 레지스트 감도는 5μC/cm2, 드웰 시간(dwell time)은 1.67 ns로 가정한다. 따라서,
기판으로의 도즈 = (전류 밀도)(드웰 시간)
= (3000 A/cm2)(1.67 ns) = 5μC/cm2 = 레지스트 감도
가 성립된다. 따라서, 레지스트는 영역(1004) 내에서 충분히 노광될 것이다. 영역(1003)에서, 노광 도즈는 5μC/cm2 미만이고, 그러므로 레지스트는 충분히 노광되지 않는다. 도 10A는 영역(1004)이 거의 40nm 정방형임을 도시한다. 가상의 소스 크기, (모든 차수(order)에 대한) 색채 수차 및 (모든 차수에 대한)구면 수차의 결합된 효과는 이러한 계산에서 충분히 고려된다. 도 13에서의 빔 프로파일 플롯(plot)은 2개의 라인(1005 및 1006) 사이의 전류, 즉 정방형 빔 형상의 측면을 가로지르는 전류 밀도에 대응한다.
도 10B는 정방형 전자 빔의 한번의 플래시(flash)로 인한 도 9에서의 위치 B에서의 계산된 노광 도즈를 도시한다. 기판 표면(221)에서의 좌표 축은 X(1011) 및 Y(1012)이다. 영역(1014)은, 도 10A에서처럼, 빔 전류 밀도 ≥ 3000 A/cm2 인 영역에 대응한다. 1.67 ns의 드웰 시간 및 5μC/cm2의 레지스트 감도에서, 레지스트는 영역(1014) 내에서 충분히 노광될 것이다. 영역(1013)에서, 노광 도즈는 5μC/cm2 미만이고, 그러므로 레지스트는 충분히 노광되지 않는다. 도 10B는 영역(1014)이, 영역(1004)과 매우 유사하게, 거의 40 nm 정방형임을 나타낸다. 영역(1004 및 1014) 사이의 유사성은 완전한 +1μm X 및 Y 서브필드 편향이 빔 형상에 최소의 영향을 갖는다는 것을 보여준다. 가상의 소스 크기, (모든 차수(order)로의) 색채 수차, (모든 차수에 대한)구면 수차 및 (모든 차수에 대한 기하 및 색채) 비축 수차의 결합된 효과는 이러한 계산에서 충분히 고려된다.
도 10C는 정방형 전자 빔의 한번의 플래시(flash)로 인한 도 9에서의 위치 C에서의 계산된 노광 도즈를 도시한다. 기판 표면(221)에서의 좌표 축은 X(1021) 및 Y(1022)이다. 영역(1024)은, 도 10A 및 도 10B에서처럼, 빔 전류 밀도 ≥ 3000 A/cm2 인 영역에 대응한다. 1.67 ns의 드웰 시간 및 5μC/cm2의 레지스트 감도에서, 레지스트는 영역(1024) 내에서 충분히 노광될 것이다. 영역(1023)에서, 노광 도즈는 5μC/cm2 미만이고, 그러므로 레지스트는 충분히 노광되지 않는다. 도 10C는 영역(1024)이, 영역(1004 및 1014)과 매우 유사하게, 거의 40 nm 정방형임을 나타낸다. 영역(1004 및 1024)의 비교는 완전한 +25μm 메인필드 편향이 빔 형상에 최소의 영향을 갖는다는 것을 보여준다. 가상의 소스 크기, (모든 차수(order)로의) 색채 수차, (모든 차수에 대한)구면 수차 및 (모든 차수에 대한 기하 및 색채) 비축 수차의 결합된 효과는 이러한 계산에서 충분히 고려된다.
도 10D는 정방형 전자 빔의 한번의 플래시(flash)로 인한 도 9에서의 위치 D에서의 계산된 노광 도즈를 도시한다. 기판 표면(221)에서의 좌표 축은 X(1031) 및 Y(1032)이다. 영역(1034)은, 도 10A 내지 10C에서처럼, 빔 전류 밀도 ≥ 3000 A/cm2 인 영역에 대응한다. 1.67 ns의 드웰 시간 및 5μC/cm2의 레지스트 감도에서, 레지스트는 영역(1034) 내에서 충분히 노광될 것이다. 영역(1033)에서, 노광 도즈는 5μC/cm2 미만이고, 그러므로 레지스트는 충분히 노광되지 않는다. 도 10D는 영역(1034)이, 영역(1004, 1014 및 1024)과 매우 유사하게, 거의 40 nm 정방형임을 나타낸다. 영역(1004 및 1034)의 비교는 완전한 +1μm X 및 Y 서브필드 편향과 결합된 +25μm 메인필드 편향은 빔 형상에 최소의 영향을 갖는다는 것을 보여준다. 가상의 소스 크기, (모든 차수(order)로의) 색채 수차, (모든 차수에 대한)구면 수 차 및 (모든 차수에 대한 기하 및 색채) 비축 수차의 결합된 효과는 이러한 계산에서 충분히 고려된다.
도 11은 빔의 중심 대 중심 간격이 40 nm 인 “L”패턴에서 인접하는 (도 10A에서와 같은) 정방형 전자 빔의 3 개의 플래시로 인한 도 9의 위치 A에서의 계산된 노광 도즈를 도시한다. 기판 표면에서의 좌표 축은 X(1101) 및 Y(1102)이다. 영역(1104)은 빔 전류 밀도 ≥ 3000 A/cm2 인 영역에 대응한다. 1.67 ns의 드웰 시간 및 5μC/cm2의 레지스트 감도에서, 레지스트는 영역(1104) 내에서 충분히 노광될 것이다. 영역(1103)에서, 노광 도즈는 5μC/cm2 미만이고, 그러므로 레지스트는 충분히 노광되지 않는다. 노광된 영역(1104)은 “L”의 꺽인 부분에서 둥글게 된 부분(1105)을 갖는 거의 “L”형태이다. “L”의 암부에서의 폭은 거의 40 nm 이고, 40nm 정방형 영역(1004)에 대응한다. 도 11은 복잡한 패턴이 기판 표면(221)에서 정방형 빔을 인접시키는 것에 의해 노광될 수 있다는 것을 보여준다. 가상의 소스 크기, (모든 차수(order)로의) 색채 수차 및 (모든 차수에 대한)구면 수차의 결합된 효과는 이러한 계산에서 충분히 고려된다.
도 12는 정방형 전자 빔의 겹쳐지는 2 개의 플래시 및 분리된 하나의 플래시(모든 플래시는 도 10A에서와 같음)로 인한 도 9의 위치 A에서의 계산된 노광 도즈를 도시한다. 기판 표면에서의 좌표 축은 X(1201) 및 Y(1202)이다. 영역(1204 및 1205)은 빔 전류 밀도 ≥ 3000 A/cm2 인 영역에 대응한다. 1.67 ns의 드웰 시간 및 5μC/cm2의 레지스트 감도에서, 레지스트는 영역(1204 및 1205) 내에서 충분히 노광될 것이다. 영역(1203)에서, 노광 도즈는 5μC/cm2 미만이고, 그러므로 레지스트는 충분히 노광되지 않는다. 노광된 영역(1204)은 노광된 영역(1004)에서와 동일하다. 영역(1205)은 중심 간 간격이 30nm이고, 10nm이 겹쳐진, 도 10A의 40 nm 정방형 빔의 2개의 플래시에 대응한다. 이러한 겹쳐진 부분으로 인해 영역(1205)의 중심 영역은 과다 노광되고, 패턴에서 2 내지 3nm 볼록한 부분(1206)이 생긴다. 도 12는 정방형 빔의 크기(이 경우에서는 40nm)의 정수의 배수에 대응하지 않는 패턴이 정방형 빔이 겹쳐짐에 의해 기판 표면(221)에 노광될 수 있다는 것을 나타낸다. 가상의 소스 크기, (모든 차수(order)로의) 색채 수차 및 (모든 차수에 대한) 구면 수차의 결합된 효과는 이러한 계산에서 충분히 고려된다.
도 13은 (도 10A에서와 같은) X-축(1301)을 따라 하나의 정방형 빔 및 하나의 가우시안 빔에 대한, 도 9의 위치 A에서 계산된 빔 전류 밀도의 그래프(1302)를 도시한다. 가우시안 빔(1304)의 높이는, 도 10A에서 라인(1005 및 1006) 사이에서 Y-축을 따라 전류 밀도를 평균하여 계산되는 정방형 빔 전류 분포 곡선(1303)과의 교점(1305)에 의하여 나타나는 빔 전류 밀도 3000 A/cm2(도즈=5μC/cm2이며, 드웰 시간은 1.67로 가정함)에서 ~40nm FWHM을 제공하도록 조정된다. 정방형 빔 전류 밀도는 3000 A/cm2(빔 중심으로부터 ±20nm인 교점(1205) 부분)로부터 빔 중심으로부터 단지 5nm 더 떨어진 부분(±25nm 부분)의 1000 A/cm2 미만으로 떨어진다.
도 14는, X-축(1401)을 따라 40nm의 중심 간 간격을 갖도록 인접하고, 3 개의 인접한 가우시안 빔(모두 3000 A/cm2 에서의 40nm FWHM 및 40nm의 중심 간 간격을 가짐)으로부터 생기는 전류 밀도와 비교되는, 3 개의 정방형 빔에 대한 도 9에서의 위치 A에서 계산된 빔 전류 밀도의 그래프(1402)를 도시한다. 가우시안 빔은 도 13에서처럼 조정되어 빔 전류 밀도 3000 A/cm2(도즈=5μC/cm2이며, 드웰 시간은 1.67로 가정함)에서 교점을 제공한다. 3 개의 정방형 빔 곡선(1403)의 테일(tail)은 3 개의 가우시안 빔 곡선(1404)의 테일보다 -20nm 및 +100nm(= 120nm 폭 = 3×40nm, 이때 40nm = 각각의 정방형 빔의 폭)에서의 빔 엣지로부터 더 빨리 하강한다. 도 14는 정방형 빔의 엣지에서 전류 밀도의 가파른 감소는 이러한 빔이 인접되어, 하나의 빔의 엣지를 따라 발견되는, 본질적으로 동일한 최대 전류 밀도로, 더 큰 크기의 모양을 생성할 수 있다는 것을 나타낸다. 이것은 빔 플래시를 결합하여, 개별적인 빔 플래시의 전류 테일로 인한 최소의 돌출부(bulging)를 갖는 (도 11의 패턴(1104)와 같은) 더 큰 패턴을 생성하는 것이 가능한 이유를 설명한다.
도 15는, X-축(1501)을 따라 40nm의 중심 간 간격을 갖도록 인접하고, 3 개의 정방형 빔에 대한 도 9의 위치 A에서 계산된 빔 전류 밀도의 그래프(1502)를 도시하며, 개별적인 정방형 빔 프로파일(1503, 1504 및 1505) 및 도 14에서 결합된 3 개의 빔 프로파일(1403)을 도시한다. 곡선(1403)의 상대적으로 평평한 윗 부분(6045 A/cm2 내지 6667 A/cm2의 면적)은 각각의 정방형 빔 프로파일(1503, 1504 및 1505)의 가파른 측면이 (40nm 중심 간 간격에 의해 오프셋될 때) ±5% 보다 작 은 네트(net) 전류 밀도 변동을 어떻게 제공하는지를 보여준다.
도 16은 3 개의 결합된 가우시안 빔(1404)(도 14) 및 3 개의 분리된 가우시안 빔(1603, 1604 및 1605)에 대한 계산된 빔 전류 밀도(1602)를 도시하고, 빔은 X-축(1601)을 따라 중심 사이에 40nm 간격을 두고 있으며 각각의 분리된 가우시안 빔은 노광 도즈 3000 A/cm2(드웰 시간은 1.67ns이고 레지스트 감도는 5μC/cm2라고 가정)에서 40 nm FWHM을 갖는다. -20nm 로부터 +100nm로의 노광 영역의 바람직한 엣지 외부의 긴 테일은 명백히 관찰될 수 있다. 이러한 긴 테일은 요구되는 프로세스 관용도를 감소시켜 바람직한 패턴 임계 치수(critical dimension, CD)을 유지한다.
도 16에서의 곡선(1404)와 도 15에서의 곡선(1403)의 비교를 통해, 도 15A 내지 15D에서의 3 개의 인접한 정방형 빔의 결합이, 노광된 영역에 대한 보다 더 균일한 전류 밀도 및 더 큰 프로세스 관용도의 원인이 되는 훨씬 더 날카로운 엣지(전류 밀도의 급속한 강하)를 일반적으로 설명함을 볼 수 있다.
도 17A는 최적화된 정방형 빔 프로파일을 생성하기 위하여 광학계를 설정하는데 사용가능한 빔 스캐닝 방법의 도면을 도시한다. 위치(1701)에서 바람직한 정방형 빔 프로파일은 시스템의 웨이퍼 스테이지 또는 시스템에 설치된 특수 셋업 웨이퍼 상에 포함될 수 있는 특수 마스크 구조의 중심 표면(1723) 상에 있다. 표면(1713)은, 중심 표면(1723)으로부터 생기는 이미징 신호와 비교하여, 빔(222)에 의해 조명될 때, 더 큰 이미징 신호를 제공하도록 구성된다. 이러한 이미징 콘트라 스트는 표면(1713)을 이미징 시스템에 연결하고 표면(1723)을 이미징 시스템에 연결하지 않는 것에 의해 달성될 수 있다. 빔(222)이 위치(1701)에 있는 동안, 빔 전류는 이미징 시스템에 연결되지 않은 표면(1723)으로 흐른다. 빔(222)이 엣지(1710)를 가로지르는 방향(1702)으로 스캔되기 때문에, 빔 전류의 증가하는 부분이 이미징 시스템에 연결된 표면(1713)에 충돌한다. 하전(charging) 효과를 피하기 위하여, 표면(1713 및 1723)으로 흐르는 전류는 최종적으로 그라운드(ground)로 흘러야 한다. 위치(1703)에서, 빔은 콜렉터 영역(1713)에서 절반이기 때문에, 빔 전류의 절반이 수집될 것이다. 빔(222)은 방향(1702)으로 스캔되기 때문에, 빔 프로파일의 정방형 엣지는 엣지(1710)에 평행하고, 따라서 신호는 스캔 방향(1702)에 평행한 빔의 크기 D(1720)와 동일한 거리에 걸쳐 0% 내지 100%가 된다.
빔(222)이 엣지(1711)를 가로지르는 방향(1704)으로 스캔되기 때문에, 빔 전류의 증가하는 부분이 이미징 시스템에 연결된 표면(1713)에 충돌하고, 그러므로 수집되어 이미지 신호를 제공한다. 위치(1705)에서, 빔은 콜렉터 영역(1713)에서 절반이기 때문에, 빔 전류의 절반이 수집될 것이다. 빔(222)은 방향(1704)으로 스캔되기 때문에, 빔 프로파일의 정방형 엣지는 엣지(1711)와 45°각도에 있고, 따라서 신호는 스캔 방향(1704)에 평행한 빔의 대각선 크기 √2D(1722)와 동일한 거리에 걸쳐 0% 내지 100%가 된다.
빔(222)은 방향(1706)으로 스캔되기 때문에, 빔 프로파일이 스캔 방향(1706)과 평행한 크기 D(1721)를 갖는 정방형이라면, 결과는 스캔 방향(1702)에 대해 상술한 것과 유사할 것이다. 위치(1707)에서, 빔은 영역(1713)의 엣지(1712)에서 절 반이기 때문에, 빔 전류의 절반이 수집될 것이다.
이미지 콘트라스트를 생성하는 대안의 방법은 다양한 2차 전자 방출 계수를 갖는 물질로부터 영역(1713 및 1723)을 제조하여, 도 2A의 검출기 광학계의 사용을 가능하게 하는 것이다. 전자 빔 시스템에서의 이미징 방법은 당업자에게 공지되어 있다.
도 17B는 도 17A의 스캔 방향(1702 및 1704)에 대한 계산된 라인 스캔을 도시하고, 최적화된 정방형 빔을 설정하는 가능한 방법을 도시한다. 도시된 축(1740)은 스캔 방향(1702, 1704 또는 1706) 중 임의의 방향에 따른 위치에 대응한다. 강도 축(1741)은 어떠한 이미징 신호도 검출되지 않는 0.0으로부터 최대 이미징 신호가 검출되는 1.0까지 상대적이다. 곡선(1742)은 스캔 방향(1702 또는 1706) 중 하나에 대응한다. 곡선(1742)에서 0.0으로부터 1.0으로 강도의 상승은 -27.5 nm로부터 +27.5 nm까지에 해당한다. 45°곡선(1743)은 스캔 방향(1704)에 대응한다. 곡선(1743)에서 0.0으로부터 1.0으로의 상승은 -42.5nm으로부터 +42.5nm까지에 해당하고, 곡선(1742)보다 훨씬 더 느리게 상승한다. 2개의 곡선(1742 및 1743)에서의 강도 상승의 폭의 차이는 광학 경통을 조정하여 가능한 가장 정방형에 가까운 빔 프로파일을 생성하기 위하여 사용될 수 있다. 중심 점(1745)은 위치(1703, 1705 또는 1707)에서의 빔에 대응한다.
비교를 위하여, 강도 곡선(1744)은 40 nm FWHM을 갖는 하나의 가우시안 빔에 대해 플로팅되었다. 0nm 에서의 빔 중심으로부터 멀어지면, 이러한 곡선은 곡선(1743)에 겹쳐진다. 0nm 에서의 빔 중심으로 근접하면, 이러한 곡선은 곡선(1742 또는 1743) 중 하나보다 더욱 느린 상승을 갖는다. 주요한 차이는 가우시안 곡선은 검출기 표면(1713)이 이미징을 위해 사용될 때 가우시안 빔과 정방형 빔을 구별하여, 임의의 스캔 방향(1702, 1704 또는 1706)에 대해서도 동일한 형상을 가질 것이라는 점이다.
도 18은, 도 8A의 패턴 빔 한정 어퍼쳐(212)를 사용하여, 30 nm 정방형 전자 빔의 한번의 플래시로 인한 도 9의 위치 A에서의 계산된 노광 도즈를 도시한다. 기판 표면(221)에서의 좌표 축은 X(1801) 및 Y(1802)이다. 기판에 다양한 형태를 리소그래피 패터닝할 때, 패턴 빔 한정 어퍼쳐(212)의 기계적 교환을 필요로 하지 않고 성형 빔 크기의 범위를 생성할 수 있는 것이 유용하다. 경통의 상단 부분에서 전자 광학계의 조정에 의해(일반적으로 제 1 소스 렌즈 전극(203) 및 빔 제한 어퍼쳐(204)에서의 공통 전압을 변화시키는 것에 의해), 빔 트리밍 어퍼쳐(beam-trimming aperture, BTA)(276)를 조명하는 원형 빔(222)의 직경은 조정되어 웨이퍼 표면(221)에서 성형 빔 크기를 다양하게 할 수 있다(도 3D 참조). 도 18에 도시된 실시예에서, 상단 경통 광학계는 (도 10A에 도시된 40 nm 정방형 빔을 대신하여) 30 nm 정방형 빔(1804)을 생성하기 위해 조정되었다. 이러한 구성에서 전체 광학계는 (다른 BTA(276) 및 PBDA(212)를 요구하는) 가장 높은 전류 밀도 빔을 제공하도록 충분히 최적화될 수 없기 때문에, 노광 빔 전류 밀도는 2000 A/cm2로 감소되어, 5 μC/cm2 레지스트 감도에 대한 2.50 ns 드웰 시간을 요구한다. 전류 밀도 ≥ 2000 A/cm2 에 대응하는 영역(1804)은 약 30 nm 정방형이다. 영역(1803)에서 노광 도즈는 5 μC/cm2 미만이고, 따라서 레지스트는 충분히 노광되지 않을 것이다. 가상 소스 크기, (모든 차수(order)로의) 색채 수차 및 (모든 차수에 대한)구면 수차의 결합된 효과는 이러한 계산에서 충분히 고려된다. 도 18은 40 nm 정방형 빔의 생성을 위해 최적화되었던, 도 2A의 광 시스템이 또한 30 nm 정방형 빔을 생성하기 위해서도 사용될 수 있다는 것을 보여준다. 도 18에 도시된 성능(performance)은 도 10A 내지 도 15에 도시된 최적화된 40 nm의 경우보다 단지 약간 더 긴 드웰 시간을 가진 30 nm 해상도(resolution) 이하의 모양(feature)의 패터닝을 가능하게 하기에 적합하다.
도 19는, 도 8A의 패턴 빔 한정 어퍼쳐(212)를 사용하여, ~ 80 nm 정방형 전자 빔의 한번의 플래시로 인한 도 9의 위치 A에서의 계산된 노광 도즈를 도시한다. 기판 표면(221)에서의 좌표 축은 X(1901) 및 Y(1902)이다. 이러한 실시예에서, 경통 상단 부에서의 전자 광학계는 도 18에 도시된 것으로부터 반대 방향으로 조정되어 도 10A에서보다 약 2 배의 크기(~80 nm)의 정방형 빔(1904)를 제공한다. 이러한 구성에서 전체 광학계는 (다른 BTA(276) 및 PBDA(212)를 요구하는) 가장 높은 전류 밀도 빔을 제공하도록 충분히 최적화될 수 없기 때문에, 노광 빔 전류 밀도는 2500 A/cm2로 감소되어, 5 μC/cm2 레지스트 감도에 대한 2.00 ns 드웰 시간을 요구한다. 전류 밀도 ≥ 2500 A/cm2 에 대응하는 영역(1904)은 ~80 nm 정방형이다. 영역(1903)에서 노광 도즈는 5 μC/cm2 미만이고, 따라서 레지스트는 충분히 노광되지 않을 것 이다. 가상 소스 크기, (모든 차수(order)로의) 색채 수차 및 (모든 차수에 대한)구면 수차의 결합된 효과는 이러한 계산에서 충분히 고려된다. 도 19는 40 nm 정방형 빔의 생성을 위해 최적화되었던, 도 2A의 광 시스템이 또한 ~80 nm 정방형 빔을 생성하기 위해 사용될 수 있다는 것을 보여준다. 도 19에 도시된 성능(performance)은 도 10A 내지 도 15에 도시된 최적화된 40 nm의 경우보다 단지 약간 더 긴 드웰 시간을 갖는 80 nm 해상도에서 모양의 패터닝을 가능하게 하기에 적합하다.
도 20은, 도 8A의 패턴 빔 한정 어퍼쳐(212)를 사용하여, 120 nm 정방형 전자 빔의 한번의 플래시로 인한 도 9의 위치 A에서의 계산된 노광 도즈를 도시한다. 기판 표면(221)에서의 좌표 축은 X(2001) 및 Y(2002)이다. 이러한 실시예에서, 경통 상단 부에서의 전자 광학계는 도 19에 도시된 것과 동일한 방향에서 더 멀리 조정되어 도 10A에서보다 3 배의 크기(120 nm)의 정방형 빔(2004)를 제공한다. 이러한 구성에서 전체 광학계는 (다른 BTA(276) 및 PBDA(212)를 요구하는) 가장 높은 전류 밀도 빔을 제공하도록 충분히 최적화될 수 없기 때문에, 노광 빔 전류 밀도는 2000 A/cm2로 감소되어, 5 μC/cm2 레지스트 감도에 대한 2.50 ns 드웰 시간을 요구한다. 전류 밀도 ≥ 2000 A/cm2 에 대응하는 영역(2004)은 120 nm 정방형이다. 영역(2003)에서 노광 도즈는 5 μC/cm2 미만이고, 따라서 레지스트는 충분히 노광되지 않을 것이다. 가상 소스 크기, (모든 차수(order)로의) 색채 수차 및 (모든 차수에 대한)구면 수차의 결합된 효과는 이러한 계산에서 충분히 고려된다. 도 20은 40 nm 정방형 빔의 생성을 위해 최적화되었던, 도 2A의 광 시스템이 또한 120 nm 정방형 빔을 생성하기 위해 사용될 수 있다는 것을 보여준다. 도 20에 도시된 성능(performance)은 도 10A 내지 도 15에 도시된 최적화된 40 nm의 경우보다 단지 약간 더 긴 드웰 시간을 갖는 120 nm 해상도의 모양의 패터닝을 가능하게 하기에 적합하다. 120 nm 정방형 빔을 이용하여, (125 nm 의 중심간 간격에서) 256 플래시로 2 μm 정방형 서브필드를 완벽히 묘화하는 것이 가능하고, 이것은 본딩 패드(bonding pad)와 같은 묘화될 넓은 영역을 채우는 것이 필요하다.
도 21A는 정방형 빔 크기(2103)에 대비하여 도 2A의 경통에서 소스 렌즈 포커싱(focusing) 전압(2105)(왼쪽 축(2101)) 및 메인 렌즈 포커싱 전압(2104)(오른쪽 축(2102))의 그래프를 도시한다. 소스 렌즈 전압(2105)은 제 1 렌즈 전극(203) 및 빔 제한 어퍼쳐(240)에 인가된다. 메인 렌즈 전압(2104)은 포커스-2 지지 전극(240)에 인가되고, 또한 8극자(octupole) 전극(241 내지 248)에 대한 공통 모드 전압이다. 도 21A는, 30 nm로부터 12 nm까지의 다양한 바람직한 정방형 빔 크기(2103)에 대하여 소스 렌즈 전압(2105) 및 메인 렌즈 전압(2104)에 대한 다양한 값을 도시한다. 곡선(2105)은 소스 팁(201)로부터 들어오는 전자의 에너지보다 훨씬 더 낮고, 그 전자는 일반적으로 2800 eV를 초과하는 에너지이고, 이것은 소스 렌즈가 감속 정전기 렌즈(decelerating electrostatic lens)임을 보여준다. 곡선(2104)은 5000 eV에 있는 메인 렌즈에 들어오는 전자의 에너지의 이하부터 이상까지를 범위로 한다. 메인 포커싱 효과는 4906.5 V로부터 5217.2 V까지를 범위로 하는 포커스-2 어셈블리(217) 및 50000 eV에서 웨이퍼(221) 상의 묘화를 위해 49986 V에 있는 필드-프리 튜브(218) 사이에 발생한다.
도 21B는 정방형 빔 크기(2113)에 대한 소스 팁(201)에서의 반각(half-angle)(2114)(왼쪽 축(2111)) 및 웨이퍼 표면(221)에서의 빔 전류(2115)(오른쪽 축(2112))의 그래프를 도시한다. 소스 팁(201)에서의 반각(2114)은 웨이퍼 표면(221)에서 빔 전류(2115)와 아래와 같은 관계를 갖는다.
Is = 패턴 빔 한정 어퍼쳐(212)를 조명하기 위하여 사용된 방출 입체 각(solid angle)에 대한 소스 각도 세기(source angular intensity)(일반적으로 이러한 소스 각도 세기는 100 μA/sr로부터 >500 μA/sr의 범위를 가짐). 아래의 테이블에서 Is = 500 μA/sr으로 가정함. 각도 세기(angular intensity)는 광 축의 다소의 반각 이내에서 일반적으로 일정함.
α = 소스 팁(201)에서 빔(222)의 반각(half-angle) (단위는 도(degree))
Ibeam = 웨이퍼 표면(221)에서 빔 전류
= Is [π(απ/180˚)2]
Figure 112008074344217-PCT00006
도 1의 블록(106)에서의 광학계 디자인은 가능한 가장 높은 전류 밀도를 갖는 40 nm 정방형 빔을 생성하기 위하여 최적화되었다. 이것은 도 21A 내지 21D에서 도시된 테이블의 다른 빔 크기(30 nm, 80 nm 및 120 nm)에 대해 최적화되지 않았음을 의미한다. 이것이 40 nm 보다 크고 작은 빔에 대한, 테이블에 기재된 전류 밀도의 강하에 대한 이유이다(도 21C에서 곡선(2125) 참조). 40 nm 보다 크거나 작은 빔에 대해, 도 1의 블록(106)에서 광학계 디자인을 최적화하는 것이 가능한다. 보다 상세하게, 미래의 디바이스 세대(generation)로의 확장 가능성을 위해, 최적화는 30 nm 또는 더욱 작은 값에 대해 이루어질 수 있다. 이러한 경우, 더 큰 빔에 대한 성능(performance)은 감소될 것이지만, 아마도 많은 양은 아닐 것이다.
도 21C는 정방형 빔 크기(2123)에 대한 플래시 시간(2124)(왼쪽 축(2121)) 및 웨이퍼 표면(221)에서 전류 밀도(2125)(오른쪽 축(2122))의 그래프이다(5μC/cm2 레지스트 감도를 가정함). 플래시 시간 및 전류 밀도는 아래와 같은 역의 관계를 갖는다.
(전류 밀도) = (5μC/cm2)/(플래시 시간)
따라서 전류 밀도(2125)가 증가함에 따라, 플래시 시간(2124)은 역으로 감소한다. 또한, 광학계 디자인은 40 nm 빔에 대해 최적화되었기 때문에, 40 nm보다 작거나 큰, 모든 다른 빔 크기에서의 성능(performance)는 플래시 시간(2124)에서만큼 좋지 않다(가장 짧은 플래시 시간이 최선임). 40 nm로부터 30nm로의 감소는 가장 현저하고, 30 nm에 대해 최적화된 광학계 디자인이 40 nm 및 그 이상에서 (비록 도 21C에 도시된 것처럼은 아닐지라도) 상당히 잘 수행할 수 있다는 것을 보여준다. 예상되는 것처럼, 빔 크기가 최적화된 크기에 가까워질수록, 플래시 시간에서의 성능(performance)이 더 좋아진다.
도 21D는 정방형 빔 크기(2133)에 대한 웨이퍼 표면(221)에서 가상 소스의 배율(2134)(왼쪽 축(2131))의 그래프를 도시한다. 배율(2134)은 얼마나 많은 정방형 빔의 모서리의 라운딩(rounding)이 가상 소스의 이미지로 인해 발생하는지를 결정하고, 배율(2134)이 낮을수록, 모서리는 날카로워진다. 쇼트키(Schottky) 전자 소스에 대해서, 가상 소스 반경은 10 nm이고, 0.17X의 배율은 가상 소스로 인한 웨이퍼 표면(221)에서 정방형 빔 모서리의 (0.17)(10 nm) = 1.7 nm 반경에 대응한다. 색채 및 기하 수차는 추가적인 라운딩을 추가한다.
도 22는 서브필드-서브필드 기초에서 노광 도즈를 다양하게 하여 근접 효과 보정을 수행하기 위하여 사용될 수 있는 빔 블랭킹 방법의 도면을 도시한다. 단순 화를 위하여, 도 22에서 상단 블랭커는, 빔(222)의 각각의 측면에 하나씩, 2개의 평면 전극(2202 및 2203)으로 도시된다. 마찬가지로, 하단 블랭커는 빔(222)의 각각의 측면에 하나씩, 2개의 평면 전극(2204 및 2205)으로 도시된다. 소스 팁(201)로부터 방출된 전자는, BDA 마운트(211)에 의해 지지되는 빔 한정 어퍼쳐(BDA)(212)를 조명하는 대체로 평행한 빔(222)으로 소스 렌즈(2201)에 의해 포커싱된다.
도면 (a)는 빔 한정 어퍼쳐(212)를 통하여 광학 경통의 하단부로 통과하는 블랭킹되지 않은 빔(222)을 도시하고, 그곳에서 그 빔은 메인 렌즈 어셈블리에 의해 웨이퍼 표면(221)으로 포커싱된다. 이러한 경우, 블랭커 플레이트(2202, 2203, 2204 및 2205)는 동일한 전압(일반적으로 5000 V)에 있고, 따라서 횡단하는 전계를 유도하지 않는다. 어떠한 횡단하는 전계로도, 빔(222)의 어떠한 편향도 블랭커에서 발생하지 않는다.
도면 (b)는 블랭킹된 빔을 도시한다. 전극(2202)에서의 전압은 +1.7 V로 변화되고 전극(2203)에서의 전압은 -1.7 V로 변화되며, 이것은, 빔(222)이 상단 블랭커를 통과할 때 빔(222)을 위로 편향시키는 횡단하는 전계(2242)을 발생시킨다. 마찬가지로, 전극(2204)에서의 전압은 -1.54 V로 변화되고 전극(2205)에서의 전압은 +1.54 V로 변화되어, 상단 블랭커에서의 것과 반대 방향으로 횡단하는 전계(2241)을 생성하고, 횡단하는 전계(2241)는 빔이 하단 블랭커를 통과할 때 아래로 빔을 편향시킨다. 2개의 편향의 최종 결과는 빔(222)은 비축으로 패턴 빔 한정 어퍼쳐(PBDA)(212)의 평면에 도달하고 개구부를 통과하지 않는다는 것이다. 상단 및 하 단 블랭커에서 전압의 적절한 조정으로, 가상 소스 위치는 축 상에 남으며, 공액(conjugate) 블랭킹을 제공한다.
도면 (c)는 근접 효과 보정을 위한 방법의 일부로서 웨이퍼 표면(221)에서 노광 도스를 제어하는 가능한 방법을 나타내는 타이밍 도면을 도시한다. 빔(222)의 중심은 PBDA(212)에서 3 개의 가능한 위치, 즉 +d, 0(블랭크되지 않음) 및 -d를 갖는다. 빔은, 그것이 PBDA(212)를 가로질러 스위핑(sweeping)할 때, -d 와 +d 사이의 중간 위치를 동적으로 갖는다. 5 개의 간격(2221 내지 2225)은 시간 축(2245)를 따라 도시된 것처럼 5T 전체에 대하여, 각각의 기간 T의 길이로 도시된다. PBDA(212)에서의 변위는 축(2210) 상에 플로팅된다. 근접 효과 보정(proximity effect correction, PEC)의 요구에 따라, 간격(2222, 2224 및 2225)에 도시된 것처럼, 서로 다른 서브필드에 대한 도즈를 다양화할 필요가 있을 수 있다.
(1) 제 1 간격(2221)에서, 빔은 도면 (b)에 도시된 것처럼 거리 +d(2231)에서 유지되는 것에 의해 블랭킹된다.
(2) 제 2 간격(2222)은 빔(222)이 PBDA(212)를 가로질러 선형으로 경사(2232)진 높은 도즈 블랭킹 신호를 도시한다. 경사(2232)는 전체 간격 기간 T를 차지하기 때문에, 그것은 가능한 최대 노광 도즈를 나타내며, 필요로 되는 근접 효과 보정도 본질적으로 가지지 않는 밀도가 낮은 패턴 영역에서의 묘화에 대응한다.
(3) 제 3 간격(2223)은 간격(2221)과의 택일적인 블랭킹 위치를 도시한다. 간격(2223)에서, 빔은 거리 -d(2233)에 유지되어, 도면 (b)의 (광 축 주위의) 미러 이미지에 대응한다.
(4) 제 4 간격(2224)은 매우 낮은 도즈 블랭킹 신호를 도시하고, 여기서 빔(222)은 -d 로부터 +d 로 빔 한정 어퍼쳐(212)를 가로질러 빠르게 경사(2234)지고, 간격 기간 T의 나머지 동안 (블랭킹된) +d 위치(2235)에서 유지된다. 이것은 큰 근접 효과 보정을 갖는 밀도가 높은 패턴 영역에서의 묘화에 대응한다.
(5) 마지막 간격(2225)은 간격(2222 및 2224) 사이의 중간 상태를 도시하고, 여기서 빔은 +d로부터 -d로의 대부분의 간격(2225)에 대하여 경사(2236)지며, 간격 기간 T의 나머지에 대해 -d 위치(2237)에서 유지된다. 이것은 간격(2224)에서보다 더 낮지만, 간격(2222)에서보다 더 높은 패턴 밀도를 갖는 영역에서의 묘화에 대응한다.
펄스 길이에서 서브-ns 정밀성을 갖는 ns 블랭킹 펄스를 생성하는 것은 매우 어려울 수 있기 때문에, 이러한 블랭킹 방법의 가능한 장점은 전자적으로 구현하기 용이하다는데 있다. 이러한 제안된 방법에서, 블랭커 플레이트(2202, 2203, 2204 및 2205)에 인가된 전압에서 짧은 등락의 요구가 없기 때문에, 요구되는 더 낮은 블랭킹 대역으로 단지 경사도(ramp rate)만이 제어될 필요가 있다.
대안적인 블랭킹 방법이 빔이 제 1 블랭크 위치(예를 들어, +d)로부터 광 축으로 빠르게 편향되는 (그에 의해 빔(222)을 블랭킹을 하지 않는) 더 종래의 방법을 이용할 것이다. 빔이 요구된 노광 시간동안 PBDA(212)의 중심에 위치한 후에, 빔은 제 2 블랭크 위치(예를 들어, -d)로 빠르게 편향될 것이다. 현재 슬루율(slew rate)은 픽셀 노광 시간에서 가능한 오류를 나타내기 때문에, 이러한 방법의 단점은 더 높은 대역 블랭커에 대한 필요이다. 제 1 블랭크 위치로부터 출발하여 제 2 블랭크 위치에서 종료하는 것의 장점은 PBDA(212)의 모든 점이 동일한 전체 빔 드웰 시간을 갖고, 그에 의해 성형 빔 전역에서 도즈를 균등하게 한다는 것이다. 노광될 다음 픽셀에 대해, 제 1 블랭크 위치는 -d 일 것이고, 제 2 블랭크 위치는 +d 일 것이다. 도 22에 도시된 대로 PBDA(212)를 가로질러 앞뒤로 토글(toggle)하는 빔을 이용하여, 연속적인 픽셀은 교대하는 블랭킹 위치를 이용하여 노광될 것이다.
도 23은 포커스-1 및 포커스-2 8극자 전압에 대해 계산된 설정을 설명하는 메인 렌즈의 단면 확대 측면도이다. 빔(222)은 서브필드 편향기/스티그메이터(215)를 나온 후 메인 렌즈로 들어간다. 이러한 점(point)에서의 빔(222)은 ±20 μm까지 비축으로 이미 편향되어 있을 수 있다. 비축 기하 수차(코마(coma), 비점수차(astigmatism), 필드의 곡률(curvature), 왜곡) 및 비축 색채 수차(배율의 변화(variation))를 피하기 위하여, 포커스-1 어셈블리(216) 및 포커스-2 어셈블리(217)에 의해 생성된 정전계(electrostatic field)를 비축으로 ±20 μm만큼 이동시킬 필요가 있다. 종래의 기술에서, 다양한 복잡한 방법이 "이동 대물 렌즈(moving objective lens)" 또는 "가변 축 렌즈(variable axis lens)"를 달성하기 위하여 이용되고, 축상(on-axis)의 정전기 및/또는 자기(magnetic) 렌즈 필드의 더 높은 차수의 도함수(derivative)를 이용하여, 유효한(effective) 렌즈 축을 오프셋(offset)하여 빔 편향을 매칭하기 위하여 축상 렌즈 필드로의 쌍극자, 4극자, 6극자, 8극자 및 더 높은 차수의 필드의 적용을 제어한다. 성형 빔의 더 큰 비축(off-axis) 편향이 요구되면, 이러한 추가적인 광 소자의 일부 또는 전부를 이용하는 더 복잡한 이동 렌즈를 통합할 필요가 있을 수 있다. 본 발명에서, 훨씬 더 간단한 방법이 제시되고, 순수 쌍극자 필드가 포커스-1(216) 및 포커스-2(217) 필드에 추가된다.
포커스-1 어셈블리(216)는 지지 전극(230) 및 8극자 전극(231 내지 238)을 포함한다(도 23의 횡단면도에서, 단지 전극(232 및 237)만이 관찰될 수 있음). 도 3K 내지 3L의 검토에서, 정전계(electrostatic field)을 오프셋(offset)하여 빔 편향을 매칭하기 위해 이용된 다양한 전압이 검토된다. 도 23은 결과적인 정전기 등전위 선을 도시한다. 라인(2301)은 서브필드 편향기/스티그메이터(215)와 포커스-1 어셈블리(216) 사이의 영역으로 불룩한 반면, 라인(2310)은 포커스-1 어셈블리(216)와 포커스-2 어셈블리(217) 사이의 영역으로 불룩 솟아있다. 라인(2301 및 2310)의 형태는 서브필드 편향기/스티그메이터(215), 지지 전극(230) 및 8개의 포커스-1 8극자 전극(231 내지 238)에서의 전압에 의해 결정된다. 포커스-2 어셈블리(217)에서의 전압은 라인(2301)에서 보다 적은 효과를 가지지만 라인(2310)에서 중요한 효과를 갖는다. 8개의 8극자 전극(231 내지 238)의 내부 직경(inner diameter, ID)은 지지 전극(230)의 내부 직경보다 작아서 전극(231 내지 238)은 등 전위 선(2301 및 2310)의 위치 및 형태에서 우세한 효과를 가질 것이다. 작은(3V 미만) 정전기 쌍극자 구성요소를 8극자 전극(231 내지 238)에 추가함에 의해, 그것들의 5000 V 공통 모드 전압의 최고점에서(도 3K의 설명의 테이블 참조), 라인(2301 및 2310)을 ±20 μm만큼 이동시켜 메인필드 편향기(213 및 214)로부터 발생하는 빔 편향을 매칭시키는 것이 가능하다. 방사상으로 비축인 빔 위치는 이론적으로 위치(2305)에서 결정되고, 전극(231 내지 238)에서의 전압은, 빔(222)이 포커스-1 어셈블리(216)을 통과할 때, 빔(222)의 편향을 제거하기 위하여 조정된다. 빔 편향의 부족은 라인(2301)이, 빔(222)을 오프셋(offset)에 매칭하여, 적절하게 오프셋되는 것의 표시로서 고려된다.
빔(222)은 포커스-1 어셈블리(216)를 나온 후 포커스-2 어셈블리(217)로 들어간다. 이러한 점(point)에서의 빔(222)은 (상술한 설정 절차가 적합하게 이루어졌다면) 포커스-1 어셈블리(216)에 의해 비편향(undeflect)되어야 하고, 따라서 빔(222)은 ±20μm까지 비축(off-axis)으로 편향될 수 있다. 포커스-2 어셈블리(217)는 지지 전극(240) 및 8개의 포커스-2 8극자 전극(241 내지 248)을 포함한다(도 23의 횡단면도에서, 오직 전극(242 및 247)만이 관찰될 수 있음). 도 3L에서, 정전계를 오프셋하여 빔 편향을 매칭하기 위하여 이용된 다양한 전압이 검토된다. 도 23은 결과적인 정전기 등전위(equipotential) 선을 도시한다. 라인(2303 및 2310)은 포커스-1 어셈블리(216) 및 포커스-2 어셈블리(217) 사이의 영역으로 불룩하다. 라인(2303 및 2310)의 형태는 8개의 포커스-1 8극자 전극(231 내지 238), 지지 전극(240) 및 8개의 포커스-2 8극자 전극(241 내지 248)에서의 전압에 의해 결 정된다. 필드-프리 튜브(218)에서의 전압은 라인(2303) 및 라인(2302)의 간격에서 보다 적은 효과를 갖는다. 8개의 포커스-2 8극자 전극(241 내지 248)의 내부 직경(ID)은 지지 전극(240)의 내부 직경(ID)보다 훨씬 작으므로, 전극(241 내지 248)은 라인(2303 및 2310)의 위치 및 형태에서 우세한 효과를 가질 것이다. 전극(241 내지 238 )에 정전기 쌍극자 구성요소(~100V)를 추가함에 의해, 그것들의 ~4900 내지 5200 V 공통 모드 전압의 최고점에서(도 3L 설명의 테이블 참조), 라인(2303 및 2310)을 비축으로 이동시켜 메인필드 편향기(213 및 214)로부터 발생하는 빔 편향을 매칭시키는 것이 가능하다. 방사상으로 비축인 빔 위치는 이론적으로 위치(2306)에서 결정되고, 전극(241 내지 248)에서의 전압은, 빔(222)이 포커스-2 어셈블리(217)을 통과할 때, 빔(222)의 거의 모든 편향을 제거하기 위하여 조정된다. 빔 편향의 부족은 라인(2303 및 2310)이, 빔(222)을 오프셋(offset)에 매칭하여, 적절하게 오프셋되는 것의 표시로서 고려된다.
포커스-1 8극자(231 내지 238) 및 포커스-2 8극자(241 내지 248)에서의 전압을 설정하기 위한 상술한 절차는 이론적으로 결정되었다. 실제로, 위치(2305 및 2306)에서의 편향이 모두 제거되기 전, 포커스-1(216) 설정 및 포커스-2 설정 사이의 최소 2번의 반복이 일반적으로 요구된다. 전자 광학 모델링에서, 8극자(231 내지 238 및 241 내지 248)에서의 쌍극자 전압은 빔 오프셋(offset)에 따라 선형으로 변화하고, 웨이퍼(221)에서 빔 형상에서의 최종 결과의 감도는 과도하지 않다. 이러한 이유로, 도 17A 내지 17B에서 설명된 라인-스캔 절차와 결합된, 이론적 모델링을 통해 획득된 전압(도 3K 및 3L 설명의 데이블)은 이러한 제안된 렌즈 오프셋 방법을 실행하는데 적합해야 한다.
도 24는 웨이퍼 스테이지 및 위치 센서의 일 실시예를 개략적으로 도시한 도면이다. 리소그래피 시스템에서, 여기서 300 mm 웨이퍼(2401)로 도시된 기판은 정밀 스테이지(2402)에서 일반적으로 지지되고, X-Y 운동을 할 수 있고, 때때로 요우(yaw)(웨이퍼에 수직하는 Z-축 주위의 회전), Z-운동 및 롤(roll)과 피치(pitch)(웨이퍼 평면에서 2개의 수직한 축 X 및 Y 주위의 회전)와 같은 추가적인 축의 운동을 할 수 있다. 여기서는, 제 1 차 3개의 축, X, Y 및 요우(yaw)에서의 운동을 살펴본다. Y-간섭계 #1(2406) 및 Y-간섭계 #2(2407)는 그것들의 각각의 레이저 빔(2416 및 2417)을 스테이지 미러(2404)에 향하게 한다. 웨이퍼(2401) 및 미러(2404) 사이의 상대적인 운동이 웨이퍼 표면(221)에서 Y 방향 및 요우(Yaw) 축 주위에서 빔(222)의 위치결정(positioning) 정확성에 악영향을 줄 것이기 때문에, 웨이퍼(2401)가 스테이지(2402)에 단단히 고정되는 것이 중요하고, 또한 미러(2404)가 매우 평탄하고 스테이지(2402)에 단단히 탑재되는 것이 중요하다. X-간섭계(2405)는 그것의 레이저 빔(2415)을 스테이지 미러(2403)에 향하게 하고, 스테이지 미러(2403)는 매우 평탄하고 스테이지(2402)에 단단히 탑재되어 X-방향에서 빔 위치결정(positioning) 오류를 회피하여야 한다. 스테이지(2402) 운동의 X 및 Y 축은 미러(2403 및 2404)의 상대적인 위치에 의해 정의된다. 이러한 미러가 서로 수직하지 않다면, X 및 Y 축도 수직하지 않을 것이다. 이하의 공식은 스테이지(2402)의 중심(2422)에 대해 웨이퍼(2401)의 X, Y 및 요우(Yaw) 위치를 계산하기 위하여 사용된다.
Y = [(Y-간섭계 #1(2406) 데이터) + (Y-간섭계 #2(2407) 데이터)]/2*K1
X = (X-간섭계(2405) 데이터)*K2
Yaw = [(Y-간섭계 #1(2406) 데이터) + (Y-간섭계 #2(2407) 데이터)]/2*K3
K1, K2 및 K3는 스케일 인자(scale factor)이다.
경통 어레이에서의 각각의 경통은 스테이지 중심(2422)으로부터, 2420 및 2421과 같은 유일한 X-Y 변위 벡터를 갖는다. 특정 경통에 대한 웨이퍼의 각각의 다이(die)의 위치는 이하의 데이터를 결합하여 계산된다.
1) (0, 0, 0) 위치로부터 스테이지의 (X, Y, Yaw) 위치
2) 스테이지 (0, 0, 0) 위치로부터 특정 경통의 (X, Y) 변위 벡터
3) 웨이퍼 상의 다수의 얼라인먼트 마크를 이미징하여 측정된) 스테이지 상의 웨이퍼의 (X, Y, Yaw) 위치
다수의 경통의 사용으로부터 발생하는 추가적인 고려사항과 함께, 이러한 웨이퍼 위치 측정을 위한 방법은 당업자에게 자명하다. 다수의 빔 경통 어셈블리의 사용에 적합한 웨이퍼 스테이지의 실시예는 참조로 제시된 미국특허 제6,355,994호에 개시되어 있다. 웨이퍼 위치 측정을 위한 방법을 포함하는 제어 시스템의 예는 참조로서 포함된 미국특허출원 제10/059,048호에 개시되어 있다.
도 25는 광학 경통 및 그것의 제어 전자계의 일 실시예의 개략도이다(도 2A에 대비됨). 소스 및 렌즈 제어기(2510)는 전압을 전자 소스 팁(201), 소스 히터 필라먼트(도시 생략), 억제(suppressor) 전극(2501), 추출(extraction) 전극(202), 제 1 소스 렌즈 전극(203), 빔 제한 어퍼쳐(204) 및 제 2 소스 렌즈 전극(205)에 인가한다. 얼라인먼트 편향기 제어기(2512)는 전압을 상단 얼라인먼트 편향기/스티그메이터(207)에서의 8개의 전극(260 내지 267) 및 하단 얼라인먼트 편향기(208)에서의 8개의 전극(268 내지 275)에 인가한다. 액셀(accel) 경통 제어기(2513)는 전압을 가속 어셈블리(209)에서의 모든 전극 및 광학계 마운팅 플레이트(210)에 공급한다. 빔 블랭커 드라이버(2511)는 전압을 상단 블랭커(277)에서의 전극(280 내지 282) 및 하단 블랭커(278)에서의 전극(283 내지 285)에 인가한다. 메인필드 편향기 제어기(2514)는 4개의 전압을 상단 메인필드 편향기(213)에서의 전극(4001, 4009, 4012 및 4020)에 인가하고 동일한 4개의 전압(반대 극성에 연결됨, 도 3H 및 3I 참조)을 하단 메인필드 편향기(214)에서의 전극(4101, 4109, 4112 및 4120)에 인가한다. 서브필드 편향기 및 스티그메이터 제어기(2515)는 전압을 서브필드 편향기/스티그메이터(215)의 8개의 전극(223 내지 230)에 공급한다. 메인 렌즈 및 웨이퍼 바이어스 제어기(2516)는 전압을 포커스-1 전극 어셈블리(216)의 9개의 전극(230 내지 238), 포커스-2 전극 어셈블리(217)의 9개의 전극(240 내지 248), 필드-프리 튜브(218), 전압 콘트라스트 플레이트(220) 및 웨이퍼(221)로 공급한다. 메인 렌즈 및 웨이퍼 바이어스 제어기(2516)는 또한 공통 모드 전압을 검출기 제어기(2517)로 공급한다. 검출기 제어기(2517)는 바이어스 전압을 편향기 어셈블리(219)로 공급한다.
다중-경통 어셈블리에 대해서, 다수의 빔 모두가 동일한 가속 경통(accelerating column)을 통과한다면, 오직 하나의 액셀(Accel) 경통 제어기(2513)가 요구될 수 있다. 어떤 실시예에서, 하나의 메인필드 편향기 제어기(2514)를 이용하는 것이 가능할 수 있다. 다른 경통 제어기(2510 내지 2512 및 2515 내지 2517)는 일반적으로 오직 하나의 경통에 적용될 것이다.
도 26은 데이터 경로 및 시스템 제어 전자계의 일 실시예의 개략도이다. 데이터블록(2601)은 3 개의 간섭계(2405, 2406 및 2407) 각각으로부터(도 24 참조) 3 개의 고속 데이터 링크 X(2602), (Y1+Y2)/2(2603) 및 (Y1-Y2)/2(2604)를 따라 데이터 경로 및 시스템 제어 전자계로 X, Y1 및 Y2 데이터를 공급한다. 데이터링크 X(2602) 및 (Y1+Y2)/2(2603)은 블록(2605)에 연결되고, 그 블록(2605)은 경통 어레이 중심의 (X, Y) 위치에 대하여 웨이퍼 스테이지(2402)의 중심(2422)의 (X, Y) 위치를 결정한다. 데이터링크 (Y1-Y2)/2(2604)는 경통 어레이(2640)에 대하여 웨이퍼 스테이지(2402)의 요우 각(yaw angle)을 결정하는 블록(2606)에 연결된다. 블록(2607)은 경통 어레이(2640)에서 경통 (i, j)의 각각의 (X, Y) 좌표를 포함하고, 그것은 사전에 경험적으로 결정된다. 이러한 (X, Y) 좌표 데이터는 데이터링크(2609)에 의해 블록(2608)으로 공급되고, 블록(2608)은 데이터링크(2609, 2610 및 2641)에 의해 공급된 데이터를 결합하여 웨이퍼(2401)에 대한 각각의 경통(i, j)의 (X, Y) 위치를 결정한다. 블록(2614)은 블록(2608)으로부터의 데이터를 사용 하여 각각의 경통(i, j)에 의해 묘화되는 서브필드의 (X, Y) 좌표를 결정한다. 블록(2618)은 데이터링크(2619)를 통해 블록(2614)에 의해 생성된 (X, Y) 서브필드 좌표를 수신한다. (X, Y) 서브필드 좌표가 주어지면, 블록(2618)은 서브필드 패턴 데이터를 데이터링크(2616)를 통해 (50 μm 스트라이프 폭) / (2 μm 서브필드 폭) = 25 서브필드 패턴 데이터셋이 각각의 경통에 대해 요구되는 패턴 라이브러리 스토리지(2615)로부터 수신한다. 도시된 실시예에서, 6 x 6 = 36 경통이 있으며, 따라서 데이터링크(2616)를 통해 블록(2618)으로 다운로드된 데이터셋의 전체 수는 25 x 36 = 900 서브필드 데이터셋이다. 블록(2618)은 데이터링크(2617)를 통해 시스템 제어 컴퓨터(2650)로 연결된다. 블록(2618)로부터의 서브필드 패턴 데이터는 데이터링크(2620)를 통해 데이터 프로세서(2621)로 송신된다. 데이터 프로세서(2621)로부터, 서브필드 패턴 데이터는 36 개의 병렬 데이터링크(2622)에서 스트라이프 데이터 버퍼(2623)(경통 당 1개)로 공급되고, 스트라이프 데이터 버퍼(2623)는 묘화의 준비로 이러한 데이터를 버퍼링한다. 경통 제어부(2625)(경통 당 1개)는 36개의 데이터링크(2624)를 통해 이러한 데이터를 수신한다. 36개의 경통 제어부(2625)는 데이터링크(2626)를 통해 서브필드 데이터를 도 26에 도시된 다양한 경통 파워 공급부, 즉 소스 렌즈 제어(2510), 얼라인먼트 편향기 제어기(2512), 빔 블랭커 드라이버(2511), 메인필드 편향기 제어기(2514), 서브필드 편향기 및 스티그메이터 제어기(2515), 메인 렌즈 및 웨이퍼 바이어스 제어기(2516) 및 검출기 제어기(2517)로 공급한다.
도 27A (a)는 (300 mm 웨이퍼 및 6x6 경통 어레이의 실시예에서) 50mm x 50mm 경통 묘화 영역(2701)이 50 μm 폭의 스트라이프(2702)로 될 수 있는 방법을 도시한다. 영역(2701) 내의 묘화 스트라이프(2702)의 수는 이하와 같다.
스트라이프의 수 = (경통 간격) / (스트라이프 폭)
= (50 mm) / (50 μm) = 1000 묘화 스트라이프
도면 (b)는 통상적인 묘화 스트라이프(2702)의 종단을 도시하고, 개별적인 2 μm 정방형 서브필드(2703)가 도시된다. 스캔마다의 서브필드(2703)의 전체 수는 이하와 같다.
# 서브필드/스캔 = (스트라이프 폭) / (서브필드 치수)
= (50 μm) / (2 μm) = 25 서브필드
하나의 서브필드(2703)의 확대가 도면 (c)에 도시되고, 1 nm X-Y 어드레스 그리드는 우측 하단 모서리에 확대된다. 도면 (d)는 1 nm 어드레스 그리드(2704 및 2705)를 도시한다. 서브필드마다 어드레스 그리드에서의 스텝(step)의 수는 이하와 같다.
# 어드레스 스텝 = (서브필드 치수) / (어드레스 그리드)
= (2 μm) / (1 nm) = 2000 ≒ 211
하나의 축에서 ~211 어드레스 그리드 스텝을 어드레싱(address)하는 것은 아래와 같은 수의 어드레스 비트를 요구한다.
# 어드레스 비트 = log2 (#어드레스 스텝) ≒ 11 비트
어드레싱은 2-차원이기 때문에, 22 어드레스 비트 전체는 주어진 2μm 정방형 서브필드(2703) 내의 어느 곳에서나 빔 위치를 정의하기에 충분하다.
도 27B는 X-Y 어레이에 위치가 정해진 많은 수의 경통을 가지고 동시에 50μm 폭의 스트라이프를 묘화하는 방법의 실시예의 도면이다. 도면 (a)는 빔(2706)(각각 도 2A의 빔(222)에 상당함)의 어레이의 사시도이고, 병렬로 300 mm 웨이퍼(2401)를 묘화한다. 각각의 빔(2706)은 영역(2701)을 묘화한다.
도면 (b)는 하나의 영역(2701)의 확대이며, 영역(2710)을 묘화하는 빔(2706)을 도시한다. 스캔 편향(2740)은 빔을 항상 웨이퍼 표면(221)에 수직하게 유지하고, 그에 의해 텔레센트릭(telecentric) 스캐닝을 제공한다. 도 27A에서, 각각의 스캔은 각각 2μm 정방형인 전체 25개의 서브필드(2703)를 묘화한다. 빔 스캐닝이 X-방향(2740)인 반면에, 웨이퍼 스테이지(2402)는 +Y, -Y, +Y,...방향에서 번갈아 S자 패턴(2711)을 이동한다. 스캔(2707) 간에, X-방향을 따르는 웨이퍼 스텝(2708) 은 묘화될 다음 스트라이프(2702)의 시작부분으로 넘는다. 도면 (c)는 스캔(2712)의 상세한 도면이고, 25개의 서브필드(2703) 및 50μm 스캔 폭(2715)를 도시한다. 스캔(2715)의 묘화 동안, 스테이지는 당업자에게 자명한 "write-on-the-fly" 프로세스에서 거리(2714)를 이동한다.
도 27C는 통상적인 300 mm 웨이퍼(2401)에서의 다이 배열과 X-축(2730) 및 Y-축(2731)을 갖는 경통 X-Y 어레이 사이의 대응의 예시를 도시한 도면이다. 경통 묘화 영역(2701)은 도 27A에 대한 계산에 의해 결정된 X-Y 치수, 본 예(6x6 경통 어레이)에서 50 mm x 50 mm를 갖는다. 도시된 바와 같이, ((0, 0), (0, 5), (5, 0) 및 (5, 5)와 같은) 모서리 경통은 웨이퍼에서 매우 작은 영역을 묘화한다. 더 큰 경통 어레이(7x7, 8x8,...)인 경우, 경통 어레이의 각각의 4개의 모서리에서 하나 이상의 경통을 삭제하는 것이 가능하다. 경통은 X-라벨(2721) 및 Y-라벨(2720)을 갖고, 6x6 경통 어레이에 대해, 0부터 5까지의 라벨을 갖는다. 경통 어레이 중심은 짝수의 어레이(6x6, 8x8,...)에 대한 경통 사이에 있을 것이고, 홀수의 어레이(7x7, 9x9,...)에 대한 경통의 중심에 있을 것이다.
이러한 예에서, 다이 치수는 X-치수 = 22 mm, Y-치수 = 19.5 mm 이라고 가정된다. 웨이퍼(2401)의 엣지에서 어떠한 엣지 제외도 없이, 이것은 143개의 다이 전체를 제공한다. 경통 어레이의 X-Y 간격과 웨이퍼(2401)에서 다이 어레이의 X-Y 간격을 매칭시킬 필요는 없다.
도 28A는 각각 2μm X-치수(2805) 및 2μm Y-치수(2806)을 갖는 서브필드(2804)로 분할되는 집적회로(IC) 패턴 데이터(2801)의 도면이다. 서브필드(2804) 각각은 1 nm X-Y 어드레스 그리드(2807 및 2808)를 갖는다. 정확하고 동일한 서브필드 및 어드레스 그리드 값은 경통 빔 어드레싱 및 IC 패턴 데이터를 위해 사용된다. 데이터 경로 전자공학적 요건은 묘화 빔의 위치(X-Y에서 ±1μm의 최대 오프셋)를 조정하여 묘화 그리드 상에 패턴 데이터를 오버레이시키는 것이다. IC 패턴 데이터는 X-축(2809) 및 Y-축(2810)에 정렬되고, 도 27C에서 경통 어레이에 대해 X-축(2730) 및 Y-축(2731)에 대응한다.
도 28B는 서브필드 헤더 데이터 포맷의 예를 도시한다. IC 패턴 데이터에 대한 서브필드 어드레싱 요건은 아래와 같다.
64 mm x 64 mm 최대 IC 크기 (4096 mm2 )
IC 내의 2 μm x 2 μm 서브필드 어드레스 그리드
IC 내의 서브필드의 16-bit X-어드레스
IC 내의 서브필드의 16-bit Y-어드레스
각각의 2 μm 정방형 서브필드 내에서, 패턴 어드레싱 요건은 아래와 같다.
서브필드 내의 1 nm x 1 nm 패턴 어드레스 그리드
서브필드 내의 패턴의 16-비트 X-좌표
서브필드 내의 패턴의 16-비트 Y-좌표
IC 패턴 데이터(2801) 내의 각각의 서브필드(2804)에 대해, 서브필드 헤더(2811)가 정의되어, 9 바이트(byte)(2817)로 구성되고, 아래의 데이터 필드를 포함한다.
바이트(Byte) #0-1(2812) = 2 μm 정방형 서브필드 내에서 노광될 패턴의 총 개수 - 최대 수 = 216 - 1 = 65535.
바이트(Byte) #2-3(2813) = 서브필드의 X-어드레스 (2 μm 단위로 -32768 μm 부터 +32767 μm).
바이트(Byte) #4-5(2814) = 서브필드의 Y-어드레스 (2 μm 단위로 -32768 μm 부터 +32767 μm).
바이트(Byte) #6(2815) = PEC 도즈(dose) 레벨 (보정없음 = 255 내지 최대보정 = 0, 도 29C 참조).
바이트(Byte) #7(2816) = 묘화된 서브필드 면적의 비율(fraction) (묘화없음 = 0 내지 전부묘화 = 255, 도 29A 참조).
바이트(Byte) #8(2818) = 이러한 서브필드를 묘화하기 위한 정방형 빔 크기 (빔 크기 = 바이트(byte) #8 값(nm): 0 nm 내지 255 nm).
복수의 빔 크기로 서브필드를 묘화하는 것이 더 효과적이었다면, 다수의 서 브필드 데이터 정의(각각 그 고유의 서브필드 헤더를 가짐)가 요구되었을 것이다.
도 28C는 하나의 플래시 및 다수의 플래시를 묘화하기 위한 패턴 데이터 포맷의 예시의 도면이다. 하나의 플래시에 대한 데이터 포맷(2820)은 아래와 같은 5 바이트(Byte)(2817)를 요구한다.
바이트(Byte) #0(2821) = 패턴 유형 ( = 1).
바이트(Byte) #1-2(2822) = 플래시의 X-어드레스 (-1000 nm ~ 1000 nm)
바이트(Byte) #3-4(2823) = 플래시의 Y-어드레스 (-1000 nm ~ 1000 nm)
다수(횟수 = N)의 플래시에 대한 데이터 포맷(2838)은 아래와 같은 4N+2 바이트(2817)를 요구한다.
바이트(Byte) #0(2821) = 패턴 유형 ( = 2).
바이트(Byte) #1(2825) = 플래시의 횟수 (2 내지 255)
바이트(Byte) #2-3(2826) = 플래시 #1의 X-어드레스(-1000 nm ~ 1000 nm)
바이트(Byte) #4-5(2827) = 플래시 #1의 Y-어드레스(-1000 nm ~ 1000 nm)
바이트(Byte) #2-3(2829) = 플래시 #2의 X-어드레스(-1000 nm ~ 1000 nm)
바이트(Byte) #4-5(2830) = 플래시 #2의 Y-어드레스(-1000 nm ~ 1000 nm)
바이트(Byte) #2-3(2832) = 플래시 #3의 X-어드레스(-1000 nm ~ 1000 nm)
바이트(Byte) #4-5(2833) = 플래시 #3의 Y-어드레스(-1000 nm ~ 1000 nm)
……. …….
바이트(Byte)s #2-3(2835) = 플래시 #N의 X-어드레스(-1000 nm ~ 1000 nm)
바이트(Byte)s #4-5(2836) = 플래시 #N의 Y-어드레스(-1000 nm ~ 1000 nm)
도 28D는 하나의 라인 및 다중라인을 묘화하는 패턴 데이터 포맷의 예시의 도면이다. 하나의 라인에 대한 데이터 포맷(2840)은 아래와 같은 9 바이트(2817)를 요구한다.
바이트(Byte) #0(2821) = 패턴 유형 ( = 3).
바이트(Byte) #1-2(2841) = 라인 시작의 X-어드레스(-1000 nm ~ 1000 nm)
바이트(Byte) #3-4(2842) = 라인 시작의 Y-어드레스(-1000 nm ~ 1000 nm)
바이트(Byte) #5-6(2844) = 라인 끝의 X-어드레스(-1000 nm ~ 1000 nm)
바이트(Byte) #7-8(2845) = 라인 끝의 Y-어드레스(-1000 nm ~ 1000 nm)
다중라인(개수 = N)에 대한 데이터 포맷(2847)은 4N+6 바이트(28117)를 요구한다.
바이트(Byte) #0(2821) = 패턴 유형 ( = 4).
바이트(Byte) #1(2848) = 다중라인에서 라인의 수 (2 ~ 255)
바이트(Byte) #2-3(2849) = 라인 #1 시작의 X-어드레스(-1000 nm ~ 1000 nm)
바이트(Byte) #4-5(2850) = 라인 #1 시작의 Y-어드레스(-1000 nm ~ 1000 nm)
바이트(Byte) #6-7(2852) = 라인 #1 끝의 X-어드레스(-1000 nm ~ 1000 nm) = 라인 #2 시작의 X-어드레스(-1000 nm ~ 1000 nm)
바이트(Byte) #8-9(2853) = 라인 #1 끝의 Y-어드레스(-1000 nm ~ 1000 nm) = 라인 #2 시작의 Y-어드레스(-1000 nm ~ 1000 nm)
바이트(Byte) #10-11(2855) = 라인 #2 끝의 X-어드레스(-1000 nm ~ 1000 nm) = 라인 #3 시작의 X-어드레스(-1000 nm ~ 1000 nm)
바이트(Byte) #12-13(2856) = 라인 #2 끝의 Y-어드레스(-1000 nm ~ 1000 nm) = 라인 #3 시작의 Y-어드레스(-1000 nm ~ 1000 nm)
……. …….
바이트(Byte) #4N+2-4N+3(2858) = 라인 #N 끝의 X-어드레스(-1000 nm ~ 1000 nm)
바이트(Byte) #4N+4-4N+5(2859) = 라인 #N 끝의 Y-어드레스(-1000 nm ~ 1000 nm)
도 28E는 전체 서브필드를 묘화하거나, 직사각형을 묘화하거나 또는 상단 오른쪽 사분면의 삼각형을 묘화하기 위한 패턴 데이터 형식의 예시의 도면이다. 전체 서브필드를 채우기 위한 데이터 포맷(2861)은 아래와 같은 오직 1 바이트(2817)를 요구한다.
바이트(Byte) #0(2821) = 패턴 유형 ( = 5)
직사각형에 대한 데이터 포맷(2862)은 아래와 같은 9 바이트(2817)를 요구한다.
바이트(Byte) #0(2821) = 패턴 유형 (= 6).
바이트(Byte) #1-2(2863) = 상단 좌측 모서리의 X-어드레스(-1000 nm ~ 1000 nm)
바이트(Byte) #3-4(2864) = 상단 좌측 모서리의 Y-어드레스(-1000 nm ~ 1000 nm)
바이트(Byte) #5-6(2866) = 하단 우측 모서리의 X-어드레스(-1000 nm ~ 1000 nm)
바이트(Byte) #7-8(2867) = 하단 우측 모서리의 Y-어드레스(-1000 nm ~ 1000 nm)
상단 우측 사분면의 삼각형에 대한 데이터 포맷(2869)은 아래와 같은 9 바이트(2817)를 요구한다.
바이트(Byte) #0(2821) = 패턴 유형 ( = 7).
바이트(Byte) #1-2(2870) = 상단 좌측 모서리의 X-어드레스(-1000 nm ~ 1000 nm)
바이트(Byte) #3-4(2871) = 상단 좌측 모서리의 Y-어드레스(-1000 nm ~ 1000 nm)
바이트(Byte) #5-6(2873) = 하단 우측 모서리의 X-어드레스(-1000 nm ~ 1000 nm)
바이트(Byte) #7-8(2874) = 하단 우측 모서리의 Y-어드레스(-1000 nm ~ 1000 nm)
상단 좌측, 하단 좌측 및 하단 우측 사분면의 삼각형을 묘화하기 위하여, 패턴 유형은 각각 8, 9 및 10이 된다. 패턴 유형 8 내지 10에 대한 데이터 포맷은 전술한 패턴 유형 7에 대한 것과 동일하다.
도 28F는 아래와 같은 다중 묘화 패턴 유형을 포함하는 통상적인 서브필드(2804)의 예시를 도시한다.
유형 #1 - 위치 (Xsf, Ysf )(2901)에서 하나의 플래시이며, 첨자 "sf"는 "single flash"를 의미한다.
유형 #2 - 위치 (Xmf1, Ymf1 )(2903), (Xmf , Ymf2 )(2904), (Xmf3, Ymf3)(2905) 및 (Xmf4, Ymf4)(2906)에서 다수의 플래시이다. 첨자 "mfX" 는 "multiple flashes"를 의미하고, X는 플래시 수(이 실시예에서 1 내지 4)이다.
유형 #3 - 위치 (Xsl0, Ysl0)(2908)에서 시작하고 위치 (Xsl1, Ysl1)(2909)에서 끝나는 하나의 라인(2907)이다. "sl" 첨자는 "single line"을 의미한다.
유형 #4 - 위치 (Xpl0, Ypl0)(2911)에서 시작하여, 점 (Xpl1, Ypl1)(2912), 점 (Xpl2, Ypl2)를 지나 점(Xpl3, Ypl3)(2914)에서 끝나는 다중라인(2910)이다. "pl" 첨자는 "polyline"을 의미한다.
유형 #6 - (Xr0, Yr0)(2919)에서 상단 좌측 모서리가 있고, (Xr1, Yr1)(2920)에서 하단 우측 모서리가 있는 직사각형(2918)이다. "r" 첨자는 "rectangle"을 의미한다.
유형 #9 - (Xtc0, Ytc0)(2916)에서 상단 좌측 모서리 및 (Xtc1, Ytc1)(2917)에서 하단 우측 모서리를 갖는 하단 좌측 사분면에서 삼각형(2915)이다. "tc" 첨자는 "triangle type c"(하단 좌측 사분면)을 의미한다. 다른 삼각형 유형은 유형 "a"(상단 우측 사분면) - "ta", 유형 "b"(상단 좌측 사분면) - "tb", 유형 "d"(하단 우측 사분면) - "td"이다.
도 29A는 근접 효과 보정(PEC) 방법(scheme)에서 제 1 단계의 도면을 도시하고, 각각의 서브필드(2804)에서 묘화된 면적 비율(fraction)이 계산된다. X-축(2809) 및 Y-축(2810)에 대하여 정의된, 주어진 IC 패턴 데이터(2801)에서, 각각의 축에 따른 서브필드 MX(2923) 및 MY(2922)의 수는 아래와 같다.
MX = (μm단위에서 IC X-치수) / (2μm) (절사(rounded down))
MY = (μm단위에서 IC Y-치수) / (2μm) (절사(rounded down))
X-축(2809)을 따라 번호가 매겨지는 서브필드는 0부터 MX(2923)까지이고, Y-축(2810)을 따라서는 0부터 MY(2922)이며, 따라서 IC 패턴 데이터에서 서브필드의 전체 수 ≡ M = (MX+1)(MY+1)이다. 모든 서브필드가 하나의 빔 크기로 묘화될 것이라면, Mdatasets = M이고, Mdatasets 은 요구되는 서브필드 데이터셋의 수이다. 일부의 서브필드가 다수의 빔 크기로 묘화된다면, Mdatasets > M 이다. 예를 들어,
Nj = j의 서로 다른 빔 크기로 묘화되는 서브필드의 수, j=1, 2, ...
라면,
Figure 112008074344217-PCT00007
Figure 112008074344217-PCT00008
가 된다.
각각의 빔 크기를 설정하기 위해 요구되는 시간 오버헤드(overhead)로 인하여, 어느 하나의 서브필드에 대해 2 내지 3 이상의 다른 빔 크기가 최적은 아닐 것으로 보인다.
각각의 서브필드(2804)에 대하여, 묘화된 서브필드 면적의 전체 비 율(fraction)이 계산된다. 예시는 아래와 같다.
서브필드(2,1)(2925)는 완전히 묘화되어(본딩 패드의 일부일 수 있음), 그것의 비율(fraction) = 1.0 이고, 서브필드 헤드(2811)에서 바이트(byte) #7의 값은 255가 될 것이다.
서브필드(5,4)(2926)는 서브필드 면적의 약 70% 정도로 많이 묘화되어, 그것의 비율 = ~0.7 이고 서브필드 헤더(2811)에서 바이트(byte) #7의 값은 179 ≒ 0.7 × 255가 될 것이다.
서브필드(11,7)(2927)는 약 15% 정도로 밀도가 낮게 묘화되어, ~ 0.15의 비율(fraction)을 제공하고 서브필드 헤더(2811)에서 바이트(byte) #7(2816)의 값은 38 ≒ 0.15 × 255가 될 것이다.
일단 묘화될 전체 비율이 각각의 서브필드(2804)에 대해 계산되면, 데이터는 서브필드 데이터 헤더의 바이트 #7(2816)에 저장된다. 다수의 빔 크기가 어느 특정한 서브필드에 대해 사용된다면, 묘화될 전체 면적에 대한 값은 각각의 서브필드 데이터셋의 바이트 #7(2816)에 저장된다. 이러한 경우에 묘화될 전체 면적은 특정한 서브필드(2804)에 대한 모든 데이터셋을 위한 바이트 #7(2816)의 값의 합이 될 것이다. 각각의 서브필드(2804)에 대해 묘화될 전체 면적의 계산은 다른 서브필드(2804)에서 묘화될 면적에 완벽하게 독립적이다.
도 29B는 PEC 방법(scheme)에서 제 2 단계의 도면을 도시하고, 서브필 드(2937)에서의 후방 산란된 전자(backscattered electron, BSE) 도즈가 계산되고, 어떠한 PEC 보정도 임의의 서브필드(2804)에서 주요 빔 도즈에 대해 없다고 가정한다. IC 패턴 데이터(2801)는 도 29A와 동일하다. 각각의 서브필드(i, j)[i = 0 내지 MX이고, j = 0 내지 MY]에 대해, 모든 이웃하는 서브필드로부터 후방 산란된 전자 도즈의 가중된 합이 도시된 상대 강도 그래프를 이용하여 계산된다. 서브필드(i, j)(2937)로부터 반경(2931)을 증가시키면서, 기여도는 상대 강도 스케일(scale)(2932)에 대해 플로팅된 곡선(2934)에 의해 나타난 것처럼 감소한다. BSE 기여도는 등방성(isotropic)인 것으로 가정되어, 서브필드(2937)에서 전체 도즈로의 BSE 기여도는 원(2938) 주위에서 동일할 것이다. 최대 가능한 후방 산란 기여도는 후방 산란 전자 계수인 η(2936)이고, 이것은 서브필드 (i, j) 바로 주위의 8개의 서브필드 및 서브필드(i, j) 그 자체에 관련된다. 각각의 서브필드로부터의 기여도는, 도 29A의 단계 1에서 계산된 것과 같이, 묘화되는 서브필드의 비율에 비례한다. 서브필드(j, j)에서 후방 산란 도즈 d(i, j)에 대한 공식은 다음과 같다.
R(m, n; i, j) ≡ √[(m - i)2 + (n - j)2 ] (2 μm) = (m, n)로부터 (i, j)까지의 반경
s[R(m, n; i, j)] = 서브필드(m, n)에서 산란하는 BSE로부터 서브필드(i, j)에서 상대 강도
f(m, n) = 서브필드 (m, n)에서 묘화된 면적의 비율
p(m, n) ≡ 현재는 1 (다음 단계에서 다양하게 될 것임)
K = 스케일 인자(scale factor)
Figure 112008074344217-PCT00009
식(1)
계산되는 서브필드(i, j) 내에서부터의 후방 산란 전자는 또한 BSE 배경(background) 도즈에 기여하기 때문에, m 및 n에 대한 합은 서브필드(i, j)를 포함한다.
도 29C는 PEC 방법(scheme)에서 제 3 단계의 도면을 도시하고, 각 서브필드에서 전체 도즈는 주요 빔 도즈와 BSE 도즈를 합하여 계산된다. 물리적 처리 발생은, 서브필드(i, j)에서 묘화되는 각각의 패턴 내에서, 아래와 같은 레지스트 도즈에 대한 3 개의 기여도가 있다.
(1) 묘화되는 패턴에 대한 묘화 빔에서의 주요 전자
(2) 서브필드(i, j) 내의 다른 패턴으로부터의 후방 산란 전자
(3) 이웃하는 서브필드(m, n)로부터의 후방 산란 전자
도 29C는, 근접 효과 보정(PEC)으로 당업자에게 친숙한 알려진 처리인, 기여도 (2) 및 (3)을 보상하기 위하여 묘화 도즈가 감소될 수 있음을 나타낸다. 왼쪽에 서, 도즈 프로파일(2942)(상대 축(relative axis)(2941)에 대하여 플로팅됨)은 최소의 BSE 배경 도즈의 경우에 대응하고, 따라서 어떠한 PEC도 필요하지 않다. 최대 처리 관용도(latitude)를 위하여, 묘화 도즈를 조정하여 도즈 프로파일에서의 최대 경사 지점, 이 경우에서는 점(2945)에서 레지스트 노광 도즈가 발생하는 것이 유리하다. 레지스트 처리 또는 묘화 빔 전류에서의 변동은 라인 폭 변화에 최소의 영향을 가질 것이다. 묘화 도즈(2951)는 어떠한 PEC도 갖지 않는 요구되는 노광 도즈(2950)의 레벨의 두 배이다.
가운데의 예시는 노광 도즈의 약 30%에서 BSE 배경(2948)의 중간 레벨에 대한 경우를 도시한다. 처리 관용도(latitude)를 유지하기 위하여, 묘화 도즈(2943)는 도시된 바와 같이 BSE 배경(2948)의 양의 동일한 비율(percentage) 또는 두배만큼 감소되고, 따라서 파선에 의해 표시된 노광 도즈에서 점(2946)을 유지한다. 묘화 도즈(2951)는 노광 도즈(2950)의 2배이기 때문에, 노광 도즈는 2 배만큼 감소된다.
오른쪽 예시는 밀도가 높은 선 및 공간을 도시하고, 가장 큰 BSE 배경이 발생한다. 이 예시에서, BSE 배경(2949)은 노광 도즈(2950)의 약 60%이고, 묘화 도즈(2944)에서 60% 감소를 요구한다.
모든 i = 0,..., MX 및 j = 0,..., MY 에 대한 BSE 배경 d(i, j)가 결정된 후, 제 1 패스(pass) 도즈 보정이 수행될 수 있다. 모든 서브필드 (i, j)에 대하여, 보정 인자 p(i, j)를 계산한다.
p(i, j) = 1 - 2d(i. j)
이러한 p(i, j)에 대한 새로운 값을 이용하여 d(i, j)의 모든 값을 다시 계산하고, 그 결과는 d(i, j)에 대한 더 작은 값을 제공할 것이고, 그 값은 다시 p(i, j)에 대한 더 큰 값을 제공할 것이다. 따라서, p(i, j)에 대한 일관성있는 해법을 발견하는 처리는 진동하지만, 일반적으로 몇 개의 사이클 내에 수렴한다. 일단 p(i, j)에 대한 값이 어떠한 미리 설정된 제한에 의해 더 이상 변화되지 않으면, 그 처리는 종결되고 p(i, j)에 대한 계산된 값은 255 배가 되고 바이트 #6(2815)에 저장된다.
·도 2A의 경통에 대한 통상적인 전극 전압
Figure 112008074344217-PCT00010
하나 이상의 전자 광학 경통을 이용하여 레지스트 도포된 웨이퍼에서 일련의 스트라이프를 묘화하는 하나의 가능한 묘화 방법이 도 27B에 도시된다. 예시에서처럼 동일한 경통의 6×6 어레이가 300 mm 웨이퍼 위에 위치된다고 가정한다. 경통 간격은 아래와 같이 결정될 수 있다.
경통 간격 = (웨이퍼 직경) / √(경통의 수)
= (300 mm) / √(6×6) = (300 mm)/6 = 50 nm
각각의 경통은 오직 웨이퍼의 50 mm ×50 mm 정방형 영역을 묘화할 필요가 있을 것이다. 특정 경통이 그것의 영역의 묘화를 완성할 때, 각각의 다른 35 개의 경통은 그것들의 각각의 영역의 묘화를 동시에 완성할 것이고, 웨이퍼는 완성된다. 각각의 경통에 의해 묘화될 영역은 도 27A에 도시된 것처럼 일련의 50 μm 폭의 평행 스트라이프로 분할되고, 스트라이프의 전체 수는 1000으로 결정되었다.
각각의 스트라이프 내에서, 경통은 일련의 "플래시"로 레지스트에 묘화하고, 각각은 미리 설정된 감도(5μA/cm2 로 가정됨)를 갖는 레지스트에 고 전류 밀도 빔을 사용하여 정방형 영역을 노광시킨다. 플래시 당 시간(time per flash)은 성형 빔의 외부 엣지에서의 빔 전류 밀도에 의해 결정된다. 도 21C에 도시된 것처럼, 빔 전류 밀도는 2000 A/cm2(30 nm 및 120 nm 정방형 빔)로부터, 2500 A/cm2(~80 nm 정방형 빔) 내지 3000 A/cm2(40 nm 정방형 빔)까지의 범위를 갖는다.
플래시 당 시간(time per flash) = (레지스트 감도) / (빔 전류 밀도)
= (5 μC/cm2) / (3000 A/cm2) = 1.67 ns
= (5 μC/cm2) / (2500 A/cm2) = 2.00 ns
= (5 μC/cm2) / (2000 A/cm2) = 2.50 ns
이러한 계산된 플래시 시간은 도 21C에 도시된다.
각각의 플래시는 빔이 웨이퍼 표면에서 새로운 위치로 편향되는 것을 요구하고, 따라서 1 ns 설정(set-up) 시간이 가정된다. 총 픽셀 묘화 시간은 아래와 같이 결정된다.
총 픽셀 묘화 시간 = (# 플래시/서브필드) [(시간/플래시) + (설정 시간)]
여기서 서브필드는 2.0μm×2.0μm 으로 가정된다.
전체 패턴 밀도는 평균 # 플래시/서브필드를 결정하고, 이것은 도 30에서 변수로 남는다. 예상대로, 더 높은 패턴 밀도가 평균적으로 더 많은 플래시/서브필드(3001)를 요구하고, 결과적으로 더 낮은 패터닝 스루풋(3002)을 갖는다.
스테이지는 스트라이프의 길이를 따라 이동하기 때문에(+Y 및 ?Y 방향(2707)에서 교대로 이동, 도 27B 참조), 전자 빔은 스트라이프의 폭(2715)을 가로질러 (X-축을 따라) 수직으로(2740) 정전기적으로 스캔된다. 여기에서 가정된 50μm 스트라이프로, 서브필드/스캔의 총 수는 25로 도 27A에서 결정되었다. 10 ns 스캔 리트레이스(retrace) 시간을 포함하여, 스캔 당 총 시간은 다음과 같을 것이다.
시간/스캔 = (# 서브필드/스캔) (시간/서브필드) + (스캔 리트레이스 시간)
이러한 묘화 방법에서, 스캐닝은 광 축에 대해 정전기 빔 편향 = ±(스캔 폭)/2 를 사용하여 수행된다. 전체 스트라이프를 묘화하기 위하여 요구되는 스캔의 총 수는 아래에서 발견될 수 있다(스트라이프 길이 = 경통 간격).
# 스캔 = (경통 간격) / (서브필드 치수)
= (50 mm) / (2.0 μm) = 25000
묘화하는 동안 스테이지 운동의 한 방법은 묘화 빔(경통 별로 하나임) 아래에서 연속적으로 움직이는 것이다. 이러한 경우, 스테이지 속도는 아래와 같을 것이다.
스테이지 속도 = (서브필드 치수) / (시간/스캔)
변수로서 (플래시/서브필드)의 수를 이용하여, 스테이지 속도는 ~550 mm/s(1g = 9800 mm/s2 스테이지 가속도 및 스트라이프(2702)의 50 mm 길이로 제한됨)로부터 50 mm/s 미만까지의 범위를 갖고, 플래시/서브필드의 수가 증가함에 따라, 또는 빔 전류 밀도가 감소함에 따라 감소한다.
마지막으로, 하나의 웨이퍼의 묘화의 종료와 다음 묘화의 시작 사이에서 요구되는 웨이퍼 반송, 전체 얼라인먼트, 부분 얼라인먼트 및 모든 다른 기능이 가능 해지도록, 각각의 웨이퍼에 대해 45s 오버헤드가 가정되었다. 웨이퍼 당 총 시간은 모든 스트라이프에 대한 묘화 시간 + 모든 스트라이프에 대한 스테이지 방향 전환(turn-around) 시간 + 웨이퍼 오버헤드의 결합으로 이루어진다. 스루풋(throughput)은 웨이퍼 당 총 시간에 반비례한다.
도 30은 6×6 내지 10×10의 다양한 수의 경통을 갖는 하나의 리소그래피 모듈에 대하여 서브필드 당 플래시의 평균 수(3001)에 대한 계산된 스루풋(3002)의 그래프이며, 요구되는 노광 전류(3003)는 3000 A/cm2 라고 가정된다. 이것은 최적화된 40 nm 정방형 빔에 대응한다. 서브필드는 40 내지 360의 범위의 플래시/서브필드의 평균 수를 갖는 2μm의 정방형이라고 가정된다. 곡선(3005)은 6×6 경통 어레이에 대응하고, 각각의 경통은 50 mm×50 mm의 영역을 묘화한다. 곡선(3006)은 7×7 경통 어레이에 대응하고, 각각의 경통은 42.9 mm× 42.9 mm 이하를 묘화한다. 곡선(3007)은 8×8 경통 어레이에 대응하고, 각각의 경통은 37.5 mm×37.5 mm 이하를 묘화한다. 곡선(3008)은 9×9 경통 어레이에 대응하고, 각각의 경통은 33.4 mm×33.4 mm 이하를 묘화한다. 마지막으로, 곡선(3009)은 10×10 경통 어레이에 대응하고, 각각의 경통은 30 mm×30 mm 이하를 묘화한다. 많은 수의 플래시(>160/서브필드)에 대하여, 스루풋은 플래시의 평균 수에 거의 반비례하는 반면에, 영역(3004) 내의 더 적은 수의 플래시에 대해, 스루풋은 최대 스테이지 가속도(9800 mm/s2 = 1g로 가정됨)에 의해 제한된다. 전체 2 μm 정방형 서브필드가 40 nm 빔으로 묘화되었다면, 이것은 엄청난 다수의 플래시, [(2 μm)/(40 nm)]2 = 2500 플래시를 요구할 것이다. 이것은 가변 크기의 빔에 대한 필요를 강조한다.
30 nm, ~80 nm 및 120 nm 빔에 대한 유사한 그래프가 플로팅될 수 있으며, 여기서 도 21B에 도시된 전류 밀도가 사용된다. (최적화된) 40 nm 정방형 빔 이외의 모든 빔 크기에 대하여, 스루풋은 도 30에 도시된 것으로부터 약간 감소된다.
120 nm 정방형 빔이 125 nm 중심에 있으면서, 전체 2μm 정방형 서브필드는 [(2 μm)/(125 nm)]2 = 256 플래시를 이용하여 묘화될 수 있다. 이것은 허용 가능한 수이고, 심지어 요구되는 2.50 ns 드웰 시간을 허용한다. 여기서 설명된 경통 디자인을 사용하여 가능한 많은 수의 경통으로 다른 경통이 더 세밀한 모양을 갖는 영역을 묘화하는 동안, 언제라도 적어도 하나의 경통이 본딩 패드(bonding pad)를 묘화할 것이므로, 합리적인 시간 내에 전체 서브필드를 완전히 채울 수 있는 것이 중요하다. 스루풋을 유지하기 위하여, 묘화 방법이 모든 경통이 묘화하는 동안 동기화된 상태로 있을 것을 요구하기 때문에, 시스템은 모든 경통의 묘화 속도를 유지할 수 있을 필요가 있다.
상술한 본 발명은 확장되어 하나의 전자 빔 경통에 2개의 빔 한정 어퍼쳐가 있는 경우를 포함할 수 있다. 2개의 어퍼쳐는 함께 사용되어 기판에서 빔을 한정한다. 2개의 어퍼쳐는 모두 광 축에 포커싱되고 서로 축 방향으로 분리된다. 더욱이, 전술한 바와 같이, 패턴 빔 한정 어퍼쳐가 추가되어 하나의 경통에서 다수의 어퍼쳐를 제공할 수 있다.
다수의 빔 한정 어퍼쳐는 전자 빔 경통에 통합되어 기판에서 다른 빔 형상의 선택을 허용할 수 있다. 어퍼쳐는 하나의 어퍼쳐 블레이드(blade)에 부착되고 필요한 경우 축 상에서 이동될 수 있다. 이와 달리, 어퍼쳐는 경통의 다른 부분에서 신축성있는 별도의 어퍼쳐 블레이드에 부착될 수 있다. 이와 달리, 어퍼쳐는 상단 및 하단 편향 광학계 사이의 광 축에 근접하여 배치될 수 있고, 바람직한 어퍼쳐가 (상단 편향 광학계를 사용하여) 빔의 편향에 의해 선택되고, 그 다음에 하단 편향 광학계를 사용하여 빔이 (선택된 어퍼쳐를 통과한 후) 광 축으로 재편향되도록 할 수 있다.
전자 광학계는 전자 빔이 확장되거나, 감소되거나 또는 왜곡되어 기판에서의 빔이 빔 한정 어퍼쳐에 의해 결정된 형상의 확장, 감소 또는 왜곡된 버전(version)이 되도록 할 수 있다. 정방형 빔의 유용한 왜곡의 예시는 직사각형을 형성하기 위하여 하나의 축에 따른 감소일 것이다. 다른 왜곡은 정방형 빔이 평행사변형으로 성형된 빔으로 변환되는 것을 포함할 수 있다. 그러한 효과를 달성하기 위하여 사용될 수 있는 전자 광학 소자는 4극자 및 8극자 렌즈를 포함한다.
비록 여기서 패턴 빔 한정 어퍼쳐(212)를 위한 디자인 절차가 수치적인 방법을 이용하였지만, 다른 분석적 방법도 또한 가능하다. 도 1을 참조하면, 블록(102 내지 106)의 기능은 동일하지만, 블록(108)에서, 수치적인(numerical) 광선 추적(ray-tracing) 대신에, 광학계의 동작의 분석 모델이 생성되고 사용되어 원형 빔 중 어떤 광선이 바람직한 패턴 빔 프로파일 내로 통과하고, 어떤 광선이 바람직한 패턴 빔 프로파일 외부로 통과하는지를 결정한다. 광학계의 분석 모델은 광선 추적을 사용하여 생성되지만, 이러한 분석적 방법은 광선 추적 계산에서 수치상의 오류 의 효과를 감소시킴으로써, PBDA(212) 디자인을 잠재적으로 향상시킬 기회를 제공한다. 이러한 향상은 광선 추적 계산으로부터 도출된 분석 모델을 다듬어 웨이퍼 표면(221)에서의 광선 절편 값에서 작은 (nm 단위의) 변동을 고르게 함으로써 달성된다. 일단 이러한 분석 절차가 사용되어 블록(112)에서 PBDA 디자인을 생성하면, 도 1에 설명된 PBDA 디자인 절차의 나머지는 동일하다.
여기서 예시로 설명된 디자인에 대하여, 실제 범위의 빔 크기는 대략 30 nm 내지 120 nm까지이다. 본 발명을 이용하는 다른 전자 광학 디자인에 대하여, 빔 크기의 범위는 15 내지 20 nm 부터 400 내지 500 nm 까지가 될 수 있다.

Claims (43)

  1. 레지스트 도포된 기판을 패터닝하는 리소그래피 장치에 있어서,
    하전 입자 빔을 생성하는 하전 입자 소스(charged particle source),
    상기 하전 입자 소스 아래에 위치하고, 상기 하전 입자 빔을 실질적인 층류(laminar)의 하전 입자 빔으로 형성하는 제 1 렌즈,
    상기 제 1 렌즈 아래에 위치하고, 상기 레지스트 도포된 기판을 이동시키는 스테이지(stage),
    상기 제 1 렌즈 및 상기 스테이지 사이에 위치하고, 상기 실질적인 층류의 하전 입자 빔을 상기 레지스트 도포된 기판의 표면 상에 포커싱시키는 제 2 렌즈 및
    상기 제 1 렌즈 및 상기 제 2 렌즈 사이에 위치하고, 상기 레지스트 도포된 기판의 표면에서의 미리 설정된 빔 프로파일 내로 상기 제 2 렌즈에 의해 포커싱될 수 없는 상기 실질적인 층류의 하전 입자 빔 중 대부분의 하전 입자를 차단하는 패턴 빔 한정 어퍼쳐(patterned beam-defining aperture)
    를 포함하는 리소그래피 장치.
  2. 제 1 항에 있어서,
    상기 제 1 렌즈 및 상기 패턴 빔 한정 어퍼쳐 사이에 위치하고, 상기 실질적 인 층류의 하전 입자 빔을 블랭킹(blanking)하는 빔 블랭커(beam blanker)
    를 더 포함하는 리소그래피 장치.
  3. 제 2 항에 있어서,
    상기 빔 블랭커는 유효 블랭킹 면(effective blanking plane)을 가상 소스의 위치로 되돌려 투사하는 이중 편향(double-deflection) 블랭커인 것인 리소그래피 장치.
  4. 제 2 항에 있어서,
    상기 제 1 렌즈 및 상기 빔 블랭커 사이에 위치하는 빔 트리밍 어퍼쳐(beam-trimming aperture)
    를 더 포함하는 리소그래피 장치.
  5. 제 4 항에 있어서,
    상기 빔 트리밍 어퍼쳐는 상기 실질적인 층류의 빔이 블랭킹 동안 상기 패턴 빔 한정 어퍼쳐를 가로질러 스위핑(sweeping)할 때 상기 패턴 빔 한정 어퍼쳐의 모든 개구 영역에 대해 동일한 노광 시간을 제공하고, 상기 빔 트리밍 어퍼쳐는 블랭 킹 시간을 최소화하는 것인 리소그래피 장치.
  6. 제 5 항에 있어서,
    상기 빔 트리밍 어퍼쳐는 정방형 형상의 개구부를 포함하고, 상기 패턴 빔 한정 어퍼쳐는 정방형으로부터 도출된 형태의 개구부를 포함하는 것인 리소그래피 장치.
  7. 제 1 항에 있어서,
    상기 패턴 빔 한정 어퍼쳐 및 상기 제 2 렌즈 사이에 위치하는 빔 편향기
    를 더 포함하는 리소그래피 장치.
  8. 제 7 항에 있어서,
    상기 빔 편향기는 이중 편향기이고, 상기 빔의 텔렉센트릭(telecentric) 스캐닝을 허용하는 것인 리소그래피 장치.
  9. 제 8 항에 있어서,
    상기 제 2 렌즈는 상기 제 2 렌즈의 유효 축이 스캐닝동안 상기 빔과 함께 근축으로(paraxially) 이동하게 하는 것인 리소그래피 장치.
  10. 제 1 항에 있어서,
    상기 패턴 빔 한정 어퍼쳐는 상기 제 2 렌즈에 의해 포커싱될 수 있는 상기 실질적인 층류의 빔 중 대부분의 하전 입자를 상기 레지스트 도포된 기판의 상기 표면에서 상기 미리 설정된 빔 프로파일 내로 투과하는 것인 리소그래피 장치.
  11. 제 1 항에 있어서,
    상기 패턴 빔 한정 어퍼쳐는 패턴 전도성 물질(patterned conductive material)로 이루어지는 것인 리소그래피 장치.
  12. 제 1 항에 있어서,
    상기 패턴 빔 한정 어퍼쳐는 연속 하전 입자 투명 멤브레인(continuous charged-particle-transparent membrane)에 의해 지지되는 패턴 후막(thick film)으로 이루어지는 것인 리소그래피 장치.
  13. 제 1 항에 있어서,
    상기 하전 입자는 전자(electron)인 것인 리소그래피 장치.
  14. 제 1 항에 있어서,
    상기 패턴 빔 한정 어퍼쳐는 비원형(non-circular)의 성형 빔을 생성하는 것인 리소그래피 장치.
  15. 제 1 항에 있어서,
    상기 패턴 빔 한정 어퍼쳐는 정방형 성형 빔(square shaped beam)을 생성하는 것인 리소그래피 장치.
  16. 레지스트 도포된 기판을 패터닝하는 리소그래피 장치에 있어서,
    하전 입자 빔을 생성하는 하전 입자 소스(charged particle source),
    상기 소스 아래에 위치하고, 상기 하전 입자 빔을 실질적인 층류의 하전 입자 빔으로 형성하는 제 1 렌즈,
    상기 제 1 렌즈 아래에 위치하고, 상기 레지스트 도포된 기판을 이동시키는 스테이지(stage),
    상기 제 1 렌즈 및 상기 스테이지 사이에 위치하고, 상기 실질적인 층류의 하전 입자 빔을 상기 레지스트 도포된 기판의 표면 상에 포커싱시키는 제 2 렌즈 및
    상기 제 1 렌즈 및 상기 제 2 렌즈 사이에 위치하고, 상기 레지스트 도포된 기판의 표면에서 미리 설정된 빔 프로파일 내로 상기 제 2 렌즈에 의해 포커싱될 수 없는 상기 실질적인 층류의 빔 중 대부분의 하전 입자를 차단하는 다수의 패턴 빔 한정 어퍼쳐(patterned beam-defining aperture)
    를 포함하는 리소그래피 장치.
  17. 제 16 항에 있어서,
    상기 다수의 패턴 빔 한정 어퍼쳐는 상기 제 2 렌즈에 의해 포커싱될 수 있는 상기 실질적인 층류의 빔 중 대부분의 하전 입자를 상기 레지스트 도포된 기판의 상기 표면에서 상기 미리 설정된 빔 프로파일로 투과하는 것인 리소그래피 장치.
  18. 제 16 항에 있어서,
    모든 상기 다수의 패턴 빔 한정 어퍼쳐는 상기 장치의 광 축을 따라 서로 축 방향으로 분리되고, 모든 상기 어퍼쳐는 상기 빔에 작용하여 상기 레지스트 도포된 기판의 상기 표면에서 상기 미리 설정된 빔 프로파일을 생성하는 것인 리소그래피 장치.
  19. 제 18 항에 있어서,
    상기 다수의 패턴 빔 한정 어퍼쳐는 2개의 패턴 빔 한정 어퍼쳐인 것인 리소그래피 장치.
  20. 제 16 항에 있어서,
    상기 다수의 패턴 빔 한정 어퍼쳐는 하나의 어퍼쳐 블레이드 상에 위치하고, 상기 어퍼쳐 블레이드는 상기 다수의 패턴 빔 한정 어퍼쳐 중 어느 하나에 상기 빔의 삽입을 허용하는 것인 리소그래피 장치.
  21. 하전 입자 경통에서의 패턴 빔 한정 어퍼쳐에서,
    상기 어퍼쳐 및 상기 경통은 상기 어퍼쳐의 평면에서의 점 대 상기 경통의 목표 평면(object plane)의 N 대 1 맵핑을 제공하고, N은 1보다 큰 정수이고, 상기 어퍼쳐는 비원형(non-circular)의 성형 빔을 생성하는 것인 패턴 빔 한정 어퍼쳐.
  22. 제 21 항에 있어서,
    상기 어퍼쳐는 상기 경통의 상기 목표 평면에서 바람직한 빔 프로파일에 기여하지 않는 대부분의 하전 입자를 제외시키는 것인 패턴 빔 한정 어퍼쳐.
  23. 제 21 항에 있어서,
    상기 어퍼쳐는 상기 경통에서 빔 블랭커(beam blanker) 아래에 위치하는 것인 패턴 빔 한정 어퍼쳐.
  24. 제 23 항에 있어서,
    상기 패턴 빔 한정 어퍼쳐는 블랭킹(blanking) 어퍼쳐로서도 기능하는 것인 패턴 빔 한정 어퍼쳐.
  25. 제 21 항에 있어서,
    상기 패턴 빔 한정 어퍼쳐는 상기 목표 평면에서 정방형 성형 빔을 생성하는 것인 패턴 빔 한정 어퍼쳐.
  26. 제 21 항에 있어서,
    N은 2인 것인 패턴 빔 한정 어퍼쳐.
  27. 성형 하전 입자 빔(shaped charged particle beam)의 생성을 위한 하전 입자 경통에서의 패턴 빔 한정 어퍼쳐를 디자인하는 방법에 있어서,
    상기 하전 입자 경통에 대한 하전 입자 궤적(trajectory)을 계산하는 단계,
    상기 궤적이 상기 하전 입자 경통의 목표 평면(object plane)에서 바람직한 빔 프로파일 내로 도달하는지 여부를 판단하는 단계 및
    상기 목표 평면에서 상기 바람직한 빔 프로파일에 기여하지 않는 상기 궤적 모두를 차단하는 이상적인 패턴 빔 한정 어퍼쳐를 정의하는 단계
    를 포함하는 패턴 빔 한정 어퍼쳐 디자인 방법.
  28. 제 27 항에 있어서,
    상기 계산하는 단계는
    다수의 궤적의 세트(set)를 계산하는 단계
    를 포함하고,
    각각의 궤적의 세트는 상기 목표 평면에서 스캔 필드의 서로 다른 빔 위치에 대응하는 것인 패턴 빔 한정 어퍼쳐 디자인 방법.
  29. 제 28 항에 있어서,
    하전 입자 투명 영역(charged particle transparent region)을 정의하기 위해 패턴 빔 한정 어퍼쳐의 평면과 상기 다수의 궤적의 세트의 교차점을 맵핑하는 단계
    를 더 포함하고,
    상기 정의하는 단계는 상기 하전 입자 투명 영역을 상기 이상적인 패턴 빔 한정 어퍼쳐로 통합시키는 단계를 더 포함하는 것인 패턴 빔 한정 어퍼쳐 디자인 방법.
  30. 제 27 항에 있어서,
    실현가능한 패턴 빔 한정 어퍼쳐를 생성하는 단계
    를 더 포함하고,
    상기 실현가능한 어퍼쳐는 상기 이상적인 패턴 빔 한정 어퍼쳐에 유사한 근사이고, 상기 바람직한 빔 프로파일에 기여하지 않는 대부분의 하전 입자 궤적은 상기 실현가능한 어퍼쳐에 의해 차단되는 것인 패턴 빔 한정 어퍼쳐 디자인 방법.
  31. 제 27 항에 있어서,
    실현가능한 패턴 빔 한정 어퍼쳐를 생성하는 단계
    를 더 포함하고,
    상기 실현가능한 어퍼쳐는 상기 이상적인 패턴 빔 한정 어퍼쳐에 유사한 근사이고, 상기 바람직한 빔 프로파일에 기여하는 대부분의 상기 하전 입자 궤적은 상기 실현가능한 어퍼쳐를 통과하게 되는 것인 패턴 빔 한정 어퍼쳐 디자인 방법.
  32. 제 31 항에 있어서,
    상기 바람직한 빔 프로파일에 기여하지 않는 대부분의 상기 하전 입자 궤적은 상기 실현가능한 어퍼쳐에 의해 차단되는 것인 패턴 빔 한정 어퍼쳐 디자인 방법.
  33. 제 31 항에 있어서,
    상기 실현가능한 어퍼쳐는 상기 이상적인 어퍼쳐에 없는 추가적인 구조를 포함하고, 상기 구조는 기계적 안정성(mechanical integrity)을 제공하는 것인 패턴 빔 한정 어퍼쳐 디자인 방법.
  34. 제 31 항에 있어서,
    상기 실현가능한 어퍼쳐는 패턴 전도성 물질로 이루어지는 것인 패턴 빔 한정 어퍼쳐 디자인 방법.
  35. 제 31 항에 있어서,
    상기 실현가능한 어퍼쳐는 연속 하전 입자 투명 멤브레인(continuous charged-particle-transparent membrane)에 의해 지지되는 패턴 후막(thick film)으로 이루어지는 것인 패턴 빔 한정 어퍼쳐 디자인 방법.
  36. 제 27 항에 있어서,
    상기 하전 입자는 전자(electron)인 것인 패턴 빔 한정 어퍼쳐 디자인 방법.
  37. 제 27 항에 있어서,
    상기 계산하는 단계는 상기 하전 입자 궤적을 생성하기 위하여 광선 추적(ray-tracing)의 수치적 방법을 사용하는 단계를 포함하는 것인 패턴 빔 한정 어 퍼쳐 디자인 방법.
  38. 제 27 항에 있어서,
    상기 계산하는 단계는 상기 하전 입자 궤적을 생성하기 위하여 상기 경통의 분석 모델(analytical model)을 사용하는 단계를 포함하는 것인 패턴 빔 한정 어퍼쳐 디자인 방법.
  39. 하전 입자 경통(charged particle column)에서 패턴 빔 한정 어퍼쳐(patterned beam-defining aperture)의 위치를 최적화하는 방법에 있어서,
    (a) 상기 하전 입자 경통에 대한 하전 입자 궤적(trajectory)을 계산하는 단계,
    (b) 상기 궤적이 상기 하전 입자 경통의 물체 평면에서 바람직한 빔 프로파일 내로 도달하는지 여부를 판단하는 단계,
    (c) 상기 물체 평면에서 상기 바람직한 빔 프로파일에 기여하지 않는 상기 궤적의 모두를 차단하는 이상적인 패턴 빔 한정 어퍼쳐를 정의하는 단계,
    (d) 상기 이상적인 패턴 빔 한정 어퍼쳐에 유사한 근사인 실현가능한 패턴 빔 어퍼쳐를 정의하고, 상기 바람직한 빔 프로파일에 기여하지 않는 상기 하전 입자 궤적의 대부분은 상기 실현가능한 어퍼쳐에 의해 차단되는 것인 상기 실현가능 한 패턴 빔 어퍼쳐를 정의하는 단계,
    (e) 상기 경통에서 상기 패턴 빔 한정 어퍼쳐의 서로 다른 위치에 대해 상기 (a) 단계 내지 (d) 단계를 수행하는 단계 및
    (f) 상기 목표 평면에서 상기 실현가능한 패턴 빔 한정 어퍼쳐에 의해 생성된 빔 프로파일이 상기 목표 평면에서 상기 바람직한 빔 프로파일에 가장 근사한 위치인, 상기 패턴 빔 한정 어퍼쳐에 대한 최적 위치를 선택하는 단계
    를 포함하는 패턴 빔 한정 어퍼쳐의 위치 최적화 방법.
  40. 제 39 항에 있어서,
    상기 선택하는 단계는 상기 실현가능한 어퍼쳐의 제조성(manufacturability)에 대한 고려를 포함하는 것인 패턴 빔 한정 어퍼쳐의 위치 최적화 방법.
  41. 제 39 항에 있어서,
    상기 선택하는 단계는 상기 목표 평면에서 상기 하전 입자 빔의 전류 밀도에 대한 고려를 포함하는 것인 패턴 빔 한정 어퍼쳐의 위치 최적화 방법.
  42. 제 39 항에 있어서,
    상기 선택하는 단계는 상기 목표 평면에서 상기 하전 입자 빔의 전류 밀도의 프로파일에 대한 고려를 포함하는 것인 패턴 빔 한정 어퍼쳐의 위치 최적화 방법.
  43. 제 39 항에 있어서,
    상기 선택하는 단계는 하전 입자 충격(bombardment)으로 인한 상기 실현가능한 어퍼쳐의 가열에 대한 고려를 포함하는 것인 패턴 빔 한정 어퍼쳐의 위치 최적화 방법.
KR1020087026237A 2008-10-27 2006-03-27 고전류 밀도 패턴 하전 입자 빔의 생성을 위한 광학계 KR20090008283A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020087026237A KR20090008283A (ko) 2008-10-27 2006-03-27 고전류 밀도 패턴 하전 입자 빔의 생성을 위한 광학계

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020087026237A KR20090008283A (ko) 2008-10-27 2006-03-27 고전류 밀도 패턴 하전 입자 빔의 생성을 위한 광학계

Publications (1)

Publication Number Publication Date
KR20090008283A true KR20090008283A (ko) 2009-01-21

Family

ID=40488548

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087026237A KR20090008283A (ko) 2008-10-27 2006-03-27 고전류 밀도 패턴 하전 입자 빔의 생성을 위한 광학계

Country Status (1)

Country Link
KR (1) KR20090008283A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140070452A (ko) * 2012-11-30 2014-06-10 캐논 가부시끼가이샤 묘화 장치, 및 물품의 제조 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140070452A (ko) * 2012-11-30 2014-06-10 캐논 가부시끼가이샤 묘화 장치, 및 물품의 제조 방법

Similar Documents

Publication Publication Date Title
US7786454B2 (en) Optics for generation of high current density patterned charged particle beams
JP4835897B2 (ja) 帯電粒子マルチビーム露光装置
TWI650550B (zh) 用於高產量電子束檢測(ebi)的多射束裝置
EP1160824B1 (en) Illumination system for charged-particle lithography apparatus
EP2402979B1 (en) Projection lens arrangement
JP5408674B2 (ja) 投影レンズ構成体
US8445869B2 (en) Projection lens arrangement
US8502176B2 (en) Imaging system
NL2003304C2 (en) Compensation of dose inhomogeneity and image distortion.
US9653259B2 (en) Method for determining a beamlet position and method for determining a distance between two beamlets in a multi-beamlet exposure apparatus
KR101476389B1 (ko) 전자빔 묘화 장치 및 전자빔 묘화 방법
JP2002319532A (ja) 荷電粒子線露光装置及びデバイスの製造方法並びに荷電粒子線応用装置
JP4077933B2 (ja) マルチ電子ビーム露光方法及び装置、ならびにデバイス製造方法
US6815698B2 (en) Charged particle beam exposure system
JP2013219085A (ja) 描画装置、描画方法、および、物品の製造方法
JP2019186140A (ja) マルチ荷電粒子ビーム照射装置及びマルチ荷電粒子ビーム照射方法
JP3983238B2 (ja) 電子ビーム描画装置
JP2007123599A (ja) 荷電粒子線レンズアレイ及び該レンズアレイを用いた荷電粒子線露光装置
TWI658487B (zh) 多帶電粒子束裝置及用於觀測樣品表面之方法
US20030010934A1 (en) Lens array for electron beam lithography tool
KR20090008283A (ko) 고전류 밀도 패턴 하전 입자 빔의 생성을 위한 광학계
WO2007111603A1 (en) Optics for generation of high current density patterned charged particle beams
TWI729368B (zh) 源轉換單元、多射束裝置及組態多射束裝置之方法
JP2004134503A (ja) 電子ビーム描画装置及び電子ビーム描画方法
JP6754481B2 (ja) マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination