JP2014146789A - 半導体デバイス内のゲート構造の有効仕事関数を調整する方法 - Google Patents

半導体デバイス内のゲート構造の有効仕事関数を調整する方法 Download PDF

Info

Publication number
JP2014146789A
JP2014146789A JP2013256921A JP2013256921A JP2014146789A JP 2014146789 A JP2014146789 A JP 2014146789A JP 2013256921 A JP2013256921 A JP 2013256921A JP 2013256921 A JP2013256921 A JP 2013256921A JP 2014146789 A JP2014146789 A JP 2014146789A
Authority
JP
Japan
Prior art keywords
gate structure
layer
plasma
semiconductor device
exposing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2013256921A
Other languages
English (en)
Inventor
Kauerauf Thomas
トーマス・カウエラウフ
Spessot Alessio
アレッシオ・スペソット
Caillat Christian
クリスチャン・カイヤ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Interuniversitair Microelektronica Centrum vzw IMEC
Original Assignee
Interuniversitair Microelektronica Centrum vzw IMEC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Interuniversitair Microelektronica Centrum vzw IMEC filed Critical Interuniversitair Microelektronica Centrum vzw IMEC
Publication of JP2014146789A publication Critical patent/JP2014146789A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28176Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Electromagnetism (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

【課題】半導体デバイスにおいてゲート構造の有効仕事関数を調整する方法を提供。
【解決手段】ゲート構造は、金属層203と、半導体デバイスの活性層から金属層203を分離するhigh−k誘電体層201とを備える。配線構造は、少なくともプレメタル誘電体層3を含む積層体を備え、プレメタル誘電体層3は、プレメタル誘電体層3を通じてゲート構造に接続された金属充填接続ビアを有し、配線構造は上側露出金属部分7を有する。上側露出金属部分7の少なくとも一部を所定の曝露条件下のプラズマ5に曝露し、ゲート構造の有効仕事関数を調整する。
【選択図】図1

Description

本開示は、トランジスタデバイス内のゲート構造の有効仕事関数(effective work function)を調整する方法に関し、トランジスタデバイスは集積回路の一部であり、ゲート構造は、金属層と、トランジスタデバイスの活性層から金属層を分離するhigh−k(高誘電率)誘電体層とを備える。
high−k/金属ゲートMOSFET技術のフレームワークにおいては、high−kゲート誘電体が標準のSiO誘電体に対してより大きい界面電荷と固定電荷を有することがよく知られている。これは、デバイスのしきい値電圧(Vt)および移動度に悪影響を与え、さらにデバイスの信頼性に悪影響を与える。
低Vtプロセスを達成するため、先行技術においては、好適な金属ゲート電極を選択し、かつ/または、好適なキャップ層および/またはゲート埋め込みプロセスを使用することがある。好適なキャップ層および/または好適なゲート埋め込みプロセスは、物理的または化学的アプローチに基づいており、酸素空孔の低下または追加の双極子の生成を目的とする。
high−k/金属ゲートトランジスタデバイスの有効仕事関数を調整する、改善された代替の方法に対するニーズが存在する。
本開示の目的は、半導体デバイス(例えばトランジスタやキャパシタデバイスのような)におけるゲート構造の有効仕事関数を調整する方法を提供することである。ここで、半導体デバイスは集積回路の一部であり、ゲート構造は金属層とhigh−k誘電体層を含むものである。また通常、high−k誘電体層は半導体デバイスの活性層から金属層を分離するものである。
これは、
ゲート構造の上に集積回路の配線(interconnect)構造を設ける工程、ここで配線構造は、少なくともプレメタル(premetal)誘電体層を含む積層体を備え、プレメタル誘電体層は、当該プレメタル誘電体層を通じてゲート構造に接続された金属充填接続ビアを有し、配線構造は上側露出金属部分(upper exposed metal layer portion)を有し、
続いて、上側露出金属部分の少なくとも一部を所定の曝露条件下のプラズマ(調整用プラズマ(tuning plasma)と呼ばれる)に曝露し、ゲート構造の有効仕事関数を調整する工程を含む方法を用いて、本開示に従って達成される。
上側露出金属部分の少なくとも一部を曝露し、場合によっては現在の技術水準にある他の方法と組み合わせることにより、high−k誘電体材料のバルク内のトラップ(trap)を意図的に充填できることがわかっている。
本開示の態様に係る方法を他の現技術水準の(state of the art)標準的な方法と組合せ、Vtの調整とその制御が総合的に改善されることは好都合である。
当該現技術水準の方法は、例えば、
−high−k誘電体(例えばNMOSデバイスについてはLa、PMOSデバイスについてはAl)の上方または下方へのキャップ層の堆積、および/または、
−金属ゲート堆積直後のイオン注入、および/または、
−好適な金属ゲート材料と堆積プロセスを選択する工程を含む。
プラズマにより、帯電させ(charge)、かつ/またはゲート構造のhigh−k誘電体の固定トラップ(fixed trap)の性質を変更するアンテナ駆動(antenna-driven)の電子運動が生じる(実際にドーピングプロセスを実施することなく)。当該プロセスの背後にある物理的メカニズムは、静電学により推進され(driven)、それゆえ電子運動のみを伴うと強く考えられている。間接的な結果として原子を再配置させることができる最終誘起された(finally induced)双極子場により、最終のhigh−k原子の格子配置を変更し、Vtの制御を改善させることができると考えられている可能性もある。
トランジスタの製造プロセスが、予め決定されまたは調整可能であるプラズマ処理工程、例えば金属エッチングプラズマ工程を通常は既に含んでおり、さらに所定のVt値を与えることは好都合である。
本発明の種々の実施形態では、しきい値電圧(Vt)、有効仕事関数(WFeff)または使用する材料の物理特性(例えば仕事関数(WF)、フェルミレベルなど)のような半導体デバイスのパラメータに言及している。この明細書を通して使用する定義について以下にまとめる。
MOSFETデバイスにおいて、ゲート構造では、チャネルに電気伝導させるためにしきい値電圧(Vt)が必要となる。相補型MOS(CMOS)のプロセスにより、nチャネルとpチャネル(NMOSとPMOSそれぞれ)トランジスタの両方が製造される。しきい値電圧Vtは、いわゆる有効仕事関数差(ΔWFeff)により影響を受ける。しきい値電圧(Vt)の値を確立する(establish)ため、PMOSおよびNMOSゲート材料(ゲートスタック)とそれらに対応するチャネル領域は、ゲート加工(processing)とチャネル加工を通じて独立して規定される。換言すると、ゲート誘電体(すなわち、ホスト誘電体(host dielectric)と場合によっては種々のキャップ層からなる)とゲート電極(すなわち、少なくとも1つの金属層からなる)の両方が、ゲートスタック(デバイス)の有効仕事関数(WFeff)を決定する。さらに、ゲート加工自体(すなわち、種々のプロセスおよび/または行われる熱処理のシーケンス)が、ゲートスタック(デバイス)の有効仕事関数(WFeff)に影響を与えることがある。
ゲートスタック(デバイス)の有効仕事関数(WFeff)は、ゲート誘電体材料、ゲート電極材料の選択と、行われるゲート加工とにより調整(調節/変更)可能なパラメータである。これに対して、ゲート電極(しばしば金属ゲート電極や金属層、金属制御電極とも呼ばれる)の仕事関数(WF)は、材料固有の特性である。一般に、特定材料(すなわち金属層)の仕事関数は、電子が初期的にフェルミレベルにあるとして、材料内の電子を材料から真空中へ移動させるために必要とされる電子ボルト(eV)単位のエネルギの測定値である。ゲート電極の仕事関数は、成膜直後(as-deposited)の仕事関数や、材料の固有仕事関数と呼ぶこともできる。
シリコン基板の場合、ネガティブチャネル型MOSFET(あるいはNMOS)のゲート電極であれば、約4.1eV(+/−0.3eV)のn型仕事関数を有し、ポジティブチャネル型MOSFET(あるいはPMOS)のゲート電極であれば、約5.2eV(+/−0.3eV)のp型仕事関数を有するであろう。
high−k誘電体は、誘電率(k)がSiOの誘電率(k>3.9)より大きいことを特徴とする誘電体である。high−k誘電体により、同じ有効キャパシタンス(effective capacitance)を得るための物理膜厚(SiOと比較した)が、はるかに薄いSiO層を用いて得られるよりも大きくなる。high−k誘電体は、通常、HfO、HfSi酸化物、HfSiN、ZrO、またはドープされたHf金属酸化物、TaO、Alまたはその任意の組合せを含むが、当業者に好適であることが知られた現技術水準の他のいずれかのhigh−k材料であってもよい。
金属ゲートの金属としては、当業者に既知である現技術水準のいずれかの材料が可能である。より一般的には、ウエハと半導体デバイスについての任意の既知の材料系が、本発明の態様に係る方法によって調整可能であることが期待される。
本発明の特定の実施形態によれば、high−k誘電体層は、シリコン酸化物含有層またはシリコン酸化物層により、トランジスタデバイスの活性層からさらに分離する。
調整用プラズマは、例えば、1mTorrから100mTorrの圧力を含むことができる。調整用プラズマは、例えば1Wから5000WのバイアスRF電力を有することができる。プラズマへの曝露は、例えば1秒から1000秒の時間を有することができる。それは、例えばN、Ar、CF、Cl、BCl、He、Oのようなガスを含むことができる。調整用プラズマを適用する前に、例えばHe、NHなどへの曝露のような前処理を行うことができる。上記パラメータ幅は、例であって、指針値(indicative value)に過ぎない。これらのパラメータのより大きい値または小さい値は、必ずしも除外されない。
本発明の好ましい実施形態によれば、この方法は、
上側露出金属部分の上に調整層を設ける工程、
調整層を通じて、上側露出金属部分の一部をプラズマに曝露する工程をさらに含む。
調整層は、例えばフォトレジストまたはハードマスク層(例えばアモルファス炭素、SiO、SiONなど)を含んでもよい。
配線構造に使用される金属は、例えば銅またはアルミニウムであり、あるいは銅またはアルミニウムを含む。
本発明の好ましい実施形態によれば、
上側露出金属部分の少なくとも一部を所定の曝露条件下のプラズマに曝露し、ゲート構造の有効仕事関数を調整する工程は、ゲート構造への接続を除き、配線構造をさらに基板に電気的に接続する前に実施する。
本発明の好ましい実施形態によれば、
上側露出金属部分の少なくとも一部を所定の曝露条件下のプラズマに曝露し、ゲート構造の有効仕事関数を調整する工程は、ゲート構造の充分上方に位置する領域内で実施する。
本発明の好ましい実施形態によれば、
プラズマは、電荷を発生させ、かつ、ゲート構造を通じて配線構造からゲート構造のhigh−k誘電体層に向けて誘導するように適合している。
本発明の好ましい実施形態によれば、
プラズマへ曝露する工程は、イオンビームを直接に照射する工程を含む。
本発明の好ましい実施形態によれば、この方法は、
上側露出金属部分の少なくとも第2部分をエッチングプラズマに曝露して、上側露出金属部分の一部をエッチングする工程をさらに含む。
第1部分と第2部分とは異なっていてもよい、すなわち非同一部分(disjoint parts)であってもよい。エッチングプラズマと調整用プラズマとは異なるプラズマであってもよい。
本発明の好ましい実施形態によれば、
ゲート構造の有効仕事関数を調整するために使用するプラズマ(調整用プラズマ)とエッチングプラズマとは同一である。換言すると、配線構造の上側露出金属部分の第2部分をエッチングするように、かつ、上側露出金属部分の第1部分を曝露してゲート構造の有効仕事関数を調整するように適合した単一のプラズマを使用する。
本発明の好ましい実施形態によれば、
上側露出金属部分は、金属充填接続ビアの上面の少なくとも一部に対応する。
本発明の好ましい実施形態によれば、
配線構造を設ける工程は、プレメタル誘電体層の上に第1パターン化金属配線層を設ける工程をさらに含み、
上側露出金属部分の少なくとも一部を曝露する工程は、第1パターン化配線層の少なくとも一部を曝露する工程を含む。
本発明の好ましい実施形態によれば、
配線構造を設ける工程は、各パターン化配線層と、少なくとも1つの各金属充填接続ビアを備えた各配線誘電体層との組合せの繰返しを少なくとも1つ設ける工程をさらに含み、
上側露出金属部分の少なくとも一部を曝露する工程は、各金属充填接続ビアの上面の少なくとも一部を曝露する工程を含む。
本発明の好ましい実施形態によれば、
配線構造を設ける工程は、プレメタル誘電体層の上に第1パターン化金属配線層を設ける工程、少なくとも1つの各金属充填接続ビアを備えた各配線誘電体層と各パターン化配線層との組合せの繰返しを少なくとも1つ設ける工程をさらに含み、
上側露出金属部分の少なくとも一部を曝露する工程は、上側パターン化配線層の少なくとも一部を曝露する工程を含む。
本発明の第2の態様に係る、
第1型を有する半導体デバイスと第2型を有する半導体デバイスのゲート構造の有効仕事関数を併せて調整する方法であって、
a.第1型を有する半導体デバイスのために、第1の態様のいずれかの実施形態に係る方法を実施する工程、
b.第2型を有する半導体デバイスのために、第1の態様のいずれかの実施形態に係る方法を実施する工程を含み、
各上側露出金属部分の各パーツを各プラズマへ曝露して各ゲート構造の有効仕事関数を調整する工程を、独立して実施する方法が開示されている。
本発明の第3の態様に係る、
第1導電型を有する半導体デバイスと第2導電型を有する半導体デバイスのゲート構造の有効仕事関数を併せて調整する方法であって、
a.第1導電型を有する半導体デバイスのために、第1の態様のいずれかの実施形態に係る方法を実施する工程、
b.第2導電型を有する半導体デバイスのために、第1の態様のいずれかの実施形態に係る方法を実施する工程を含み、
単一のプラズマを使用し、1つまたは2つの各調整層と一緒に調節して、露出金属部分の各パーツを同時に露出している間に、第1導電型を有するゲート構造の有効仕事関数と、第2導電型を有するゲート構造の有効仕事関数を調整する方法が開示されている。
好ましい実施形態によれば、この方法は、
第1導電型を有する半導体デバイスのために、上側露出金属部分の上に調整層を設ける工程、調整層を通じて、上側露出金属部分の一部をプラズマに曝露する工程を含み、
第2導電型を有する半導体デバイスのために、上側露出金属部分の上に調整層を設ける工程、調整層を通じて、上側露出金属部分の一部をプラズマに曝露する工程を含まない。
本発明の第2の態様と第3の態様の説明では、第1型の半導体デバイス、例えばトランジスタまたはキャパシタは、PMOSトランジスタまたはNMOSトランジスタであってもよい。また、第2型のトランジスタは、NMOSトランジスタまたはPMOSトランジスタであってもよい。したがって、第1導電型は、第2導電型とは異なっていてもよい(具体的には、反対であってもよい)。あるいは、第1型を有する半導体デバイスは、第1導電型を有する半導体デバイスであってもよく、第2型を有する半導体デバイスは、第1導電型とは異なっていてもよい。両方のデバイスが、両方の型を有してもよい。すなわち、それらはNMOSであってもよいし、PMOSであってもよい。
本開示は、以下の説明と添付の図面により、さらに明らかになるであろう。
本開示の種々の実施形態を示す。 本開示の種々の実施形態を示す。 本開示の種々の実施形態を示す。 本開示の種々の実施形態を示す。 本開示の種々の実施形態を示す。 本開示の種々の実施形態を示す。 本開示の態様を支持する電気的結果であり、Vtシフトの効率を示す。 本開示の態様を支持する電気的結果であり、Vtシフトの効率を示す。 本開示の態様を支持する電気的結果であり、デバイスの残りの部分に悪影響を与えないで本開示の態様に係る方法を実施できること、本発明の態様に係る方法の信頼性が高いことを示す。 本開示の態様を支持する電気的結果であり、デバイスの残りの部分に悪影響を与えないで本開示の態様に係る方法を実施できること、本発明の態様に係る方法の信頼性が高いことを示す。 本開示の態様を支持する電気的結果であり、デバイスの残りの部分に悪影響を与えないで本開示の態様に係る方法を実施できること、本発明の態様に係る方法の信頼性が高いことを示す。
特定の図面を参照しつつ特定の実施形態について説明することになるが、本開示はこれに限定されることなく、特許請求の範囲によってのみ限定される。記載した図面は、単に概略的であって非限定的である。図面においては、説明目的で幾つかのエレメントの大きさを誇張し、スケール通り描いていないことがある。寸法と相対寸法は、本開示を実施するための実際の縮小には必ずしも対応しない。
さらに、説明および特許請求の範囲での用語「第1」「第2」「第3」などは、類似のエレメントを区別するために用いており、必ずしもシーケンス順、時間順を説明するために用いているのではない。当該用語は好適な状況下で交換可能であって、本開示の実施形態は、本明細書で説明または図示した以外のシーケンスで動作可能である。
さらに、説明および特許請求の範囲での用語「上」(top)、「下」(bottom)、「〜の上方に」(over)、「〜の下方に」(under)などは、説明目的で用いており、必ずしも相対位置を説明するためには用いていない。当該用語は好適な状況下で交換可能であって、本開示の実施形態は、本明細書で説明または図示した以外の向きで動作可能である。
さらに、種々の実施形態は、「好ましい」とするものであっても、本開示の範囲を限定することなく本開示を実施可能である例示的な態様として解釈するものとする。
特許請求の範囲で用いる用語「備える、有する、含む」(comprising)は、それ以降に列挙するエレメントまたは工程に限定されるものであると解釈しないものとする。それは、他のエレメントまたは工程を除外しない。それは、上記特徴、整数、工程またはコンポーネントの存在を特定するものであると解釈する必要があるが、追加の1つまたは複数の他の特徴、整数、工程もしくはコンポーネントまたはこれらのグループの存在を除外しない。
したがって、「AとBとを備えたデバイス」という表現の範囲は、コンポーネントAとBのみからなるデバイスに限定されないものとし、デバイスの列挙したコンポーネントがAとBのみであって、請求項はこれらのコンポーネントの等価物を含むと解釈するものとする。
図1から図6は、本開示の代替の実施形態を示す。
図1は、本発明の態様に係る第1の実施形態を示す。シリコンバルクウエハまたは基板(あるいは、現技術水準での任意の半導体ウエハまたは基板であってもよい)の上で、例えばトランジスタ(以下の説明で用いる)またはキャパシタデバイスのような半導体デバイスを設ける。実施形態において、「基板」は、シリコン、ガリウム砒素(GaAs)、ガリウムヒ素リン(GaAsP)、インジウムリン(InP)、ゲルマニウム(Ge)、シリコンゲルマニウム(SiGe)基板のような半導体基板を含んでもよい。「基板」は、半導体基板部分に加えて、例えばSiOまたはSiのような絶縁層を含んでもよい。したがって、基板という用語は、シリコンオンガラス基板、シリコンオンサファイア基板も含む。このように、用語「基板」を使用して、対象の層または部分の下位にある層のためのエレメントを一般的に規定している。また、「基板」は、上に層が形成される他の任意のベース、例えばガラスや金属層であってもよい。したがって、基板は、ブランケットウエハなどのウエハであってもよく、あるいは他のベース材料に付加される層、例えば下位層の上に成長したエピタキシャル層であってもよい。
基板には、例えばシャロートレンチアイソレーション(STI)構造104を設ける。当該構造104は、隣接する複数のトランジスタを電気的に分離し、誘電体材料、例えばシリコン酸化物により充填された基板内トレンチを有するのが一般的である。現技術水準の方法に従って、ソースおよびドレインジャンクション101、ならびにソースおよびドレインコンタクト102,103を設ける。金属層203と、前記半導体デバイスの活性層(例えばチャネル層またはチャネル領域)から前記金属層203(金属ゲート)を分離するhigh−k誘電体層201とを備えたゲート構造を設ける。活性層とhigh−k誘電体層201との間に、界面酸化物200が存在してもよい。界面酸化物200は、通常はスペーサ202により画定される(delimited)。通常、金属ゲート203の上にシリサイド層204を設ける。プレメタル誘電体3を設ける。コンタクト4(ソースコンタクト、ドレインコンタクトおよびゲートコンタクトを含む)を、プレメタル誘電体3を通って上側露出金属部分7を形成する基材(substratem)上面に接する金属充填ビアとして設ける。配線構造の上側露出部分7、この場合には金属充填ビアにより具現されるスルーコンタクトの上面の少なくとも一部(第1部分)を好適なプラズマ5に曝露することにより、トランジスタデバイスのVtを調整あるいはトリミング(trim)できる。
プラズマ処理5により、帯電させ、かつ/またはゲート構造のhigh−k誘電体の固定トラップの性質を変更するアンテナ駆動の電子運動が生じる(実際にドーピングプロセスを実施することなく)。当該プロセスの背後にある物理的メカニズムは、静電学により推進され、それゆえ電子運動のみを伴う。間接的な結果として原子を再配置させることができる最終誘起された双極子場により、最終のhigh−k原子の格子配置を変更し、Vtの制御を改善させることができると考えられている可能性もある。
図2は、本開示の更なる実施形態を示す。この実施形態は、図1に関して説明した実施形態と類似しているが、半導体デバイスの製造プロセスのより後半でプラズマへの曝露を実施する。この実施形態はさらに、第1金属配線層(当該技術分野では、「金属1」やM1層と呼ぶことが多い)を設ける工程を含む。第1金属配線層をパターニングし、配線構造の上側露出金属部分7を具現する。前記上側金属部分7の少なくとも一部を、所定曝露条件下でプラズマに曝露し、前記ゲート構造の有効仕事関数を調整する。
図3は、本発明の態様の更なる実施形態を示す。同じ基板100の上に、2つの同一のトランジスタ構造を設ける。プレメタル誘電体層内の金属充填ビアが、第1トランジスタ構造のゲートの方へ向かうゲートコンタクト4に対応する。プレメタル誘電体層内の別の金属充填ビアが、第2トランジスタ構造のゲートの方へ向かうゲートコンタクト4に対応する。複数のコンタクトの1つのみが調整層に覆われる。これは、種々のコンタクト4と4の上方でのプラズマの影響の調節に好適である。例えば、コンタクト4または4の一方のみの前面または露出面の上に調整層を設け、他方には設けないことにより、単一のプラズマを用いて種々のトランジスタ(例えばNMOSトランジスタとPMOSトランジスタ)で異なる効果を達成できる。制限的なケース(limit case)として、比較的厚い調整層6(例えば10nmより厚い)を有することにより、プラズマの影響を無くし、あるいはコンタクトの1つに制限でき、2つのコンタクトの他方への最大効果(full effect)を与えることができる。この場合、調整層を保護層として見ることもできる。あるいは、調整層の存在によりプラズマ効果を発生させることもでき、調整層に覆われていないコンタクトが、例えば印加されるプラズマの影響をまったく受けないようにしてもよい。さらに別の実施形態では、種々のコンタクトまたはトランジスタ構造に種々の調整層6を付加して、単一のプラズマについて種々のトランジスタ構造に種々の影響を与えることができる。調整層の厚さは、好ましくはゲート誘電体(high−k層および必要に応じて界面酸化物を含む)の厚さと同程度であり、最適な調整を達成するためには1nmから2nmが好ましい。
さらに別の実施形態では、種々のトランジスタデバイス/各ゲートコンタクトに対して種々の調整層6を付加でき、種々のトランジスタデバイス/各ゲートコンタクトに対して独立したプラズマ処理を適用できる。これは、例えば現技術水準での遮蔽技術を使用して達成できる。当該技術は、各非関心領域(non-concerned area)での種々の曝露による障害を除き、あるいは低下させる。
図4は、本発明の更なる実施形態を示す。トランジスタのソースとドレインも覆う連続膜として調整層6を付加する。
図5は、本発明の更なる実施形態を示す。この実施形態は、図4に関連して説明した実施形態に類似し、図2に関連して説明した実施形態をベースにしている。ここで、金属1(M1)配線層の一部は、当該分野で既知のM1配線誘電体層DM1を含み、調整層6に覆われる。ここで、トランジスタのソースとドレインも覆う連続膜として調整層を付加する。
図6は、本発明の更なる実施形態を示す。この実施形態は、図2に関連して説明した実施形態をベースにしている。ここで、ゲートコンタクト4の上方の領域で、調整層6をM1層に選択的に付加する。これは、例えば連続的調整層を堆積させ、パターニングすることにより実施できる。
図7から図11は、本開示の態様を支持する電気的結果(electrical result)を示す。図7、図8a,8bは、Vtシフトの効率を示す。図9から図11は、デバイスの残りの部分に悪影響を与えることなく本開示の態様に係る方法を実施可能であること、本発明の態様に係る方法は信頼性が高いことを示す。
この電気的結果は、次の材料系、
−シリコン基板、
−SiO界面酸化物層を備えたゲートスタック、
−high−k誘電体層としてのHfO(酸化ハフニウム)層、
−TiN(窒化チタン)金属電極、
−調整層としてのフォトレジスト層、
−W(タングステン)を含むプレメタル誘電体層中のビアメタル(「ビア0」(via 0))、
−第1金属配線レベル用のCu(銅)金属配線層(「金属1」)
をベースにしている。
当業者であれば同様の効果と利点を与える類似の材料系または他の材料系を特定できることが理解されるであろう。
図7は、プラズマ工程を経たデバイスについてのデータと経ていないデバイスについてのデータとを比較して、high−k金属ゲートN−MOSFETデバイスに対するプラズマの効果を証明する結果を示す。
図7の例では、同じプラズマ工程を経ると共に同じウエハ上に位置する2つの試験構造で比較を行っている。一方の試験構造は、ゲートに接続された保護ダイオードを備える。保護ダイオードはプラズマ誘導電流を基板に対して転流し(divert)、どのような影響をも妨げる(黒のトレース(trace):B)。他方の試験構造は保護ダイオードを備えない(グレーのトレース:A)。グラフは、一連のMOSFETの伝達特性(Id/Vg曲線)を示す。この図から、プラズマはデバイスのしきい値電圧(Vth)にのみ影響を及ぼし(曲線の横方向シフト)、サブスレッショルドスロープ(sub threshold slope)、移動度または飽和電流など他のデバイス特性には大きな影響を与えないことが判る。デバイスの形状は次の通り、すなわちチャネル幅=1μm、チャネル長=1μmである。
図8aで、保護されたN−MOSFETデバイス(右)と保護されていないN−MOSFETデバイス(左)のVthのウエハにわたる(across)概略的な比較を通じて、プラズマ工程の有効性がさらに実験的に示されている。保護されていないデバイスのVthは、保護ダイオードを有する基準デバイスと比較して約100mV低下する。
図8bでは、例えば上記例のように、例えばN型デバイスのみに影響を与えてP型デバイスに影響を与えないといったように、プラズマがデバイスの種類に固有なことがあることが示されている。この図は、図8aと同じプラズマ曝露CMOSウエハから得られた、保護ダイオードを有するPFET(右)と有しないPFET(左)のVthを示す。これは、2つのPMOSデバイスを区別できないことを明確に示しており、同じプラズマが効果を選択的に(selective effect)、NMOSには与えてPMOSには与えないことが可能なことを表している。
図9では、保護ダイオードを有する(黒のトレース:グラフ下側部分のB)または有しない(グレーのトレース:グラフ上側部分のA)図7と同じN型デバイスについて、ゲート誘電体スタックを流れるリーク電流(Ig/Vg)曲線の比較を行っている。これは、プラズマがゲートリークに悪影響をまったく与えないことを示している。2つの曲線群間の差は、異なるVthに主に起因し、ゲートスタックに加わる追加の劣化メカニズム(degradation mechanisms)の作用には起因しない。
プラズマ曝露されたN型デバイス(四角)とP型デバイス(三角形、円)であって保護ダイオードを有するものまたは有しないもの(図8a,8bと同様に)に対して行われた従来のバイアス温度不安定性(BTI)信頼性試験は、プラズマ工程がN型デバイス(Vthがプラズマにより影響を受ける)とP型デバイス(Vthがプラズマにより影響を受けない)の両方でBTIに悪影響を与えないことを実証している。これを図10に示している。さらに、従来の誘電破壊試験(TDDB、図示せず)および界面状態密度の抽出(図示せず)は、曝露されたデバイスと曝露されないデバイス(N型またはP型)の間の大きい差を示さなかった。これは、プラズマ工程が信頼性の問題をまったく引き起こさないことを表している。
さらに、図11において、プラズマ誘起されたVthのシフトに起因するメカニズムであるhigh−k内の固定トラップの帯電は、プラズマ曝露された図8と同様のN型デバイス(保護ダイオード無し)で実施される逆バイアス電圧負荷試験を通じて、安定であることが示されている。大量のN−MOSFETデバイスで測定された電圧負荷前(点のトレース)と電圧負荷後(三角形のトレース)に対応する2つの重なる積算曲線で示されるように、Vthの分布にはドリフト(drift)が観察されない。

Claims (14)

  1. 半導体デバイスにおいてゲート構造の有効仕事関数を調整する方法であって、前記半導体デバイスは集積回路の一部であり、前記ゲート構造は、金属層と、前記半導体デバイスの活性層から前記金属層を分離するhigh−k誘電体層とを備え、
    前記ゲート構造の上に前記集積回路の配線構造を設ける工程、ここで前記配線構造は、
    少なくともプレメタル誘電体層を含む積層体を備え、前記プレメタル誘電体層は、該プレメタル誘電体層を通じて前記ゲート構造に接続された金属充填接続ビアを有し、前記配線構造は上側露出金属部分を有し、
    続いて、前記上側露出金属部分の少なくとも一部を所定の曝露条件下のプラズマに曝露し、前記ゲート構造の有効仕事関数を調整する工程
    を含む方法。
  2. 前記上側露出金属部分の上に調整層を設ける工程、
    前記調整層を通じて、前記上側露出金属部分の一部を前記プラズマに曝露する工程
    をさらに含む、請求項1に記載の方法。
  3. 前記上側露出金属部分の少なくとも一部を所定の曝露条件下のプラズマに曝露し、前記ゲート構造の有効仕事関数を調整する工程は、前記ゲート構造への接続を除き、前記配線構造をさらに基板に電気的に接続する前に実施する、請求項1または2に記載の方法。
  4. 前記上側露出金属部分の少なくとも一部を所定の曝露条件下のプラズマに曝露し、前記ゲート構造の有効仕事関数を調整する工程は、前記ゲート構造の充分上方に位置する領域内で実施する、請求項1から3のいずれか1項に記載の方法。
  5. 前記調整のためのプラズマは、電荷を発生させ、かつ、前記ゲート構造を通じて前記配線構造から前記ゲート構造のhigh−k誘電体層に向けて誘導するように適合した、請求項1から4のいずれか1項に記載の方法。
  6. 前記プラズマへ曝露する工程は、イオンビームを直接に照射する工程を含む、請求項1から5のいずれか1項に記載の方法。
  7. 前記上側露出金属部分の少なくとも第2部分をエッチングプラズマに曝露して、前記上側露出金属部分の第2部分をエッチングする工程をさらに含む、請求項1から6のいずれか1項に記載の方法。
  8. 前記調整のためのプラズマと前記エッチングプラズマとは同一である、請求項7に記載の方法。
  9. 前記上側露出金属部分は、前記金属充填接続ビアの上面の少なくとも一部に対応する、請求項1から8のいずれか1項に記載の方法。
  10. 前記配線構造を設ける工程は、前記プレメタル誘電体層の上に第1パターン化金属配線層を設ける工程をさらに含み、
    前記上側露出金属部分の少なくとも一部を曝露する工程は、前記第1パターン化配線層の少なくとも一部を曝露する工程を含む、請求項1から7のいずれか1項に記載の方法。
  11. 前記配線構造を設ける工程は、各パターン化配線層と、少なくとも1つの各金属充填接続ビアを備えた各配線誘電体層との組合せの繰返しを少なくとも1つ設ける工程をさらに含み、
    前記上側露出金属部分の少なくとも一部を曝露する工程は、前記各金属充填接続ビアの上面の少なくとも一部を曝露する工程を含む、請求項1から7のいずれか1項に記載の方法。
  12. 前記配線構造を設ける工程は、前記プレメタル誘電体層の上に第1パターン化金属配線層を設ける工程、少なくとも1つの各金属充填接続ビアを備えた各配線誘電体層と各パターン化配線層との組合せの繰返しを少なくとも1つ設ける工程をさらに含み、
    前記上側露出金属部分の少なくとも一部を曝露する工程は、上側パターン化配線層の少なくとも一部を曝露する工程を含む、請求項1から7のいずれか1項に記載の方法。
  13. 第1型を有する半導体デバイスと第2型を有する半導体デバイスのゲート構造の有効仕事関数を併せて調整する方法であって、
    前記第1型を有する半導体デバイスのために、請求項1から12のいずれか1項に記載の方法を実施する工程、
    前記第2型を有する半導体デバイスのために、請求項1から12のいずれか1項に記載の方法を実施する工程を含み、
    前記各上側露出金属部分の各パーツを各プラズマへ曝露して前記各ゲート構造の有効仕事関数を調整する工程を、独立して実施する方法。
  14. 第1型を有する半導体デバイスと第2型を有する半導体デバイスのゲート構造の有効仕事関数を併せて調整する方法であって、
    前記第1型を有する半導体デバイスのために、請求項1から12のいずれか1項に記載の方法を実施する工程、
    前記第2型を有する半導体デバイスのために、請求項1から12のいずれか1項に記載の方法を実施する工程を含み、
    単一のプラズマを使用し、前記1つまたは2つの各調整層と一緒に調節して、前記露出金属部分の各パーツを同時に露出している間に、前記第1型を有するゲート構造の有効仕事関数と、前記第2型を有するゲート構造の有効仕事関数を調整する方法。
JP2013256921A 2012-12-31 2013-12-12 半導体デバイス内のゲート構造の有効仕事関数を調整する方法 Pending JP2014146789A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
EP12199806 2012-12-31
EP12199806.6 2012-12-31
EP13151958.9 2013-01-18
EP13151958.9A EP2750167A1 (en) 2012-12-31 2013-01-18 Method for tuning the effective work function of a gate structure in a semiconductor device

Publications (1)

Publication Number Publication Date
JP2014146789A true JP2014146789A (ja) 2014-08-14

Family

ID=47522354

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013256921A Pending JP2014146789A (ja) 2012-12-31 2013-12-12 半導体デバイス内のゲート構造の有効仕事関数を調整する方法

Country Status (3)

Country Link
US (1) US9076726B2 (ja)
EP (1) EP2750167A1 (ja)
JP (1) JP2014146789A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101740132B1 (ko) 2015-04-30 2017-05-25 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 디바이스에 대한 금속 게이트 방식 및 형성 방법

Families Citing this family (271)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN104867873B (zh) * 2014-02-21 2018-03-20 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102231205B1 (ko) 2014-11-19 2021-03-25 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10062762B2 (en) * 2014-12-23 2018-08-28 Stmicroelectronics, Inc. Semiconductor devices having low contact resistance and low current leakage
US9379104B1 (en) * 2015-03-05 2016-06-28 Globalfoundries Inc. Method to make gate-to-body contact to release plasma induced charging
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) * 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
CN108388735B (zh) * 2018-02-28 2022-04-22 深圳市恒凯微电子科技有限公司 一种设计具有多孔介质层的集成电路的方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20210021292A (ko) * 2018-04-27 2021-02-25 펨토매트릭스, 인코포레이티드. 반도체 장치들의 특성들을 판단하는 시스템들 및 방법들
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6350673B1 (en) * 1998-08-13 2002-02-26 Texas Instruments Incorporated Method for decreasing CHC degradation
US6511575B1 (en) * 1998-11-12 2003-01-28 Canon Kabushiki Kaisha Treatment apparatus and method utilizing negative hydrogen ion
US6291282B1 (en) * 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
EP1139409A3 (en) * 2000-02-29 2003-01-02 Agere Systems Guardian Corporation Selective laser anneal on semiconductor material
WO2003056622A1 (fr) * 2001-12-26 2003-07-10 Tokyo Electron Limited Procede de traitement d'un substrat et methode de production d'un dispositif a semi-conducteurs
US20050205969A1 (en) 2004-03-19 2005-09-22 Sharp Laboratories Of America, Inc. Charge trap non-volatile memory structure for 2 bits per transistor
US7285829B2 (en) * 2004-03-31 2007-10-23 Intel Corporation Semiconductor device having a laterally modulated gate workfunction and method of fabrication
US7226826B2 (en) * 2004-04-16 2007-06-05 Texas Instruments Incorporated Semiconductor device having multiple work functions and method of manufacture therefor
KR100699830B1 (ko) * 2004-12-16 2007-03-27 삼성전자주식회사 이레이즈 효율을 개선하는 비휘발성 메모리 소자 및 제조방법
US20070059874A1 (en) 2005-07-06 2007-03-15 Sematech, Inc. Dual Metal Gate and Method of Manufacture
KR100724573B1 (ko) * 2006-01-06 2007-06-04 삼성전자주식회사 수소원 막을 갖는 반도체소자의 제조방법
US20080050898A1 (en) 2006-08-23 2008-02-28 Hongfa Luan Semiconductor devices and methods of manufacture thereof
US7727864B2 (en) * 2006-11-01 2010-06-01 Asm America, Inc. Controlled composition using plasma-enhanced atomic layer deposition
US7659156B2 (en) * 2007-04-18 2010-02-09 Freescale Semiconductor, Inc. Method to selectively modulate gate work function through selective Ge condensation and high-K dielectric layer
US20090053883A1 (en) * 2007-08-24 2009-02-26 Texas Instruments Incorporated Method of setting a work function of a fully silicided semiconductor device, and related device
EP2053653A1 (en) * 2007-10-24 2009-04-29 Interuniversitair Microelektronica Centrum Vzw Dual work function semiconductor device and method for manufacturing the same
US7892906B2 (en) * 2008-01-30 2011-02-22 Texas Instruments Incorporated Method for forming CMOS transistors having FUSI gate electrodes and targeted work functions
US7812455B2 (en) * 2008-06-16 2010-10-12 Intel Corporation Interconnect in low-k interlayer dielectrics
US8716812B2 (en) 2008-06-25 2014-05-06 Nxp B.V. Interfacial layer regrowth control in high-K gate structure for field effect transistor
US8105931B2 (en) * 2008-08-27 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating dual high-k metal gates for MOS devices
US8778754B2 (en) * 2008-09-15 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a single metal that performs N and P work functions in high-K/metal gate devices
DE102009006801B4 (de) * 2009-01-30 2011-05-19 Amd Fab 36 Limited Liability Company & Co. Kg Verfahren zur Herstellung eines Feldeffekt-Kurzkanaltransistors mit geringerer Längenfluktuation durch Verwenden eines amorphen Elektrodenmaterials während der Implantation
US8431486B2 (en) * 2010-08-10 2013-04-30 International Business Machines Corporation Interconnect structure for improved time dependent dielectric breakdown
CN102646590B (zh) * 2011-02-21 2015-05-13 中芯国际集成电路制造(上海)有限公司 Nmos晶体管的形成方法
US8815690B2 (en) * 2011-03-01 2014-08-26 Tsinghua University Tunneling device and method for forming the same
KR101850703B1 (ko) * 2011-05-17 2018-04-23 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9136180B2 (en) * 2011-06-01 2015-09-15 Asm Ip Holding B.V. Process for depositing electrode with high effective work function
KR101735976B1 (ko) * 2011-09-30 2017-05-15 인텔 코포레이션 트랜지스터 게이트용 캡핑 유전체 구조를 형성하는 방법

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101740132B1 (ko) 2015-04-30 2017-05-25 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 디바이스에 대한 금속 게이트 방식 및 형성 방법
US9941376B2 (en) 2015-04-30 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate scheme for device and methods of forming
US10522640B2 (en) 2015-04-30 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate scheme for device and methods of forming
US10998415B2 (en) 2015-04-30 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate scheme for device and methods of forming

Also Published As

Publication number Publication date
US20140187039A1 (en) 2014-07-03
EP2750167A1 (en) 2014-07-02
US9076726B2 (en) 2015-07-07

Similar Documents

Publication Publication Date Title
JP2014146789A (ja) 半導体デバイス内のゲート構造の有効仕事関数を調整する方法
US9391091B2 (en) MOSFET with work function adjusted metal backgate
US7217611B2 (en) Methods for integrating replacement metal gate structures
US9373507B2 (en) Defective P-N junction for backgated fully depleted silicon on insulator mosfet
US8900952B2 (en) Gate stack including a high-k gate dielectric that is optimized for low voltage applications
TW201532253A (zh) 一種半導體裝置及其製造方法
US8846510B2 (en) Method and structure to boost MOSFET performance and NBTI
US10847431B2 (en) Ion implantation methods and structures thereof
US8273629B2 (en) Through-gate implant for body dopant
US20140361335A1 (en) Device including a transistor having a stressed channel region and method for the formation thereof
US7989896B2 (en) Semiconductor device and method of fabricating the same
US9960284B2 (en) Semiconductor structure including a varactor
Xu et al. Ion-implanted TiN metal gate with dual band-edge work function and excellent reliability for advanced CMOS device applications
US9472465B2 (en) Methods of fabricating integrated circuits
US20090039441A1 (en) Mosfet with metal gate electrode
US11217584B2 (en) Limiting lateral epitaxy growth at N-P boundary using inner spacer, and related structure
US7517760B2 (en) Semiconductor device manufacturing method including three gate insulating films
SG194326A1 (en) Increased transistor performance by implementing an additional cleaning process in a stress liner approach
US8647935B2 (en) Buried oxidation for enhanced mobility
CN108666271B (zh) 半导体器件及其形成方法
JP3240991B2 (ja) 半導体装置及びその製造方法
US20130154003A1 (en) Asymmetric anti-halo field effect transistor
US20140151818A1 (en) Semiconductor device with a silicon dioxide gate insulation layer implanted with a rare earth element and methods of making such a device