JP2013513976A - 電子基板に組み込まれたビア構造 - Google Patents

電子基板に組み込まれたビア構造 Download PDF

Info

Publication number
JP2013513976A
JP2013513976A JP2012544725A JP2012544725A JP2013513976A JP 2013513976 A JP2013513976 A JP 2013513976A JP 2012544725 A JP2012544725 A JP 2012544725A JP 2012544725 A JP2012544725 A JP 2012544725A JP 2013513976 A JP2013513976 A JP 2013513976A
Authority
JP
Japan
Prior art keywords
conductive layer
substrate
layer
signal
insulating layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012544725A
Other languages
English (en)
Other versions
JP5568644B2 (ja
Inventor
シア・リ
ウェイ・チャオ
ユ・ツァオ
シーチュン・グ
スン・エイチ・カン
ミン−チュ・キング
Original Assignee
クアルコム,インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by クアルコム,インコーポレイテッド filed Critical クアルコム,インコーポレイテッド
Publication of JP2013513976A publication Critical patent/JP2013513976A/ja
Application granted granted Critical
Publication of JP5568644B2 publication Critical patent/JP5568644B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/552Protection against radiation, e.g. light or electromagnetic waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/645Inductive arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/66High-frequency adaptations
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/0213Electrical arrangements not otherwise provided for
    • H05K1/0216Reduction of cross-talk, noise or electromagnetic interference
    • H05K1/0218Reduction of cross-talk, noise or electromagnetic interference by printed shielding conductors, ground planes or power plane
    • H05K1/0219Printed shielding conductors for shielding around or between signal conductors, e.g. coplanar or coaxial printed shielding conductors
    • H05K1/0222Printed shielding conductors for shielding around or between signal conductors, e.g. coplanar or coaxial printed shielding conductors for shielding around a single via or around a group of vias, e.g. coaxial vias or vias surrounded by a grounded via fence
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6605High-frequency electrical connections
    • H01L2223/6616Vertical connections, e.g. vias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6605High-frequency electrical connections
    • H01L2223/6616Vertical connections, e.g. vias
    • H01L2223/6622Coaxial feed-throughs in active or passive substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6605High-frequency electrical connections
    • H01L2223/6638Differential pair signal lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/0213Electrical arrangements not otherwise provided for
    • H05K1/0237High frequency adaptations
    • H05K1/0245Lay-out of balanced signal pairs, e.g. differential lines or twisted lines
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/09Shape and layout
    • H05K2201/09209Shape and layout details of conductors
    • H05K2201/09654Shape and layout details of conductors covering at least two types of conductors provided for in H05K2201/09218 - H05K2201/095
    • H05K2201/09809Coaxial layout
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/46Manufacturing multilayer circuits
    • H05K3/4602Manufacturing multilayer circuits characterized by a special circuit board as base or central core whereon additional circuit layers are built or additional circuit boards are laminated
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/46Manufacturing multilayer circuits
    • H05K3/4644Manufacturing multilayer circuits by building the multilayer layer by layer, i.e. build-up multilayer circuits
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

基板に配置されたビア構造のシステム。当該システムは、基板に配置された外側導電層と、内側絶縁層と、内側導電層とを備える第1ビア構造を有する。外側導電層は内側絶縁層と基板とを分離し、内側絶縁層は内側導電層と外側導電層とを分離する。第1相補的対の第1信号が内側導電層を通過し、第1相補的対の第2信号が外側導電層を通過する。別の実施形態では、電子基板にビア構造を形成する方法が提供される。

Description

本開示は、一般的に電子基板に関し、特にビア構造を有する電子基板に関する。
集積回路用の実装技術における最近の展開は、シリコンウエハまたはダイを貫通する垂直な電気的結合である、Si貫通電極(through−silicon via:TSV)を導入している。TSVは、複数の導電層が積層され、TSVを使用して導電層の間を信号が伝わるように、三次元電気的パッケージを形成するために重要である。
従来のパッケージ設計では、異なる導電層間を通る信号用のTSVのアレイまたは群を備える場合がある。基板内の空間を占める以外に、TSVは、隣接するまたは近くのTSVの機能性に影響を及ぼし得る。例えば、隣接するTSV間の相互インダクタンスは、クロストークを引き起こす可能性があり、クロストークは、電気的パッケージの動作に悪影響を及ぼす場合がある。相互インダクタンスの影響を低減するために、隣接するTSVの間の空間が広げられ、電気的パッケージの適切な動作を保証するためにTSVを通過する信号の電流密度およびこれらの信号の周波数に基づく複雑な計算が必要とされる。
TSVに関連する別の設計課題は、基板内に渦電流損が生じることである。渦電流は、磁場の変化に起因して基板内に形成される。TSVを電流が通過すると、例えば、TSVの周辺および基板を貫通して磁場および電場が形成される。TSVを通過する電流の変化により、基板内の磁場および電場の変化が引き起こされ得る。渦電流は、基板における磁場の変化に対抗する誘起磁場を形成し得る。基板の比較的高い抵抗に起因して、渦電流は基板内に消散し、基板内に熱を生成し得る。基板と導電層との間に絶縁材料を配置することができ、これにより、電場を低減し、磁場の影響を弱めることができる。しかし、渦電流損は依然として課題を残す。
したがって、基板内の渦電流損を低減し、TSV間の空間を増加させることなく隣接するTSV間の相互インダクタンスの影響を低減することが望ましい。
1実施形態では、基板に配置されたビア構造のシステムが提供される。該システムは、基板に配置された外側導電層と、内側絶縁層と、内側導電層と、を備える第1ビア構造を含む。外側導電層は内側絶縁層と基板とを分離し、内側絶縁層は内側導電層と外側導電層とを分離する。相補的対の第1信号は内側導電層を通過し、相補的対の第2信号は外側導電層を通過する。第1信号および第2信号は、実質的に逆の極性を有し得る。また、外側絶縁層が外側導電層と基板とを分離するように、外側絶縁層が基板に配置され得る。代替として、サリサイド膜が外側導電層に連結され得る。サリサイド膜は、金属層に連結されるように構成されたリング状構造を形成し得る。
別の形態では、ビア構造のシステムは、第1ビア構造に隣接して配置される第2ビア構造をさらに含み得る。第2ビア構造は、内側導電層と外側導電層との間に配置された内側絶縁層、および外側導電層によって取り囲まれる内側導電層を含み得る。第2相補的対の第1信号は内側導電層を通過し、第2相補的対の第2信号は外側導電層を通過する。別の形態では、第1相補的対の第2信号および第2相補的対の第2信号は実質的に逆の極性を有し得る。
別の実施形態では、電子基板にビア構造を形成する方法が提供される。該方法は、基板に開口部を形成するステップと、開口部に外側導電層を堆積するステップとを含む。該方法はまた、外側導電層が内側絶縁層と基板とを分離するように、開口部に内側絶縁層を堆積するステップを含む。内側導電層は、内側絶縁層が外側導電層と内側導電層とを分離するように、開口部に堆積される。該方法は、外側導電層をサリサイド材料に接触させるステップをさらに含む。別の形態では、外側絶縁層が外側導電層と基板とを分離するように、外側絶縁層が開口部に堆積され得る。サリサイド材料はまた、接地され得、かつ/またはリング状構造を形成し得る。
別の実施形態では、電子デバイスにおける電場または磁場を低減する方法が提供される。該方法は、基板に第1導電層を形成するステップと、絶縁層で第1導電層を取り囲むステップとを含む。絶縁層は、第2導電層によって取り囲まれる。該方法は、第2導電層が、第1導電層を通過する第1信号によって生じる電場または磁場を低減するように構成されるように、相補的対の第1信号を第1導電層に通過させ、相補的対の第2信号を第2導電層に通過させるステップを含む。1形態では、該方法は、第2導電層を第1電位に連結するステップを含む。別の形態では、該方法は、第2導電層をサリサイド材料に連結するステップを含む。該方法はまた、第2導電層を取り囲む別の絶縁層を形成するステップを含み得る。
別の例示的実施形態では、電子デバイスにおいて電場または磁場を低減するためのビア構造が提供される。ビア構造は、基板において相補的対の第1信号を伝導するための第1導電手段と、基板において相補的対の第2信号を伝導するための第2導電手段とを含む。ビア構造はまた、第1導電手段を第2導電手段から絶縁するための絶縁手段を含む。第1信号および第2信号は、実質的に逆の極性を有する。
本開示を完全に理解するために、以下の発明の詳細な説明および添付の図面を参照する。
基板内に複数の遮蔽されたビアを有する第1実施形態の電子構造体の断面図である。 多層基板内に複数の遮蔽されたビアを有する多層電気的パッケージの断面図である。 基板内に複数の二重ビア構造を有する第2実施形態の電子構造体の断面図である。 図3の電子構造体のA−A線に沿った水平断面図である。 基板内に形成された複数の開口部を有する第1実施形態の電子構造体の断面図である。 遮蔽層が堆積された図5の電子構造体の断面図である。 絶縁層が堆積された図6の電子構造体の断面図である。 導電材料が被覆された図7の電子構造体の断面図である。 基板の前面が研磨された図8の電子構造体の断面図である。 基板の底面の薄化後の複数の貫通ビアを有する図9の電子構造体の断面図である。 基板の底面上に誘電材料が充填された複数の貫通ビアを有する図10の電子構造体の断面図である。 基板内に形成された複数の開口部を有する第2実施形態の電子構造体の断面図である。 外側絶縁層が堆積された図12の電子構造体の断面図である。 外側導電層が堆積された図13の電子構造体の断面図である。 内側絶縁層が堆積された図14の電子構造体の断面図である。 内側導電層が堆積された図15の電子構造体の断面図である。 基板の前面が研磨された図16の電子構造体の断面図である。 基板の前面にコンタクトが形成された図17の電子構造体の断面図である。 複数の二重ビア構造を有する図18の電子構造体の断面図である。 基板の底面が誘電材料で充填された複数の二重ビア構造を有する図19の電子構造体の断面図である。 多重電源モードをサポートするメモリ電源管理システムが有利に採用され得る、例示的ワイヤレス通信システムを示すブロック図である。
図1に示す実施形態を参照すると、電子構造体102が提供される。電子構造体102は、その中に複数のTSV106が配置された基板104を備える。図示されてはいないが、さまざまな実施形態では、複数のTSV106は、TSVのアレイまたは群として基板内に整列され得る。基板104は、ケイ素、炭化ケイ素、二酸化ケイ素、窒化ケイ素などの材料、または当業者に周知の任意のその他の基板材料から作製され得る。基板104は、積層もしくはラミネート多層印刷回路基板、または積層もしくはラミネートパッケージ基板などの多層基板とすることができる。
複数のTSV106の各々は、導電層108と、絶縁層または誘電層110と、遮蔽層112とを含み、該遮蔽層は基板104と接触し得る。絶縁層または誘電層110は、導電層108と遮蔽層112との間に位置する。絶縁材料または誘電材料110は、二酸化ケイ素(SiO)、酸窒化ケイ素(SiON)、窒化ケイ素(SiN)などの酸化物、またはその他周知の誘電材料からなり得る。
遮蔽層112は、チタン(Ti)、窒化チタン(TiN)、タンタル(Ta)、窒化タンタル(TaN)、これらの組み合わせ、または当業者に周知のその他の類似の材料を含む材料から作製され得る。遮蔽層112は、磁性材料からも作製され得る。1実施形態では、遮蔽層の厚さは、約10〜100nmとすることができ、その他の実施形態では厚さは、基板104のレイアウトおよび所望の遮蔽特性におおよそ依存し得る。
図1に示される実施形態では、遮蔽層112は、遮蔽層112が基板104の前面118から背面120へと延在し、かつTSV106の導電層108と同軸であり得るように基板104内に組み込まれた“同軸”遮蔽層112として記載され得る。しかし、遮蔽層112および導電層108の実際の配置は、同軸でなくてもよい。基板104の前面118付近に、誘電材料116の前面層を設けることができ、該誘電材料は、二酸化ケイ素(SiO)、酸窒化ケイ素(SiON)、窒化ケイ素(SiN)、またはその他の誘電材料を含み得る。基板104の背面120上には、炭化ケイ素(SiC)、窒化ケイ素(Si)、および類似の材料などの材料を含み得る拡散障壁誘電膜122を設けることができる。
遮蔽層112は、サリサイド膜114を使用して接地され得る。サリサイド膜114は任意の形状をとり得るが、1態様では、サリサイド膜114は、TSV106の前面118の周辺のリングを含む。サリサイド膜114は接地してもよく、遮蔽層112と地面との間のより良好な接続をもたらすために使用することもできる。サリサイド膜114を地面に接続するために、サリサイド膜114は、基板104上部の金属層(接地される)に連結され得る(図2参照)。
図1の実施形態にも示されるように、複数のTSV106の導電層108の各々は、第1背面金属層126、すなわち“背面金属(Back Metal)1”と連結され得る。第2背面金属層128、すなわち“背面金属(Back Metal)2”がまた基板104の背面120上に形成され、背面ビア構造130が第1背面金属層126と第2背面金属層128とを連結することができる。二酸化ケイ素(SiO)、酸窒化ケイ素(SiON)、窒化ケイ素(SiN)、または類似の材料などの誘電材料124は、基板104の背面120上の残りの領域を充填し得る。
図2に示される実施形態では、基板の前部分または前面のみが完成した(すなわち基板104の背面120は完成したことが示されていない)多層電気的パッケージ202が提供される。多層電気的パッケージ202は、基板内に、頂部または上部金属層204と、接地された第2金属層208と、複数の遮蔽されたTSV106とを備える。誘電材料または絶縁材料206が、頂部または上部金属層204と接地層208(すなわち第2金属層)との間、および接地層208と基板104の前面118との間に配置される。追加の導電層または非導電層がまた基板104の前面上に配置され得る。
複数のTSV106の各々は、内側導電層108と、誘電層または絶縁層110と、遮蔽層112とを備える。図1を参照して説明すると、拡散障壁誘電膜122および誘電材料124が基板104の背面120の領域を充填し得る。図2では拡散障壁122および誘電材料124は基板104の背面120全体を覆うように示されているが、背面薄化工程を組み込んで基板104の背面120上のTSV106を開口することも可能である。
また、図2の実施形態では、遮蔽層112は、基板104と接触することができ、サリサイド膜114が遮蔽層112を接地層208に接続することができる。これは、サリサイド膜114を接地層208に連結するコンタクト210を使用することによって実現することができる。代替として、サリサイド膜114を接地層に接続するためのその他の従来の方法を使用することができる。
本明細書に記載された遮蔽層を有する貫通ビアの1つの利点は、遮蔽層が、隣接するTSV間の相互インダクタンスを実質的に低減する点にある。例えば、遮蔽層が存在しない3×3Si貫通電極(TSV)アレイでは、TSV間に影響を及ぼす相互インダクタンスは約0.15nHであり得る。このような配置では、ビアは、直径約3μm、高さ約50μm、および約3μmの間隔を有し得る。しかし、図1の実施形態では、基板104内の隣接する貫通ビア106の間の間隔を広げる必要なく、遮蔽層112が、貫通ビア106間の相互インダクタンスを実質的に低減または除去する。その結果、1000を超えるTSVを含み得る基板において、遮蔽層により隣接するTSVの間隔を約3μmとすることが可能となり、TSV間の相互インダクタンスの影響は無視できる程度に小さくなる(すなわち約0nH)。また、TSV間の相互インダクタンスをさらに低減するために遮蔽層の厚さを増大することができる。
遮蔽層は、TSVによって形成される電場が電気パッケージの周囲部材に影響を及ぼすことを防ぎ、磁場の影響を低減することも可能である。遮蔽層を備えたTSVの別の利点は、電子基板内の渦電流損の実質的または完全な低減にある。したがって、渦電流損は、電子基板において実質的または完全に低減され得る。遮蔽層を備える貫通ビアはまた、基板内の電磁ノイズを有利に低減することができる。遮蔽層を使用することによる、不要な悪影響のこれらの低減又は除去により、TSVを互いに近く配置することが可能となり、また、他の部材を遮蔽されたTSVの近くに配置することが可能となる。
図3に示される例示的実施形態を参照すると、電子構造体302が提供される。電子構造体302は、内側ビア306および外側ビア308がその中に配置された基板304を備える。外側ビア308は、基板304内で“同軸”に内側ビア306を取り囲むが、その他の実施形態では、内側ビア306および外側ビア308の実際の配置は同軸でなくてもよい。内側ビア306を取り囲む外側ビア308の配置は、基板304における“二重ビア”構造として説明され得る。この配置はまた、多層基板内に配置された“リング型対”ビア構造とも称され得る。内側ビア306および外側ビア308の配置は、ビアのアレイまたは群として基板304内に配置され得る。
基板304は、ケイ素(Si)、炭化ケイ素(SiC)、二酸化ケイ素(SiO)、窒化ケイ素(Si)、または当業者に周知の任意のその他の基板材料などの材料から作製され得る。基板304は、積層またはラミネート多層印刷回路基板、または積層またはラミネートパッケージ基板などの多層基板とすることができる。1実施形態では、基板304は、相補型金属酸化物半導体(CMOS)ウエハの一部である。
信号が各ビアの導電層を通過できるように、内側ビア306および外側ビア308は、それぞれ内側および外側信号経路を形成する。図3の実施形態では、例えば、内側ビア306は、信号が通過できる内側導電層310を備える。外側ビア308は、信号が通過でき、内側導電層310を取り囲む外側導電層312を備える。内側ビア306および外側ビア308は、リング状または円形の断面を有するか、または長方形、半円もしくはその他の形状の断面を形成し得る。内側導電層310は、内側絶縁層または誘電層314によって、外側導電層312から分離される。さらに、外側絶縁層または誘電層316は、外側導電層312と基板304とを分離する。内側絶縁層または誘電層314は、外側絶縁層または誘電層316からの分離層とされるか、または両方の層が同一の層を含み得る。その場合、内側絶縁層または誘電層314は、外側絶縁層または誘電層316と同一または異なる材料とすることができる。絶縁層または誘電層は、例えば、二酸化ケイ素(SiO)、酸窒化ケイ素(SiON)、窒化ケイ素(Si)などの酸化物、またはその他周知の誘電材料から作製され得る。導電層は、銅、アルミニウムまたはその他周知の導電材料から作製され得る。
基板304の前面330付近に、二酸化ケイ素(SiO)、酸窒化ケイ素(SiON)、窒化ケイ素(Si)、これらの組み合わせ、またはその他の誘電材料を含む誘電材料324の前面層が設けられ得る。同様に、基板304背面332上に、炭化ケイ素(SiC)、窒化ケイ素(Si)、および類似の材料などの材料を含み得る、拡散障壁334、すなわち誘電膜が設けられ得る。
図3では、基板304の前面330付近で、内側導電層310は内側コンタクト326に連結され、外側導電層312は外側コンタクト328に連結され得る。内側コンタクト326および外側コンタクト328は、同一の金属層の異なる表面に連結され得る。代替として、内側コンタクト326および外側コンタクト328は、異なる金属層の表面に連結され得る。
前面領域330(すなわち基板304の前面付近)は、絶縁材料または誘電材料322を備え得る。絶縁材料または誘電材料322は、内側導電層310および外側導電層312が互いにかつ基板304から隔離されるように、内側絶縁層314および/または外側絶縁層316と同一の材料を含み得る。代替として、絶縁材料または誘電材料322は、内側絶縁層および/または外側絶縁層316とは異なる材料を含み得る。基板304の背面332上で、誘電材料342は、第1背面金属層336および第2背面金属層338の周囲の領域を充填する。誘電材料342は、二酸化ケイ素(SiO)、酸窒化ケイ素(SiON)、窒化ケイ素(Si)、これらの組み合わせ、または類似のものを含み得る。
内側ビア306の内側導電層310は、第1背面金属層336の表面またはコンタクトに連結される。同様に、外側ビア308の外側導電層312は、第1背面金属層336の異なる表面またはコンタクトに連結される。したがって、内側導電層310および外側導電層312が、同一の第1背面金属層336のコンタクトに接続され得る一方で、両方の導電層は、異なる表面またはコンタクトに連結され得る。代替として、内側導電層310は、外側導電層312とは異なる背面金属層の表面またはコンタクトに連結され得る。図3の実施形態では、背面ビア340は、第1背面金属層336を第2背面金属層338に連結する。
図3および4に示される実施形態を参照すると、内側導電層310は内側信号経路を形成し、外側導電層312は外側信号経路を形成する。第1信号は、内側導電層310を第1方向318に通過し、第2信号は、外側導電層312を第2方向320に通過し得る。基板304内の相互インダクタンスを低減または除去するために、第1信号を第2信号と同一とし、第1方向318は第2方向320と逆であり得る。それ故、2つの信号は、差動対を含む。代替として、相補的対の第1信号が内側導電層310を通過し、相補的対の第2信号が外側導電層312を通過する。第1信号および第2信号は、逆の極性を有し得る。
異なる導電層を同一の信号が通過することによって、内側ビア306および外側ビア308を通過する正味電流は実質的に存在しない。これにより、基板304内の電磁ノイズ、隣接するビア間の相互インダクタンス、および各ビアの総インダクタンスを低減することも可能となる。代替の実施形態では、第1信号および第2信号が内側導電層310および外側導電層312を同一方向に通過し得るため、両信号が共通の対を有する。
この特定の配置に関連する別の利点は、多数のビアを近接して配置することができる点にある。図4の実施形態には、内側導電層310を第1方向318に(すなわち基板304へ)信号が通過する、第1二重ビア構造402が示される。信号は、外側導電層312を第2方向320に(すなわち基板304から)通過する。図3を参照して説明されるように、信号の差動対が両方の導電層を逆の方向に通過するため、相互インダクタンスは低減される。例えば、第1二重ビア構造402および第2二重ビア構造404を含む二重ビア構造のシステムにおいても、同様の利点が見出される。第2二重ビア構造404は、差動信号が第2方向320に通過する内側導電層310と、信号が第1方向318に通過する外側導電層312とを備える。図3および4に示されるように、差動信号が、第1二重ビア構造402の外側導電層312を第2方向320に通過し、一方で、差動信号が、第2二重ビア構造404の外側導電層312を第1方向318に通過する。差動信号は逆の極性を有するため、2つの二重ビア構造402および404の間の相互インダクタンスが低減または除去される。さらに、第1二重ビア構造402の内側および外側導電層を通過する差動信号は、第2二重ビア構造404の導電層を通過する信号とは、大きさ、周波数、方向、または位相が異なり得る。代替として、両方の信号は、実質的に同一の大きさ、周波数、方向、または位相を有し得る。
非限定的な例示的実施形態では、第1二重ビア構造402は、第2二重ビア構造404から約3〜20μm離間され得る。両方の内側ビア306における内側導電層310は、約1〜10μmの径または厚さを有し、外側導電層312は、1〜5μmの厚さを有し得る。したがって、二重ビア構造は、基板内でより少ない空間を占有することができ、隣接する二重ビア構造の外側導電層に信号の差動対を逆の方向に通過させることによって、それらの間の相互インダクタンスの影響をより少なくすることができる。
別の実施形態では、基板304内に形成される電場および磁場を低減または除去するために、外側導電層312は接地され得る。外側導電層312は、外側導電層312と内側導電層310との間に電場が制限またはブロックされるように、基板304内に配置された遮蔽体として作用し得る。この実施形態では、外側絶縁層316は、外側導電層312、すなわち遮蔽層と基板304とを分離する。この場合、内側導電層310が貫通ビア306を形成する。
外側導電層312は、当業者に周知の任意のやり方で接地され得る。例えば、図3では、外側導電層312は、地面と連結される前面金属層に連結され得る。この特定の実施形態では、内側導電層310は、地面と連結されない前面金属層に連結される。
本実施形態において接地された外側導電層312は、ビア内に生じる電場が電気的パッケージの周囲の部材に影響を及ぼすことを防止することができ、例えば、磁場の影響を低減する。別の利点は、電子基板内の渦電流損を実質的または完全に低減する点にある。この実施形態における貫通ビアはまた、有利に基板内の電磁ノイズを低減することができる。外側導電層312を接地することによる、不要な悪影響のこれらの低減又は除去により、貫通ビアを互いに近く配置することが可能となり、また、他の部材を貫通ビアの近くに配置することが可能となる。
図1に図示された構造のように、基板内に遮蔽された貫通ビア構造を形成する1つの例示的な方法は、a)基板に開口部を形成するステップと、b)遮蔽層を基板に接触させるように基板における開口部内に遮蔽層を堆積するステップと、c)遮蔽層が基板と絶縁層とを分離するように基板における開口部内に絶縁層を堆積するステップと、d)絶縁層が遮蔽層と導電層とを分離するように基板における開口部内に導電層を堆積するステップと、を含み得る。
集積回路を製造する従来の工程は、例えば、個々のデバイス(すなわちトランジスタ、レジスタ、等)をウエハまたはダイにパターン形成するトランジスタ工程(FEOL)を含み得る。この工程は、サリサイド形成工程および材料の複数の層(例えば導電層)を調製して基板を形成する工程を含み得る。製造工程は、基板上に層間誘電層(ILD)を堆積するステップをさらに含み得る。
貫通ビアは、基板に開口部または貫通孔を形成することによって基板内に調製され得る。開口部または貫通孔は、打ち抜き、掘削、またはレーザー発振によって形成され得る。別の従来の方法は、基板表面にマスクを適用し、エッチング工程により基板に開口部または貫通孔を形成するステップを含む。開口部または貫通孔を形成する方法は、アクセスおよび利便性などの検討事項に加えて、開口部または穴の寸法および位置に依存し得る。
図5〜11に示される例示的実施形態では、遮蔽された貫通ビア構造を調製する方法が示されている。図5では、電子構造体502が提供される。電子構造体502は、複数の開口部510がその中に形成された基板504を備える。基板504の前面または頂面512付近には、誘電材料の層506およびサリサイド膜508がある。図6では、遮蔽層602が、基板504の前面または頂面512および複数の開口部510の内壁に沿って堆積される。遮蔽層602を堆積する工程は、例えば、めっきまたはその他の適当な工程を含み得る。遮蔽層602は、サリサイド膜508と接触する。サリサイド膜508は接地されることになるため(図示せず)、遮蔽層602をサリサイド膜508と接触させることにより、遮蔽層602は接地されることになる。さまざまな実施形態では、基板もまた接地され得る。その結果、これらの実施形態では、サリサイド膜508および遮蔽層602は、地面への低抵抗経路をもたらし得る。
図7では、遮蔽層602が基板504と絶縁層または誘電層702との間に配置されるように、絶縁層または誘電層702が堆積される。絶縁層または誘電層702は、基板504の前面または頂面512(例えば図7における遮蔽層602の上)および複数の開口部510の内壁に沿って堆積され得る。図8では、導電材料802、例えば銅が、複数の開口部510内および基板504の前面または頂面512付近の誘電材料702を覆ってめっきされ得る。めっき工程は、例えば、電着またはその他周知の堆積法としてもよい。次いで、図9に示すように、導電材料802の頂部、絶縁材料または誘電材料702、および遮蔽層602が、化学機械研磨(CMP)工程またはその他周知の工程によってエッチングまたは研磨され、製造段階で堆積された過剰な材料が除去される。図10に示すように、複数の貫通ビア1004が形成されるように、基板504の背面1002上の材料もまた、エッチングまたは薄化工程によって除去され得る。さらに、二酸化ケイ素(SiO)、酸窒化ケイ素(SiON)、窒化ケイ素(SiN)、またはその他周知の誘電材料などの拡散障壁誘電膜1006が基板504の背面1002上に堆積され得る。
図11には、複数の遮蔽された貫通ビア1004を備える電子構造体502が示されている。基板504の背面1002は、第1金属(“背面金属1”)1108および第2金属(“背面金属2”)1110を備え得る。第1金属1108は、複数の貫通ビア1004と連結するように、導電層802の底部付近の開口部を充填し得る。背面ビア1112が、第1金属1108と第2金属1110との間に形成され得る。さらに、誘電材料1106は、第1金属1108、第2金属1110、および背面ビア1112の周囲を充填し得る。必要に応じて、追加のロジック配線形成工程(BEOL)を実施することができる。
図5〜11に示される実施形態では、複数の貫通ビア1004がその中に形成された電子基板502が記載されているが、他の実施形態では、基板502内に1つまたは複数の貫通ビアが個別に、アレイに、または群に形成され得る。
代替の実施形態では、遮蔽層602は磁性材料で作製され得る。導電層802を電流が通過するため、遮蔽層602の磁性材料は、貫通ビア1004の外部の磁場および電場を制限または低減することができる。同様に、遮蔽層602の磁性材料は、外部の電磁場から貫通ビア1004の内部を保護することができる。
電子基板内の二重ビア構造を形成する例示的な方法は、a)基板に開口部を形成するステップと、b)基板における開口部内に外側絶縁層を堆積するステップと、c)外側絶縁層が外側導電層と基板とを分離するように、開口部内に外側導電層を堆積するステップと、d)外側導電層が外側絶縁層と内側絶縁層とを分離するように、開口部内に内側絶縁層を堆積するステップと、e)内側絶縁層が外側導電層と内側導電層とを分離するように、開口部内に内側導電層を堆積するステップと、を含み得る。
集積回路を製造する従来の工程は、例えば、個々のデバイス(すなわちトランジスタ、レジスタ、等)をウエハまたはダイにパターン形成するトランジスタ工程(FEOL)を含み得る。この工程は、サリサイド形成工程および材料の複数の層(例えば導電層)を調製して基板を形成する工程を含み得る。製造工程は、基板上に層間誘電層(ILD)を堆積するステップをさらに含み得る。
二重ビア構造は、基板に開口部または貫通孔を形成することによって基板内に調製され得る。開口部または貫通孔は、打ち抜き、掘削、またはレーザー発振によって形成され得る。別の従来の方法は、基板表面にマスクを適用し、エッチング工程により基板に開口部または貫通孔を形成するステップを含む。開口部または貫通孔を形成する方法は、アクセスおよび利便性などの検討事項に加えて、開口部または穴の寸法および位置に依存し得る。
図12〜20に示される例示的実施形態では、電子構造体内に二重貫通ビアを調製する方法が示されている。図12では、基板1204の前面または頂面1210付近に誘電材料の層1206が形成された基板1204を備える電子構造体1202が提供される。次いで、複数の開口部1208がその中に形成され得る。図13では、絶縁層1302は、基板1204の前面または頂面1210および複数の開口部1208の内壁に沿って堆積される。外側絶縁層1302と称される絶縁層1302は、二酸化ケイ素(SiO)、酸窒化ケイ素(SiON)、窒化ケイ素(Si)、または任意のその他周知の誘電材料から作製され得る。
図14では、外側導電層1402が、外側絶縁層1302の上面および開口部1208内に堆積される。外側導電層1402は、めっき法または当業者に周知のその他の金属材料堆積法を使用して形成され得る。外側絶縁層1302は、基板1204と外側導電層1402とを分離する。図15では、別の絶縁層1502が外側導電層1402の上面および開口部1208内に堆積される。絶縁層1502は内側絶縁層と称され、外側導電層1402によって外側絶縁層1302から分離されている。内側絶縁層1502は、二酸化ケイ素(SiO)、酸窒化ケイ素(SiON)、窒化ケイ素(Si)、または任意のその他周知の誘電材料から作製され得る。
次いで、図16に示すように、開口部1208は導電材料で充填されることにより、内側導電層1602が形成される。図16の実施形態では、内側導電層1602は、開口部1208を充填するだけでなく、基板1204の前面1210付近における内側絶縁層1502の上面にも層を形成する。銅またはその他適当な導電材料から作製され得る内側導電層1602は、めっき、電着またはその他周知の堆積方法によって堆積され得る。基板1204および誘電材料1206上に堆積された図16の層の最上部分は、図17に示されるように、エッチング、または化学機械研磨(CMP)法またはその他周知の方法によって研磨され、製造の間に堆積された過剰な材料が除去され得る。
図18を参照すると、電子構造体1202の前面1808は、金属層間誘電体(IMD)工程およびロジックダマシン工程またはその他のパターン形成法を使用して形成され得る。内側コンタクト1802は、内側導電層1602が内側コンタクト1802と連結するように、構造体1202の前面1808付近に形成され得る。外側コンタクト1804もまた、外側導電層1402が外側コンタクト1804と連結するように、構造体1202の前面1808付近に形成され得る。内側コンタクト1802および外側コンタクト1804が電子構造体1202の同一層の異なる表面または異なる層に連結するように、電子構造体1202の前面1808に多層相互接続構造を形成することが可能である。
また、誘電材料または絶縁材料1806が電子構造体1202の前面1808に充填されることにより、コンタクト1802とコンタクト1804とが隔離され得る。誘電材料または絶縁材料1806は、内側絶縁層1502および/または外側絶縁層1302と同一の材料を含み得る。図19に示すように、基板1204の背面1906上の材料は、複数の内側ビア1902が複数の外側ビア1904によって取り囲まれるように、エッチングまたは薄化工程によって除去され得る。内側ビア1902および外側ビア1904は貫通ビアとすることができ、基板がシリコンから形成される実施形態では、各ビアをSi貫通電極(TSV)とすることができる。各内側ビア1902および外側ビア1904は、二重ビア構造またはリング型ビア対を形成する。
図20には、複数の二重ビア構造を有する電子構造体1202が示される。基板1204の背面1906は、誘電体バリア膜2002と、第1背面金属層(“背面金属1”)2004と、第2背面金属層(“背面金属2”)2006とを備え得る。背面ビア2008が、第1背面金属層2004と第2背面金属層2006との間に形成され得る。また、誘電材料2010が、第1背面金属層2004、第2背面金属層2006、および背面ビア2008の周囲に充填され得る。必要に応じて追加のロジック配線形成工程(BEOL)が実施され得る。
図12〜20に示される実施形態では、複数の二重ビア構造がその中に形成された電子基板1202が記載されているが、他の実施形態では、1つまたは複数の二重ビア構造を個別に、アレイまたは群として備えることも可能である。
さらに、外側導電層1402が接地され、内側導電層1602を取り囲む遮蔽層が形成され得る。このような実施形態では、信号が内側導電層1602を通過することにより形成される電場は、内側導電層1602と外側導電層1402(すなわち遮蔽層)との間に制限される。このようにして、二重ビア構造を信号が通過することにより形成される電磁場の強度が著しく低減される。また、基板における渦電流損が低減され、ビア間の相互インダクタンスが低減される。
代替の実施形態では、第1信号および第2信号が同一であるが逆の極性を有するように、差動対の第1信号が外側ビア1904を通過し、相補的対の第2信号が内側ビア1902を通過することにより、電子構造体1202における相互インダクタンスが低減される。こうして、二重ビア構造を通過する正味電流はほぼゼロとなるため、相互インダクタンスが実質的に低減されるかまたは完全に排除される。また、形成される電磁ノイズもより少なくなる。二重ビア構造のインピーダンスは、内側導電層1602、内側絶縁層1502、外側導電層1402、および/または外側絶縁層1302の厚さを調節することによって調整することができる。
内側ビアおよび外側ビアを形成する追加のステップを、基板にSi貫通電極を形成する従来の工程に組み込むこともできる。遮蔽された貫通ビア構造または二重ビア構造が基板に形成されると、基板は、携帯電話、コンピュータ、携帯情報端末(PDA)、および類似のものなどの電子デバイスに使用するためのアセンブリまたはパッケージに組み込まれ得る。
例えば、図21は、ビア構造の実施形態が有利に採用され得る例示的ワイヤレス通信システム2100を示す。説明の目的で、図21は、3つの遠隔装置2120、2130、および2150、ならびに2つの基地局2140を示す。一般的なワイヤレス通信システムは、より多くの遠隔装置および基地局を備え得ることを認識すべきである。遠隔装置2120、2130、および2150のいずれも、本明細書中に開示されたような、基板にビア構造が配置されたシステムを含み得る。図21は、基地局2140から遠隔装置2120、2130、および2150への送信リンク信号2180、ならびに遠隔装置2120、2130、および2150から基地局2140への逆方向リンク信号2190を示す。
図21では、遠隔装置2120は、携帯電話として示され、遠隔装置2130は、ノートパソコンとして示され、遠隔装置2150ワイヤレスローカルループシステムにおける固定ロケーション遠隔装置として示されている。例えば、遠隔装置は、携帯電話、携帯型パーソナルコミュニケーションシステム(PCS)ユニット、携帯情報端末などの携帯型データユニットまたは検針器などの固定ロケーションデータユニットとすることができる。図21は、本明細書に開示されたようなビア構造のシステムを備え得る特定の例示的な遠隔装置を示すが、ビア構造のシステムは、これらの例示的な図に示されたユニットに限定されない。実施形態は、ビア構造のシステムが望ましい任意の電子デバイスに適切に採用され得る。
本発明の原則を組み込む例示的実施形態について説明したが、本発明は、開示された実施形態に限定されるものではない。本願は、一般原則を使用した発明の変更、使用法、または適合を含むことが意図される。さらに、本願は、本発明が関連し、添付の特許請求の範囲の限定の範囲内に含まれる、当該技術分野における周知のまたは慣習的実施に入るような、このような本開示からの逸脱を含むことが意図される。
102 電子構造体
104 基板
106 TSV
108 導電層
110 絶縁層または誘電層
112 遮蔽層
114 サリサイド膜
116 誘電材料
118 前面
120 背面
122 拡散障壁誘電膜
124 誘電材料
126 第1背面金属層
128 第2背面金属層
130 背面ビア構造

Claims (17)

  1. 第1ビア構造を含む、基板内のビア構造のシステムであって、
    前記基板に配置された外側導電層と、
    前記外側導電層が内側絶縁層と前記基板とを分離するように、前記基板に配置された前記内側絶縁層と、
    前記内側絶縁層が内側導電層と前記外側導電層とを分離するように、前記基板に配置された前記内側導電層と、を備え、
    第1相補的対の第1信号が前記内側導電層を通過し、前記第1相補的対の第2信号が前記外側導電層を通過する、システム。
  2. 外側絶縁層が前記外側導電層と前記基板とを分離するように、前記基板に配置された前記外側絶縁層をさらに備える、請求項1に記載のシステム。
  3. 前記外側導電層に連結されたサリサイド膜をさらに備える、請求項1に記載のシステム。
  4. 前記サリサイド膜が、金属層に連結されるように構成されたリング状構造を有する、請求項3に記載のシステム。
  5. 前記第1信号および前記第2信号が実質的に逆の極性を有する、請求項1に記載のシステム。
  6. 前記第1ビア構造に隣接して配置された第2ビア構造をさらに備え、
    前記第2ビア構造が、
    外側導電層が内側導電層を取り囲むように、前記基板に配置された前記内側導電層および前記外側導電層と、
    前記外側導電層と前記内側導電層との間に配置された内側絶縁層と、を備え、
    第2相補的対の第1信号が前記内側導電層を通過し、前記第2相補的対の第2信号が前記外側導電層を通過する、請求項1に記載のシステム。
  7. 前記第1相補的対の前記第2信号および前記第2相補的対の前記第2信号が実質的に逆の極性を有する、請求項6に記載のシステム。
  8. 前記外側導電層が、チタン、窒化チタン、タンタル、窒化タンタル、またはこれらの組合せを含む、請求項1に記載のシステム。
  9. 電子基板にビア構造を形成する方法であって、
    前記基板に開口部を形成するステップと、
    前記開口部に外側導電層を堆積するステップと、
    前記外側導電層が内側絶縁層と前記基板とを分離するように、前記開口部に前記内側絶縁層を堆積するステップと、
    前記内側絶縁層が前記外側導電層と内側導電層とを分離するように、前記開口部に前記内側導電層を堆積するステップと、
    前記外側導電層をサリサイド材料に接触させるステップと、を含む方法。
  10. 外側絶縁層が前記外側導電層と前記基板とを分離するように、前記開口部に前記外側絶縁層を堆積するステップをさらに含む、請求項9に記載の方法。
  11. 前記サリサイド材料を接地するステップをさらに含む、請求項9に記載の方法。
  12. 前記サリサイド材料をリング状構造として形成するステップをさらに含む、請求項9に記載の方法。
  13. 電子デバイスにおける電場または磁場を低減する方法であって、
    基板に第1導電層を形成するステップと、
    前記第1導電層を絶縁層で取り囲むステップと、
    前記絶縁層を第2導電層で取り囲むステップと、
    相補的対の第1信号を前記第1導電層に通過させ、前記相補的対の第2信号を前記第2導電層に通過させるステップと、を含み、
    前記第2導電層が、前記第1導電層を通過する前記第1信号によって生じる電場または磁場を低減するように構成される、方法。
  14. 前記第2導電層を第1電位に連結するステップをさらに含む、請求項16に記載の方法。
  15. 前記第2導電層をサリサイド材料に連結するステップをさらに含む、請求項16に記載の方法。
  16. 前記第2導電層を取り囲む別の絶縁層を形成するステップをさらに含む、請求項16に記載の方法。
  17. 電子デバイスにおける電場または磁場を低減するためのビア構造であって、
    基板において相補的対の第1信号を伝導するための第1導電手段と、
    前記基板において前記相補的対の第2信号を伝導するための第2導電手段であって、前記第1導電手段が第2導電手段を取り囲んでいる、第2導電手段と、
    前記第1導電手段を前記第2導電手段から絶縁するための絶縁手段と、を備え、
    前記第1信号および前記第2信号が実質的に逆の極性を有する、ビア構造。
JP2012544725A 2009-12-14 2010-12-14 電子基板に組み込まれたビア構造 Expired - Fee Related JP5568644B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/637,104 2009-12-14
US12/637,104 US8227708B2 (en) 2009-12-14 2009-12-14 Via structure integrated in electronic substrate
PCT/US2010/060362 WO2011075491A1 (en) 2009-12-14 2010-12-14 Via structure integrated in electronic substrate

Publications (2)

Publication Number Publication Date
JP2013513976A true JP2013513976A (ja) 2013-04-22
JP5568644B2 JP5568644B2 (ja) 2014-08-06

Family

ID=43504070

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012544725A Expired - Fee Related JP5568644B2 (ja) 2009-12-14 2010-12-14 電子基板に組み込まれたビア構造

Country Status (7)

Country Link
US (1) US8227708B2 (ja)
EP (1) EP2513969B1 (ja)
JP (1) JP5568644B2 (ja)
KR (1) KR101394062B1 (ja)
CN (1) CN102656687B (ja)
TW (1) TW201133758A (ja)
WO (1) WO2011075491A1 (ja)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8791015B2 (en) * 2011-04-30 2014-07-29 Stats Chippac, Ltd. Semiconductor device and method of forming shielding layer over active surface of semiconductor die
US8541883B2 (en) 2011-11-29 2013-09-24 Advanced Semiconductor Engineering, Inc. Semiconductor device having shielded conductive vias
US8809995B2 (en) 2012-02-29 2014-08-19 International Business Machines Corporation Through silicon via noise suppression using buried interface contacts
US9153542B2 (en) 2012-08-01 2015-10-06 Advanced Semiconductor Engineering, Inc. Semiconductor package having an antenna and manufacturing method thereof
KR101975541B1 (ko) * 2012-09-03 2019-05-07 에스케이하이닉스 주식회사 반도체 메모리 소자의 tsv 구조 및 그 테스트 방법
DE112013002916T5 (de) * 2013-06-27 2015-03-05 Intel IP Corporation Hochleitende, hochfrequente Durchkontaktierung für elektronische Anlagen
CN103311141B (zh) * 2013-07-05 2016-01-20 北京理工大学 一种同轴垂直互连导电体的制作方法
CN103633045B (zh) * 2013-11-04 2016-08-17 中国航天科技集团公司第九研究院第七七一研究所 基于soi的tsv高频立体集成互连结构
KR102214798B1 (ko) * 2014-02-05 2021-02-10 삼성전자주식회사 패키지 기판 및 이를 포함하는 반도체 패키지
US9899378B2 (en) 2015-12-14 2018-02-20 International Business Machines Corporation Simultaneously fabricating a high voltage transistor and a finFET
US10490483B2 (en) * 2016-03-07 2019-11-26 Micron Technology, Inc. Low capacitance through substrate via structures
US10170432B2 (en) 2017-04-20 2019-01-01 Nanya Technology Corporation Semiconductor structure
CN109449138B (zh) * 2018-09-28 2022-09-02 杭州电子科技大学 一种差分多比特硅通孔结构及其制备方法
CN109411433B (zh) * 2018-09-28 2022-09-13 杭州电子科技大学 一种屏蔽差分多比特硅通孔结构及其制备方法
CN109461699B (zh) * 2018-10-22 2020-08-14 中国电子科技集团公司第三十八研究所 一种同轴tsv结构转接板及其制作方法
CN112236859A (zh) * 2020-09-11 2021-01-15 长江存储科技有限责任公司 具有屏蔽结构的半导体器件
CN112490610B (zh) * 2020-11-27 2021-09-28 浙江集迈科微电子有限公司 用于硅基射频收发微系统的三维多通道功分器及制备方法
US11973057B2 (en) 2020-12-15 2024-04-30 Analog Devices, Inc. Through-silicon transmission lines and other structures enabled by same
US11515204B2 (en) 2020-12-29 2022-11-29 Micron Technology, Inc. Methods for forming conductive vias, and associated devices and systems
US11574842B2 (en) 2021-04-14 2023-02-07 Micron Technology, Inc. Methods for forming conductive vias, and associated devices and systems
CN117810205A (zh) * 2022-09-23 2024-04-02 长鑫存储技术有限公司 半导体结构及其形成方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06216477A (ja) * 1993-01-18 1994-08-05 Hitachi Ltd 配線基板及びこれを用いた電子回路装置
JPH0778522A (ja) * 1993-09-07 1995-03-20 Hitachi Ltd マルチストランド超電導導体
JPH11195706A (ja) * 1998-01-05 1999-07-21 Toshiba Corp 半導体装置及びその製造方法
JP2002353588A (ja) * 2001-05-29 2002-12-06 Mitsubishi Electric Corp 配線基板及び配線基板の製造方法
JP2006019455A (ja) * 2004-06-30 2006-01-19 Nec Electronics Corp 半導体装置およびその製造方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5275715A (en) * 1992-01-23 1994-01-04 Micron Technology Inc. Electroplating process for enhancing the conformality of titanium and titanium nitride films in the manufacture of integrated circuits and structures produced thereby
KR100320364B1 (ko) * 1993-03-23 2002-04-22 가와사키 마이크로 엘렉트로닉스 가부시키가이샤 금속배선및그의형성방법
US5604158A (en) * 1993-03-31 1997-02-18 Intel Corporation Integrated tungsten/tungsten silicide plug process
JPH08176823A (ja) * 1994-12-26 1996-07-09 Sony Corp 高融点金属薄膜の成膜方法
JPH08293523A (ja) * 1995-02-21 1996-11-05 Seiko Epson Corp 半導体装置およびその製造方法
US5994220A (en) * 1996-02-02 1999-11-30 Micron Technology, Inc. Method for forming a semiconductor connection with a top surface having an enlarged recess
US5930671A (en) * 1997-10-20 1999-07-27 Industrial Technology Research Institute CVD titanium silicide for contract hole plugs
US5949030A (en) * 1997-11-14 1999-09-07 International Business Machines Corporation Vias and method for making the same in organic board and chip carriers
US6284316B1 (en) * 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
US6518176B2 (en) * 1998-06-05 2003-02-11 Ted Guo Method of selective formation of a barrier layer for a contact level via
US6122187A (en) * 1998-11-23 2000-09-19 Micron Technology, Inc. Stacked integrated circuits
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
CN100339990C (zh) * 2002-03-20 2007-09-26 皇家飞利浦电子股份有限公司 有源矩阵电致发光显示装置及其制造方法
US7169704B2 (en) * 2002-06-21 2007-01-30 Samsung Electronics Co., Ltd. Method of cleaning a surface of a water in connection with forming a barrier layer of a semiconductor device
US7081650B2 (en) * 2003-03-31 2006-07-25 Intel Corporation Interposer with signal and power supply through vias
US6897548B2 (en) * 2003-07-02 2005-05-24 Hewlett-Packard Development Company, L.P. Semiconductor differential interconnect
US7230318B2 (en) * 2003-12-24 2007-06-12 Agency For Science, Technology And Research RF and MMIC stackable micro-modules
US7330709B2 (en) * 2004-06-18 2008-02-12 Nantero, Inc. Receiver circuit using nanotube-based switches and logic
SG135065A1 (en) * 2006-02-20 2007-09-28 Micron Technology Inc Conductive vias having two or more elements for providing communication between traces in different substrate planes, semiconductor device assemblies including such vias, and accompanying methods
US8288828B2 (en) * 2004-09-09 2012-10-16 International Business Machines Corporation Via contact structure having dual silicide layers
TWI248330B (en) * 2005-01-14 2006-01-21 Ind Tech Res Inst High frequency and wide band impedance matching via
JP5117791B2 (ja) * 2007-08-22 2013-01-16 ルネサスエレクトロニクス株式会社 半導体装置
JP5656341B2 (ja) * 2007-10-29 2015-01-21 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. 半導体装置およびその製造方法
US7898063B2 (en) * 2008-02-16 2011-03-01 International Business Machines Corporation Through substrate annular via including plug filler
KR20090096174A (ko) * 2008-03-07 2009-09-10 주식회사 하이닉스반도체 회로 기판 및 이를 이용한 반도체 패키지
KR101052870B1 (ko) * 2008-04-21 2011-07-29 주식회사 하이닉스반도체 관통 전극, 이를 갖는 회로 기판, 이를 갖는 반도체 패키지및 반도체 패키지를 갖는 적층 반도체 패키지
US8343806B2 (en) * 2009-03-05 2013-01-01 Raytheon Company Hermetic packaging of integrated circuit components
US8362356B2 (en) * 2009-08-13 2013-01-29 Gtat Corporation Intermetal stack for use in a photovoltaic device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06216477A (ja) * 1993-01-18 1994-08-05 Hitachi Ltd 配線基板及びこれを用いた電子回路装置
JPH0778522A (ja) * 1993-09-07 1995-03-20 Hitachi Ltd マルチストランド超電導導体
JPH11195706A (ja) * 1998-01-05 1999-07-21 Toshiba Corp 半導体装置及びその製造方法
JP2002353588A (ja) * 2001-05-29 2002-12-06 Mitsubishi Electric Corp 配線基板及び配線基板の製造方法
JP2006019455A (ja) * 2004-06-30 2006-01-19 Nec Electronics Corp 半導体装置およびその製造方法

Also Published As

Publication number Publication date
KR101394062B1 (ko) 2014-05-13
US20110139497A1 (en) 2011-06-16
TW201133758A (en) 2011-10-01
EP2513969B1 (en) 2019-01-16
JP5568644B2 (ja) 2014-08-06
WO2011075491A1 (en) 2011-06-23
EP2513969A1 (en) 2012-10-24
CN102656687A (zh) 2012-09-05
KR20120102778A (ko) 2012-09-18
US8227708B2 (en) 2012-07-24
CN102656687B (zh) 2017-03-22

Similar Documents

Publication Publication Date Title
JP5568644B2 (ja) 電子基板に組み込まれたビア構造
JP5602892B2 (ja) ウェハ裏面のキャパシタを有する半導体デバイスを形成する方法
US8748287B2 (en) System on a chip with on-chip RF shield
US9406738B2 (en) Inductive structure formed using through silicon vias
KR100569590B1 (ko) 고주파 반도체 장치 및 그 제조방법
CN102760563B (zh) 集成变压器
US8796140B1 (en) Hybrid conductor through-silicon-via for power distribution and signal transmission
US9478508B1 (en) Microwave integrated circuit (MMIC) damascene electrical interconnect for microwave energy transmission
US20020102835A1 (en) Method of fabrication and device for electromagnetic-shielding structures in a damascene-based interconnect scheme
JP2006019455A (ja) 半導体装置およびその製造方法
US9184113B1 (en) Methods of forming coaxial feedthroughs for 3D integrated circuits
JP2012517109A (ja) 能動および受動デバイスをチップ内に配置する方法
JP2010109269A (ja) 半導体装置
JP2014160723A (ja) 半導体装置及びその製造方法
TW202407945A (zh) 用於邏輯電路的佈線連接的系統以及積體電路及其製造方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131212

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131217

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140317

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140325

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140417

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140424

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140424

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140526

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140623

R150 Certificate of patent or registration of utility model

Ref document number: 5568644

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees