JP2011132589A - 成膜装置、成膜方法及び記憶媒体 - Google Patents

成膜装置、成膜方法及び記憶媒体 Download PDF

Info

Publication number
JP2011132589A
JP2011132589A JP2009295351A JP2009295351A JP2011132589A JP 2011132589 A JP2011132589 A JP 2011132589A JP 2009295351 A JP2009295351 A JP 2009295351A JP 2009295351 A JP2009295351 A JP 2009295351A JP 2011132589 A JP2011132589 A JP 2011132589A
Authority
JP
Japan
Prior art keywords
reaction gas
gas
separation
substrate
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009295351A
Other languages
English (en)
Other versions
JP5482196B2 (ja
Inventor
Hisashi Kato
寿 加藤
Koichi Orito
康一 織戸
Hiroyuki Kikuchi
宏之 菊地
Muneyuki Otani
宗之 尾谷
Takeshi Kumagai
武司 熊谷
Kensaku Narishima
健索 成嶋
Takashi Nishimori
崇 西森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2009295351A priority Critical patent/JP5482196B2/ja
Priority to US12/972,599 priority patent/US20110159188A1/en
Priority to CN2010106218168A priority patent/CN102108496A/zh
Priority to TW099145678A priority patent/TWI493073B/zh
Priority to KR1020100134202A priority patent/KR101425253B1/ko
Publication of JP2011132589A publication Critical patent/JP2011132589A/ja
Application granted granted Critical
Publication of JP5482196B2 publication Critical patent/JP5482196B2/ja
Priority to US14/658,295 priority patent/US20150184294A1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

【課題】真空容器内にて反応ガスによりチタンナイトライド膜を形成するにあたり、当該チタンナイトライド膜を速やかに成膜すると共に、表面形状が平滑なチタンナイトライド膜を得ること。
【解決手段】チタンナイトライド膜の成膜処理を行う時に、回転テーブル2と各ガスノズル31、32、41、42とを100rpm以上で相対的に回転させることによって、反応ガスの供給サイクル(反応生成物の成膜サイクル)を高速で行うことができるので、薄膜を速やかに形成することができ、またサイクル間の時間が極めて短いので、基板の表面に生成した反応生成物の結晶化による粒子径の粗大化が進行する前に次の反応生成物の層を上層側に積層して平滑な表面を得ることができる。
【選択図】図7

Description

本発明は、真空雰囲気にて基板に対して反応ガスによりチタンナイトライド膜の成膜を行う成膜装置、成膜方法及び記憶媒体に関する。
半導体装置の多層配線構造において、下層側の配線層と上層側の配線層との間の層間絶縁膜にこれらの配線層同士を接続するためのコンタクトホールを形成したコンタクト構造では、このコンタクトホール内に埋め込む金属材料としてアルミニウムを用いる場合がある。このコンタクトホールの内壁面には、アルミニウムが層間絶縁膜内へ拡散するのを防止するためのバリア膜として、例えばTiN(チタンナイトライド、窒化チタン)膜が形成される。
このようなバリア膜をコンタクトホールの内壁面に形成するにあたり、従来のCVD(Chemical Vapor Deposition)法は被覆性が良好でないことから、これに代わる成膜手法として、例えばALD(Atomic Layer Deposition)法やMLD(Molecular Layer Deposition)法あるいはSFD(Sequential Flow Deposition)法などが検討されている。
これらの成膜手法においてTiN膜を成膜する場合には、例えばTiCl4(塩化チタン)ガス及び例えばNH3(アンモニア)ガスを半導体ウエハ上に交互に供給して、TiNの分子層が順次積層される。このような手法は、埋め込み特性(被覆率)が90%以上にもなり、埋め込み特性を大きく改善できるが、成膜速度が遅いため生産性が悪いという課題がある。また、各回のTiCl4ガスの雰囲気をTiCl4ガスの吸着が飽和するまで維持しておくと、即ち飽和吸着を行うと、膜表面のモフォロジー(面状態)を制御することができない。つまり、ウエハ上において反応ガスの吸着量が飽和するまで当該反応ガスの吸着時間(反応ガスの供給時間)を長く取ると、TiN膜の場合には、例えばNH3ガスを供給している間に、ウエハの表面にて生成したTiN粒子の結晶化が進行することによって原子や分子のマイグレーション(移動)が起こり、薄膜の表面モフォロジーが悪化してしまう。尚、CVD法においては、このような結晶化の進行は避けられない。
そのため、次世代向けのキャパシター電極例えばZrO(酸化ジルコニウム)、TiO(酸化チタン)、TaO(酸化タンタル)などのバリア膜としてTiN膜を用いる場合には、当該TiN膜の表面形状が粗いと、キャパシター電極に部分的に電荷が集中してしまう。
更に、TiNのマイグレーションを抑えるために例えば低温で成膜を行う場合には、例えば反応ガスの分解が不十分となり、反応ガス中のCl(塩素)などが薄膜中に取り込まれて設定通りの電気特性が得られなくなってしまう場合もある。
特許文献1〜3には、ALD法などについて記載されているが、既述の課題については検討されていない。
米国特許公報7,153,542号 特許3144664号公報 米国特許公報6,869,641号
本発明はこのような事情に基づいて行われたものであり、その目的は、真空容器内にて基板に対して反応ガスによりチタンナイトライド膜を形成するにあたり、当該チタンナイトライド膜を速やかに成膜できると共に、表面形状が平滑なチタンナイトライド膜を得ることのできる成膜装置、成膜方法及びこの方法を実施するプログラムを格納した記憶媒体を提供することにある。
本発明の成膜装置は、
真空容器内にてTiを含む第1の反応ガス及びNを含む第2の反応ガスを順番に基板の表面に供給してチタンナイトライド膜を形成する成膜装置において、
前記真空容器内に設けられ、基板を載置するための基板載置領域が設けられたテーブルと、
前記真空容器の周方向に互いに離れて設けられ、前記テーブル上の基板に前記第1の反応ガス及び前記第2の反応ガスを夫々供給するための第1の反応ガス供給手段及び第2の反応ガス供給手段と、
前記第1の反応ガスが供給される第1の処理領域と前記第2の反応ガスが供給される第2の処理領域との間に設けられ、両反応ガスの混合を防止するための分離領域と、
前記第1の処理領域と前記第2の処理領域とを基板がこの順番で位置するように、前記第1の反応ガス供給手段及び前記第2の反応ガス供給手段と前記テーブルとを前記真空容器の周方向に相対的に回転させる回転機構と、
前記真空容器内を真空排気する真空排気手段と、
前記基板への成膜時に、前記第1の反応ガス供給手段及び前記第2の反応ガス供給手段と前記テーブルとを前記回転機構を介して100rpm以上で回転させる制御部と、を備えたことを特徴とする。
さらに前記テーブル上の基板に対してNH3ガスまたはH2ガスの少なくとも一方のプラズマを供給するための活性化ガスインジェクターを備え、
この活性化ガスインジェクターは、前記回転機構により、前記第1の反応ガス供給手段及び前記第2の反応ガス供給手段と共に前記テーブルに対して相対的に回転するように構成され、前記相対的な回転時に前記プラズマが前記第2の処理領域と前記第1の処理領域との間において基板に供給されるように配置されているても良い。
前記分離領域は、分離ガスを供給する分離ガス供給手段を備えていても良いし、更にこの分離ガス供給手段における前記周方向両側に位置し、当該分離領域から処理領域側に分離ガスが流れるための狭隘な空間を前記テーブルとの間に形成するための天井面を備えていても良い。
前記第1の反応ガス供給手段及び前記第2の反応ガス供給手段は、前記第1の処理領域及び前記第2の処理領域における夫々の天井面から離間して基板の近傍に夫々設けられ、前記基板の方向に向けて前記第1の反応ガス及び前記第2の反応ガスを夫々供給する手段であることが好ましい。
本発明の成膜方法は、
真空容器内にてTiを含む第1の反応ガス及びNを含む第2の反応ガスを順番に基板の表面に供給してチタンナイトライド膜を形成する成膜方法において、
基板を載置するための基板載置領域が設けられたテーブル上に基板を載置する工程と、
次いで、前記真空容器の周方向に互いに離れて設けられた第1の反応ガス供給手段及び第2の反応ガス供給手段から、前記テーブルの表面に対して夫々前記第1の反応ガス及び前記第2の反応ガスを供給する工程と、
前記第1の反応ガスが供給される第1の処理領域と前記第2の反応ガスが供給される第2の処理領域との間に設けられた分離領域において両反応ガスの混合を防止する工程と、
前記第1の処理領域と前記第2の処理領域とを基板がこの順番で位置するように、前記第1の反応ガス供給手段及び前記第2の反応ガス供給手段と前記テーブルとを相対的に前記真空容器の周方向に100rpm以上で回転させる工程と、
前記真空容器内を真空排気する工程と、を含むことを特徴とする。
前記テーブル上の基板に対して活性化ガスインジェクターからNH3ガスまたはH2ガスの少なくとも一方のプラズマを供給する工程を含み、
前記回転させる工程は、前記相対的回転時において前記第2の処理領域と前記第1の処理領域との間において前記プラズマが基板に対して供給されるように、前記第1の反応ガス供給手段及び前記第2の反応ガス供給手段と共に前記活性化ガスインジェクターを前記テーブルに対して相対的に回転させる工程であることが好ましい。
前記両ガスの混合を防止する工程は、分離ガス供給手段から前記分離領域に分離ガスを供給する工程を含んでいても良いし、更に前記分離ガス供給手段における前記周方向両側に位置し、前記分離領域から処理領域側に分離ガスが流れるために前記テーブルと前記真空容器の天井面との間に形成された狭隘な空間に前記分離ガス供給手段から分離ガスを供給する工程を含んでいても良い。
前記第1の反応ガス及び前記第2の反応ガスを供給する工程は、前記第1の処理領域及び前記第2の処理領域における夫々の天井面から離間して基板の近傍に夫々設けられた前記第1の反応ガス供給手段及び前記第2の反応ガス供給手段から、前記基板の方向に向けて前記第1の反応ガス及び前記第2の反応ガスを夫々供給する工程であることが好ましい。
本発明の記憶媒体は、
真空容器内にてTiを含む第1の反応ガス及びNを含む第2の反応ガスを順番に基板の表面に供給してチタンナイトライド膜を形成する成膜装置に用いられるプログラムを格納する記憶媒体であって、
前記プログラムは、前記いずれかの基板処理方法を実施するようにステップ群が組まれていることを特徴とする。
本発明は、真空容器内にてTiを含む第1の反応ガスとNを含む第2の反応ガスとにより基板の表面にチタンナイトライド膜を形成するにあたって、基板を載置するためのテーブルと、前記2種類の反応ガスをテーブル上の基板に夫々供給するための第1の反応ガス供給手段及び第2の反応ガス供給手段と、を真空容器の周方向に相対的に100rpm以上で回転させて両反応ガスを交互に供給している。そのため、前記両反応ガスの供給サイクルを高速で行うことができるので、チタンナイトライド膜を速やかに成膜でき、またサイクル間の時間を極めて短くできるので、基板の表面に生成した反応生成物の結晶化による粒子径の粗大化が進行する前に次の反応生成物の層を上層側に積層して、いわば上層側の反応生成物により下層側の反応生成物における原子や分子のマイグレーション(移動)を規制することができるため、表面モフォロジーの良好な(表面形状が平滑な)チタンナイトライド膜を得ることができる。
本発明の実施の形態に係る成膜装置の縦断面図である。 前記成膜装置の内部の概略構成を示す斜視図である。 前記成膜装置の横断平面図である。 前記成膜装置における処理領域及び分離領域を示す縦断面図である。 前記成膜装置における処理領域及び分離領域を示す縦断面図である。 前記成膜装置の一部を示す縦断面図である。 前記成膜装置においてTiN膜を成膜する時の作用の一例を示す模式図である。 前記成膜装置の真空容器内のガス流を示す概略図である。 従来のALD法を用いてTiN膜を成膜した場合の作用の一例を示す模式図である。 前記他の例の成膜装置を示す平面図である。 前記他の例の成膜装置を示す一部分解斜視図である。 前記他の例の成膜装置を示す一部拡大断面図である。 前記他の例の成膜装置における作用の一例を示す模式図である。 本発明の実施例において得られた結果を示す特性図である。 本発明の実施例において得られた結果を示す特性図である。
[第1の実施の形態]
本発明の第1の実施の形態の成膜装置は、図1(図3のI−I’線に沿った断面図)〜図3に示すように、平面形状が概ね円形である扁平な真空容器(チャンバー)1と、この真空容器1内に設けられ、当該真空容器1の中心に回転中心を有する回転テーブル2と、を備えている。真空容器1は天板11が容器本体12から着脱できるように構成されている。この天板11は、真空容器1内が減圧されることにより、容器本体12の上面の周縁部にリング状に設けられたシール部材例えばOリング13を介して容器本体12側に引きつけられて気密状態を維持しているが、容器本体12から分離するときには図示しない駆動機構により上方に持ち上げられる。
回転テーブル2は、中心部にて円筒形状のコア部21に固定され、このコア部21は、鉛直方向に伸びる回転軸22の上端に固定されている。回転軸22は真空容器1の底面部14を貫通し、その下端が当該回転軸22を鉛直軸回りにこの例では時計回りに回転させる回転機構である駆動部23に取り付けられている。そして、後述するように、回転テーブル2はこの駆動部23により、薄膜の成膜中において例えば100rpm〜240rpmで鉛直方向軸回りに回転できるように構成されている。回転軸22及び駆動部23は、上面が開口した筒状のケース体20内に収納されている。このケース体20はその上面に設けられたフランジ部分が真空容器1の底面部14の下面に気密に取り付けられており、ケース体20の内部雰囲気と外部雰囲気との気密状態が維持されている。
回転テーブル2の表面部には、図2及び図3に示すように回転方向(周方向)に沿って複数枚例えば5枚の基板である半導体ウエハ(以下「ウエハ」という)Wを載置するための円形状の凹部24が設けられている。なお図3には便宜上1個の凹部24だけにウエハWを描いてある。ここで図4は、回転テーブル2を同心円に沿って切断しかつ横に展開して示す展開図であり、凹部24は、図4(a)に示すようにその直径がウエハWの直径よりも僅かに例えば4mm大きく、またその深さはウエハWの厚みと同等の大きさに設定されている。従ってウエハWを凹部24に落とし込むと、ウエハWの表面と回転テーブル2の表面(ウエハWが載置されない領域)とが揃うことになる。凹部24の底面には、ウエハWの裏面を支えて当該ウエハWを昇降させるための3本の昇降ピンが貫通する貫通孔(いずれも図示せず)が形成されている。
この凹部24は、ウエハWを位置決めして回転テーブル2の回転に伴なう遠心力により飛び出さないようにするためのものであり、本発明の基板載置領域に相当する部位である。
図2及び図3に示すように真空容器1には、回転テーブル2における凹部24の通過領域と各々対向する上位置に、第1の反応ガスノズル31及び第2の反応ガスノズル32と2本の分離ガスノズル41、42とが真空容器1の周方向(回転テーブル2の回転方向)に互いに間隔をおいて中心部から放射状に伸びている。この例では、後述の搬送口15から見て時計回りに第2の反応ガスノズル32、分離ガスノズル41、第1の反応ガスノズル31及び分離ガスノズル42がこの順に配列されている。これら反応ガスノズル31、32及び分離ガスノズル41、42は、例えば真空容器1の側周壁に取り付けられており、その基端部であるガス導入ポート31a、32a、41a、42aは当該側壁を貫通している。
これらのガスノズル31、32、41、42は、真空容器1の周壁部から真空容器1内に導入されている。
第1の反応ガスノズル31及び第2の反応ガスノズル32は、夫々図示しない流量調整バルブなどを介して、夫々第1の反応ガス(処理ガス)であるTi(チタン)を含む反応ガス例えばTiCl4(塩化チタン)ガス及び第2の反応ガスであるN(窒素)を含む反応ガス例えばNH3(アンモニア)ガスの供給源(いずれも図示せず)に接続されている。また、分離ガスノズル41、42は、いずれも流量調整バルブなどを介して分離ガス(不活性ガス)であるN2(窒素)ガスが貯留されたガス供給源(図示せず)に接続されている。
反応ガスノズル31、32には、下方側に反応ガスを吐出するための処理ガス供給口として例えば口径が0.3mmの吐出孔33が真下を向いてノズルの長さ方向に例えば2.5mmの間隔を置いて配列されている。また分離ガスノズル41、42には、下方側に分離ガスを吐出するための例えば口径が0.5mmの吐出孔40が真下を向いて長さ方向に例えば10mm程度の間隔を置いて穿設されている。反応ガスノズル31、32は夫々第1の反応ガス供給手段及び第2の反応ガス供給手段に相当し、分離ガスノズル41、42は分離ガス供給手段に相当する。また、反応ガスノズル31、32の下方領域は夫々TiCl4ガスをウエハWに吸着させるための第1の処理領域91及びNH3ガスをウエハWに吸着させるための第2の処理領域92となる。
既述の図1〜図4では省略しているが、反応ガスノズル31、32は、図5(a)に示すように、処理領域91、92における天井面45から離間してウエハWの近傍に夫々設けられており、ノズル31、32の長さ方向に沿ってこれらのノズル31、32を上方側から覆うと共に、下方側が開口するノズルカバー120を備えている。回転テーブル2の回転方向におけるノズルカバー120の両側面部は、下端部が水平方向に伸び出してフランジ状の整流部材121をなしている。この整流部材121は、処理領域91、92内への分離ガスの回り込み及びノズル31、32の上方側への反応ガスの舞い上がりを抑えるためのものであり、回転テーブル2の中心側から外周側に向かうにつれて、幅寸法が大きくなっている。そのため、図5(b)に示すように、これらのノズル31、32の上流側から各処理領域91、92に向かってくる分離ガスは、ノズルカバー120の上方領域を通って排気口61、62へと各々排気されていき、各処理領域91、92における反応ガスの濃度が高く保たれることになる。尚、この図5は、回転テーブル2の周方向に沿って装置を縦断して展開した図であり、成膜装置では処理領域91,92及び分離領域Dよりも外方領域に排気口61、62が設けられているが、各ガスの流れを示すために便宜上処理領域91,92及び分離領域Dと排気口61、62とを同一平面に示している。また、この整流部材121は、図5のように回転テーブル2の回転方向両側面に形成しても良いし、上流側及び下流側の一方だけに設けても良い。
分離ガスノズル41、42は、第1の処理領域91と第2の処理領域92とを分離するための分離領域Dを形成するためのものであり、この分離領域Dにおける真空容器1の天板11には図2〜図4に示すように、回転テーブル2の回転中心を中心としかつ真空容器1の内周壁の近傍に沿って描かれる円を周方向に分割してなる、平面形状が扇型で下方に突出した凸状部4が設けられている。分離ガスノズル41、42は、この凸状部4における前記円の周方向中央にて当該円の半径方向に伸びるように形成された溝部43内に収められている。即ち分離ガスノズル41(42)の中心軸から凸状部4である扇型の両縁(回転テーブル2の回転方向上流側の縁及び下流側の縁)までの距離は同じ長さに設定されている。
なお、溝部43は、本実施形態では凸状部4を二等分するように形成されているが、他の実施形態においては、例えば溝部43から見て凸状部4における回転テーブル2の回転方向上流側が前記回転方向下流側よりも広くなるように溝部43を形成してもよい。
従って分離ガスノズル41、42における前記回転方向両側には、前記凸状部4の下面である例えば平坦な低い天井面44(第1の天井面)が存在し、この天井面44の前記回転方向両側には、当該天井面44よりも高い天井面45(第2の天井面)が存在することになる。この凸状部4の役割は、回転テーブル2との間に第1の反応ガス及び第2の反応ガスの侵入を阻止してこれら反応ガスの混合を阻止するための狭隘な空間である分離空間を形成することにある。
即ち、分離ガスノズル41を例にとると、回転テーブル2の回転方向上流側からNH3ガスが侵入することを阻止し、また回転方向下流側からTiCl4ガスが侵入することを阻止する。
この例では直径300mmのウエハWを被処理基板としており、この場合凸状部4は、回転テーブル2の回転中心から140mm外周側に離れた部位(後述の突出部5との境界部位)においては、周方向の長さ(回転テーブル2と同心円の円弧の長さ)が例えば146mmであり、ウエハWの載置領域(凹部24)の最も外側部位においては、周方向の長さが例えば502mmである。なお図4(a)に示すように、当該外側部位において分離ガスノズル41(42)の両脇から夫々左右に位置する凸状部4の周方向の長さLでみれば、長さLは246mmである。
また図4(a)に示すように凸状部4の下面即ち天井面44における回転テーブル2の表面までの高さhは、例えば0.5mmから4mmに設定されている。そのため、分離領域Dの分離機能を確保するためには、回転テーブル2の回転数の使用範囲などに応じて、凸状部4の大きさや凸状部4の下面(第1の天井面44)と回転テーブル2の表面との高さhを例えば実験などに基づいて設定することになる。なお分離ガスとしては、窒素(N2)ガスに限られずアルゴン(Ar)ガスなどの不活性ガスなどを用いることができる。
天板11の下面には、回転テーブル2におけるコア部21よりも外周側の部位と対向するようにかつ当該コア部21の外周に沿って突出部5が設けられている。この突出部5は凸状部4における回転テーブル2の回転中心側の部位と連続して形成されており、その下面が凸状部4の下面(天井面44)と同じ高さに形成されている。図2及び図3は、前記天井面45よりも低くかつ分離ガスノズル41、42よりも高い位置にて天板11を水平に切断して示している。なお突出部5と凸状部4とは、必ずしも一体であることに限られるものではなく、別体であってもよい。
真空容器1の天板11の下面、つまり回転テーブル2のウエハ載置領域(凹部24)から見た天井面は既述のように第1の天井面44とこの天井面44よりも高い第2の天井面45とが周方向に存在するが、図1では、高い天井面45が設けられている領域についての縦断面を示しており、図6では、低い天井面44が設けられている領域についての縦断面を示している。扇型の凸状部4の周縁部(真空容器1の外縁側の部位)は図2及び図6に示されているように回転テーブル2の外端面に対向するようにL字型に屈曲して屈曲部46を形成している。扇型の凸状部4は天板11側に設けられていて、容器本体12から取り外せるようになっていることから、前記屈曲部46の外周面と容器本体12との間には僅かに隙間がある。この屈曲部46も凸状部4と同様に両側から反応ガスが侵入することを防止して、両反応ガスの混合を防止する目的で設けられており、屈曲部46の内周面と回転テーブル2の外端面との隙間、及び屈曲部46の外周面と容器本体12との隙間は、回転テーブル2の表面に対する天井面44の高さhと同様の寸法に設定されている。この例においては、回転テーブル2の表面側領域からは、屈曲部46の内周面が真空容器1の内周壁を構成していると見ることができる。
容器本体12の内周壁は、分離領域Dにおいては図6に示すように前記屈曲部46の外周面と接近して垂直面に形成されているが、分離領域D以外の部位においては、図1に示すように例えば回転テーブル2の外端面と対向する部位から底面部14に亘って縦断面形状が矩形に切り欠かれて外方側に窪んだ構造になっている。この窪んだ部位における既述の第1の処理領域91及び第2の処理領域92に連通する領域を夫々第1の排気領域E1及び第2の排気領域E2と呼ぶことにすると、これらの第1の排気領域E1及び第2の排気領域E2の底部には、図3に示すように、夫々第1の排気口61及び第2の排気口62が形成されている。図1に示すように、これら排気口61、62は、各々排気路63を介して真空排気手段である例えば真空ポンプ64に接続されている。なお図1中、65は圧力調整手段であり、各々の排気路63毎に設けられている。
既述のように、排気口61、62は、分離領域Dの分離作用が働くように、平面で見たときに前記分離領域Dの前記回転方向両側に設けられている。詳しく言えば、回転テーブル2の回転中心から見て第1の処理領域91とこの第1の処理領域91に対して例えば回転方向下流側に隣接する分離領域Dとの間に第1の排気口61が形成され、回転テーブル2の回転中心から見て第2の処理領域92とこの第2の処理領域92に対して例えば回転方向下流側に隣接する分離領域Dとの間に第2の排気口62が形成されており、これらの排気口61、62は、夫々各反応ガス(TiCl4ガス及びNH3ガス)の排気を専用に(個別に)行うように配置されている。この例では一方の排気口61は、第1の反応ガスノズル31とこの反応ガスノズル31に対して前記回転方向下流側に隣接する分離領域Dの第1の反応ガスノズル31側の縁の延長線との間に設けられ、また他方の排気口61は、第2の反応ガスノズル32とこの反応ガスノズル32に対して前記回転方向下流側に隣接する分離領域Dの第2の反応ガスノズル32側の縁の延長線との間に設けられている。即ち、第1の排気口61は、図3中に一点鎖線で示した回転テーブル2の中心と第1の処理領域91とを通る直線L1と、回転テーブル2の中心と前記第1の処理領域91の下流側に隣接する分離領域Dの上流側の縁を通る直線L2との間に設けられ、第2の排気口62は、この図3に二点鎖線で示した回転テーブル2の中心と第2の処理領域92とを通る直線L3と、回転テーブル2の中心と前記第2の処理領域92の下流側に隣接する分離領域Dの上流側の縁を通る直線L4との間に位置している。
この例では、排気口61、62を回転テーブル2よりも低い位置に設けることで真空容器1の内周壁と回転テーブル2の周縁との間の隙間から排気するようにしているが、真空容器1の底面部に設けることに限られず、真空容器1の側壁に排気口61、62を設けてもよい。
前記回転テーブル2と真空容器1の底面部14との間の空間には、図1に示すように加熱手段であるヒータユニット7が設けられており、回転テーブル2を介して回転テーブル2上のウエハWをプロセスレシピで決められた温度に加熱するように構成されている。前記回転テーブル2の周縁付近の下方側には、回転テーブル2の上方空間から排気領域Eに至るまでの雰囲気とヒータユニット7が置かれている雰囲気とを区画するために、ヒータユニット7を全周に亘って囲むようにカバー部材71が設けられている。このカバー部材71は上縁が外側に屈曲されてフランジ形状に形成され、その屈曲面と回転テーブル2の下面との間の隙間を小さくして、カバー部材71内に外方からガスが侵入することを抑えている。
ヒータユニット7が配置されている空間よりも回転中心寄りの部位における底面部14は、回転テーブル2の下面の中心部付近、コア部21に接近してその間は狭い空間になっており、また当該底面部14を貫通する回転軸22の貫通穴についてもその内周面と回転軸22との隙間が狭くなっていて、これら狭い空間は前記ケース体20内に連通している。そして前記ケース体20にはパージガスであるN2ガスを前記狭い空間内に供給してパージするためのパージガス供給管72が設けられている。また真空容器1の底面部14には、ヒータユニット7の下方側位置にて周方向の複数部位に、ヒータユニット7の配置空間をパージするためのパージガス供給管73が設けられている。
このようにパージガス供給管72、73を設けることにより、ケース体20内からヒータユニット7の配置空間に至るまでの空間がN2ガスでパージされ、このパージガスが回転テーブル2とカバー部材71との間の隙間から排気領域Eを介して排気口61、62に排気される。これによって既述の第1の処理領域91と第2の処理領域92との一方から回転テーブル2の下方を介して他方にTiCl4ガスあるいはNH3ガスの回り込みが防止されるため、このパージガスは分離ガスの役割も果たしている。
また真空容器1の天板11の中心部には分離ガス供給管51が接続されていて、天板11とコア部21との間の空間52に分離ガスであるN2ガスを供給するように構成されている。この空間52に供給された分離ガスは、前記突出部5と回転テーブル2との狭い隙間50を介して回転テーブル2のウエハ載置領域側の表面に沿って周縁に向けて吐出されることになる。この突出部5で囲まれる空間には分離ガスが満たされているので、第1の処理領域91と第2の処理領域92との間で回転テーブル2の中心部を介して反応ガス(TiCl4ガス及びNH3ガス)が混合することを防止している。
更に真空容器1の側壁には図2及び図3に示すように外部の搬送アーム10と回転テーブル2との間でウエハWの受け渡しを行うための搬送口15が形成されており、この搬送口15は図示しないゲートバルブにより開閉されるようになっている。また回転テーブル2におけるウエハ載置領域である凹部24はこの搬送口15に臨む位置にて搬送アーム10との間でウエハWの受け渡しが行われることから、回転テーブル2の下方側において当該受け渡し位置に対応する部位に、凹部24を貫通してウエハWを裏面から持ち上げるための受け渡し用の昇降ピン16の昇降機構(図示せず)が設けられる。
また、この成膜装置は、既述の図1に示したように、装置全体の動作のコントロールを行うためのコンピュータからなる制御部80を備えており、制御部80は、図示しないCPU、メモリ、処理プログラム及びワークメモリを備えている。このメモリには、ウエハWに対して行う処理の種別毎に、ウエハWの加熱温度、各反応ガスの流量、真空容器1内の処理圧力及び回転テーブル2の回転数などのレシピ(処理条件)が記憶されており、ウエハWに対して反応ガスを供給して薄膜の成膜処理を行う時には、回転テーブル2の回転数は、薄膜を速やかに成膜すると共に、後述の実施例に示すように、薄膜の表面モフォロジーを良好にする(表面の面状態を平滑にする)ために、例えば100rpm〜240rpmに設定される。前記処理プログラムは、ハードディスク、コンパクトディスク、光磁気ディスク、メモリカード、フレキシブルディスクなどの記憶媒体85から制御部80内にインストールされる。
次に、上述の第1の実施の形態の作用について、図7及び図8を参照して説明する。先ず、図示しないゲートバルブを開き、外部から搬送アーム10により搬送口15を介してウエハWを回転テーブル2の凹部24内に受け渡す。この受け渡しは、凹部24が搬送口15に臨む位置に停止したときに、凹部24の底面の貫通孔を介して真空容器1の底部側から昇降ピン16が昇降することにより行われる。このようなウエハWの受け渡しを回転テーブル2を間欠的に回転させて行い、回転テーブル2の5つの凹部24内に夫々ウエハWを載置する。続いて、ゲートバルブを閉じて圧力調整手段65の開度を全開にして真空容器1内を引き切りの状態にして、回転テーブル2を例えば100rpmで時計回りに回転させると共に、ヒータユニット7によりウエハW(回転テーブル2)をTiN(チタンナイトライド、窒化チタン)の結晶化する温度例えば250℃以上の温度この例では400℃に加熱する。
次いで、真空容器1内の圧力値が所定の値例えば1066.4Pa(8Torr)となるように圧力調整手段65の開度を調整する。また、第1の反応ガスノズル31から例えば100sccmでTiCl4ガスを供給すると共に、第2の反応ガスノズル32から例えば5000sccmでNH3ガスを供給する。更に、分離ガスノズル41、42からいずれも10000sccmでN2ガスを供給すると共に、分離ガス供給管51及びパージガス供給管72、73からも所定の流量でN2ガスを真空容器1内に供給する。
そして、回転テーブル2の回転により、ウエハWが第1の処理領域91を通過すると、図7(a)に示すように、当該ウエハWの表面にはTiCl4ガスが吸着する。この時、回転テーブル2を既述のように高速で回転させると共に反応ガスの流量や処理圧力を既述のように設定しているので、ウエハW上のTiCl4の吸着膜151の膜厚t1は、TiCl4ガスの吸着量が飽和するまで例えばウエハWをTiCl4ガスの雰囲気中に静置した時の飽和膜厚t0よりも薄くなる。このようにTiCl4ガスの吸着膜厚t1を飽和膜厚t0よりも薄く形成するにあたって、既述のように回転テーブル2の回転中心から外周側に向かって回転テーブル2と水平に第1の反応ガスノズル31をウエハWに近接させて設けると共に、吐出孔33を当該ガスノズル31の長さ方向に亘って等間隔に設けていることから、更には各処理領域91、92間に分離領域Dを各々設けて真空容器1内におけるガス流の安定化を図っていることから、TiCl4ガスがウエハW上に均一に供給されて、吸着膜151の膜厚がウエハWの面内に亘って均一となる。
次いで、このウエハWが第2の処理領域92を通過すると、図7(b)に示すように、ウエハWの表面の吸着膜151が窒化されてTiN膜152の分子層が1層あるいは複数層生成する。このTiN膜152は、結晶化に伴う原子や分子のマイグレーション(移動)によって、グレインサイズが大きくなろうと(粒成長しようと)する。この粒成長が進行するにつれてTiN膜152の表面モフォロジーが悪化して(表面状態が粗くなって)いくことになるが、既述のように回転テーブル2を高速で回転させているので、表面にTiN膜152が形成されたウエハWは、その後第1の処理領域91を直ぐに通過して、続いて第2の処理領域92に速やかに到達する。即ち、ウエハWの表面へのTiCl4ガスの吸着と、このTiCl4ガスの窒化処理と、からなる処理のサイクル間の時間(TiN膜152の結晶化が進行する時間)が極めて短く設定されていると言える。そのため、図7(c)、(d)に示すように、下層側のTiN膜152の結晶化の進行する前に上層側にTiN膜153が積層されることによって、下層側のTiN膜152における原子や分子の移動が上層側の反応生成物であるTiN膜153によって阻害され、いわば下層側のTiN膜152の表面状態(粒成長)が上層側のTiN膜153によって規制されていることになる。また、吸着膜151の膜厚t1が既述のように薄く形成されているので、TiN膜152においてTiN粒子の結晶化が起こったとしても、成長後のグレインサイズ(表面モフォロジーの悪化の度合い)が小さく抑えられる。従って、この下層側のTiN膜152は、後述の実施例にて説明するように、CVD(Chemical Vapor Deposition)法やサイクル間の時間が長い従来のALD(Atomic Layer Deposition)法などにより成膜した場合と比較して、グレインサイズが極めて小さく、且つ表面状態が平滑となる。
また、上層側のTiN膜153は、続いて速やかにウエハWが処理領域91、92を通過するので、同様に更に上層側に形成されるTiN膜によって原子や分子の移動が規制されることになる。こうしてウエハWが第1の処理領域91と第2の処理領域92とをこの順番で交互に複数回通過することにより、既述のグレインサイズが極めて小さく表面が平滑な反応生成物が順次積層されてTiN膜の薄膜が形成される。この薄膜は、既述のように回転テーブル2を高速で回転させていることから、例えば従来のALD法よりも速やかに成膜される。この時の成膜速度は、各反応ガスの供給量や真空容器1内の処理圧力などに応じて変化するが、一例を挙げると例えば5.47nm/min程度となる。
この時、分離領域DにおいてN2ガスを供給し、また中心部領域Cにおいても分離ガスであるN2ガスを供給しているので、既述のように回転テーブル2を高速で回転させている場合であっても、図8に示すように、TiCl4ガスとNH3ガスとが混合しないように各ガスが排気されることとなる。また、分離領域Dにおいては、屈曲部46と回転テーブル2の外端面との間の隙間が既述のように狭くなっているので、TiCl4ガスとNH3ガスとは、回転テーブル2の外側を介しても混合しない。従って、第1の処理領域91の雰囲気と第2の処理領域92の雰囲気とが完全に分離され、TiCl4ガスは排気口61に、またNH3ガスは排気口62に夫々排気される。この結果、TiCl4ガス及びNH3ガスが雰囲気中においてもウエハW上においても混じり合うことがない。また、回転テーブル2の下方側をN2ガスによりパージしているため、排気領域Eに流入したガスが回転テーブル2の下方側を潜り抜けて、例えばTiCl4ガスがNH3ガスの供給領域に流れ込むといったおそれは全くない。こうして成膜処理が終了すると、ガスの供給を停止して真空容器1内を真空排気し、その後回転テーブル2の回転を停止して各ウエハWを搬入時と逆の動作によって順次搬送アーム10により搬出する。
ここで処理パラメータの一例について記載しておくと、真空容器1の中心部の分離ガス供給管51からのN2ガスの流量は例えば5000sccmである。また1枚のウエハWに対する反応ガス供給のサイクル数、即ちウエハWが処理領域91、92の各々を通過する回数は目標膜厚に応じて変わるが、多数回例えば600回である。
上述の実施の形態によれば、真空容器1内の回転テーブル2上にウエハWを載置して、このウエハWに対して反応ガスを供給して真空雰囲気下においてチタンナイトライド膜の成膜を行うにあたり、薄膜の成膜処理を行う時に、回転テーブル2と各ガスノズル31、32、41、42とを100rpm以上で相対的に真空容器1の周方向に回転させるようにしている。そのため、反応ガスの供給サイクル(反応生成物の成膜サイクル)を高速で行うことができるので、薄膜を速やかに形成することができ、スループットを高めることができる。また、サイクル間の時間が極めて短いので、基板の表面に生成した反応生成物の結晶化による粒子径の粗大化が進行する前に次の反応生成物の層を上層側に積層して、いわば上層側の反応生成物により下層側の反応生成物における原子や分子のマイグレーション(移動)を規制することができるため、結果として表面形状を悪化させるマイグレーションを抑制することができ、従って従来のCVD法やサイクル間の時間が長いALD法によって成膜した薄膜よりも表面形状が平滑な薄膜を得ることができる。
そのため、このTiN膜を次世代キャパシター電極例えばZrO(酸化ジルコニウム)、TiO(酸化チタン)、TaO(酸化タンタル)などのバリア膜として用いた場合には、当該電極における電荷の集中を抑制し、良好な電気的特性を得ることができる。また、半導体装置の多層構造において、上層側の配線層と下層側の配線層との間の層間絶縁膜にこれら配線層同士を接続するアルミニウムなどの金属層を埋め込むためのコンタクトホールなどの凹部に、層間絶縁膜への金属層の拡散を防止するためのバリア膜としてこのTiN膜を用いる時には、このコンタクトホールのアスペクト比が50程度まで大きい場合であっても、同様に表面が平滑で被覆性の高い薄膜を速やかに得ることができる。
また、ウエハW上に吸着させる吸着膜151の膜厚t1について、飽和膜厚t0よりも薄くしているので、TiN粒子の結晶化が起こったとしても成長するグレインのサイズを極めて小さく抑えることができる。即ち、本発明では回転テーブル2を高速で回転させることにより、吸着膜151の膜厚t1を薄く(グレインサイズを小さく)制御していると言える。
一方、回転テーブル2の回転数を低速例えば30rpm以下に設定してTiN膜152の成膜処理を行った場合には、図9(a)に示すように、例えば吸着膜151の膜厚t2がほぼ飽和膜厚t0と等しくなり、薄膜の表面モフォロジーが悪化することになる。つまり、同図(b)に示すように、この吸着膜151の形成されたウエハWに対してNH3ガスを供給してTiN膜152が生成すると、TiCl4の吸着膜151の形成とこの吸着膜151の窒化とからなる処理サイクル間の時間が長く取られることになるので、同図(c)に示すように、TiN膜152の上層に次のTiN膜153が形成されるまでの間に、当該TiN膜152中においてTiN粒子の結晶化が進行することによって原子や分子のマイグレーション(移動)が起こり、表面モフォロジーが悪化してしまう。この時、吸着膜151の膜厚t2が既述の膜厚t1よりも厚くなっていることから、結晶化によって成長する粒子のサイズ(表面状態の悪化)もこの膜厚t2に応じて大きくなる場合がある。
そのため、この表面状態の荒れたTiN膜152の表面にTiCl4ガスが供給されると、図9(d)に示すように、上層側の吸着膜151は下層側のTiN膜152の表面に倣って形成されるので、当該吸着膜151の表面についても同様に荒れた状態となる。その後、この上層側の吸着膜151にNH3ガスが供給されると、同様に上層側のTiN膜153についても結晶化が進行するので、表面がより一層荒れてしまう。こうして順次積層するTiN膜の各々について結晶化が進行することにより、得られる薄膜の表面は極めて凹凸の大きな状態となってしまう。従って、回転テーブル2の回転数をこのように低速に設定して成膜処理を行った場合には、表面モフォロジーを制御することは極めて困難である。また、回転テーブル2の回転数を遅く設定すると、成膜速度についても遅くなってしまう。
以上のことから、本発明では、回転テーブル2の回転数を高速に設定してTiN膜の成膜を行うことにより、表面モフォロジーの良好なTiN膜を速やかに成膜できることが分かる。ここで、本発明の成膜装置では、回転テーブル2上のウエハWに対向させて各反応ガスノズル31、32を設けていることから、例えば反応ガスの流量を多くすることにより、あるいは処理圧力を高く設定することにより、ウエハW上に吸着する反応ガスの吸着量が飽和するようにしても良い。この場合においても、回転テーブル2を高速で回転させているので、TiN膜152の結晶化が進行する前に続く上層側のTiN膜153を成膜することができ、良好な表面モフォロジーの薄膜を得ることができるし、また各反応サイクルにおける膜厚を稼ぐことができるので、スループットを更に高めることができる。このように反応ガスの供給量を増やしたり処理圧力を高めたりした場合であっても、各反応ガスは同様に個別に排気される。
既述の第1の反応ガスとしては、上述の例の他に、Tiを含むガス例えばTDMAT(テトラキスジメチルアミノチタン)ガスなどを用いても良いし、第2の反応ガスとしては、NH3ガスをラジカル化させて用いても良い。また、回転テーブル2の回転数としては、高すぎると例えば薄膜の被覆性が低くなるので、例えば240rpm以下であることが好ましい。即ち、後述の実施例においてTiN膜を成膜する実験を行った時には、回転テーブル2の回転数が240rpmでも良好な被覆性となっていたため、少なくとも240rpm以下であれば良好な被覆性が得られると言える。
[第2の実施の形態]
第1の実施の形態では、TiCl4ガスの吸着膜151の形成と、この吸着膜151の窒化によるTiN膜152の形成と、からなる成膜サイクルを複数回繰り返して薄膜を成膜するようにしたが、例えばTiN膜152に不純物が含まれている場合などには、成膜サイクルの間にTiN膜152に対してプラズマ処理を行うようにしても良い。このようにプラズマ処理を行う場合の成膜装置の一例について、第2の実施の形態として図10〜図12を参照して以下に説明する。
この例では、例えば搬送口15よりも回転テーブル2の回転方向上流側に既述の第2の反応ガスノズル32が設けられており、第2の反応ガスノズル32と当該第2の反応ガスノズル32における回転テーブル2の回転方向下流側の分離領域Dとの間には、ウエハWに対してプラズマ処理を行うための活性化ガスインジェクター220が設けられている。この活性化ガスインジェクター220は、回転テーブル2の外周側から回転中心側に向かって当該回転テーブル2に対して水平に伸びるガス導入ノズル34及び一対のシース管(図示せず)と、これらガス導入ノズル34及び一対のシース管が配置される領域を長さ方向に亘って上方側から覆うように設けられ、既述のノズルカバー120と同様に構成された例えば石英からなるカバー体221と、を備えている。図中222は、既述の整流部材121と同様の寸法に設定された気流規制面222であり、223は、真空容器1の天板11からカバー体221を吊り下げるために当該カバー体221の長さ方向に沿って設けられた支持体である。尚、図10中37は、シース管の基端部(真空容器1の内壁側)に接続された保護管である。
真空容器1の外部には、高周波電源180が設けられており、整合器181を介してシース管内に埋設された図示しない電極に対して例えば13.56MHz、例えば1500W以下の高周波電力を供給できるように構成されている。ガス導入ノズル34は、側方側に長さ方向に沿って複数箇所に形成されたガス孔341を介して、プラズマ発生用の処理ガス例えばNH3ガス及びH2ガスの少なくとも一方が真空容器1の外部からシース管に向かって水平に吐出されるように構成されている。
この第2の実施の形態において成膜処理を行う場合には、各ガスノズル31、32、41、42から各ガスを真空容器1内に供給すると共に、ガス導入ノズル34からプラズマ生成用の処理ガス例えばNH3ガスを所定の流量例えば5000sccmで真空容器1に供給する。また、図示しない高周波電源から電極36a、36bに対して所定の値の電力例えば400Wの高周波を供給する。
活性化ガスインジェクター220では、ガス導入ノズル34からシース管35a、35bに向かって吐出されたNH3ガスは、これらのシース管35a、35b間に供給される高周波によって活性化されてイオンなどの活性種となり、この活性種(プラズマ)が下方に向かって吐出される。図13(a)、(b)に示すように、表面に吸着膜151が形成され、続いてこの吸着膜151が窒化されてTiN膜152の成膜されたウエハWは、活性化ガスインジェクター220の下方領域に到達すると、同図(c)に示すようにプラズマに曝されて、例えば表面のTiN膜152にCl(塩素)などの不純物が含まれている場合には、この不純物が膜中から排出されていく。そして、既述の第1の実施の形態と同様に、同図(d)に示すように、その後当該TiN膜152の上層側に次のTiN膜153が速やかに積層されて、下層側のTiN膜152における原子や分子の移動が規制される。こうして吸着膜151の生成と、この吸着膜151の窒化によるTiN膜152の生成と、プラズマによる不純物の低減(除去)と、をこの順序で複数回繰り返すことにより、不純物の極めて少なく、且つ表面が平滑な薄膜が速やかに成膜される。
この第2の実施の形態によれば、既述の第1の実施の形態の効果に加えて、以下の効果がある。即ち、ウエハWに対してプラズマ処理を行うことにより薄膜中の不純物の量を低減できるので、電気的特性を向上させることができる。また、真空容器1の内部において成膜サイクルを行う度に改質処理を行っているので、いわば回転テーブル2の周方向においてウエハWが各処理領域91、92を通過する経路の途中において成膜処理に干渉しないように改質処理を行っているので、例えば薄膜の成膜が完了した後で改質処理を行うよりも短時間で改質処理を行うことができる。
また、既述の例においては、ガス供給系(ノズル31、32、41、42)に対して回転テーブル2を回転させるようにしたが、回転テーブル2に対してガス供給系を周方向に回転させる構成としても良い。
続いて、本発明の効果を確認するために行った実験について説明する。
(実験例1)
先ず、回転テーブル2の回転数を以下に示すように種々変えてTiN膜の成膜を行い、得られたTiN膜の表面をSEM(電子顕微鏡)を用いて観察した。尚、その他の成膜条件例えば反応ガスの供給量や処理圧力などについては、各実験例において同じ条件としたため、説明を省略する。また、ウエハWの加熱温度としては250℃以上例えば400℃とした。
(回転テーブル2の回転数:rpm)
比較例1:30
実施例1:100、240
(実験結果)
その結果、図14に得られたSEM写真を示すように、比較例1では表面状態が粗く、従来のCVD法やSFD法を用いて成膜した面状態に近くなっていた。既述のように、TiNは250℃以上で結晶化するため、この実験における加熱温度において特にTiN粒子の結晶化を妨げない場合には、このようにTiN粒子の結晶化に起因する凹凸が膜表面に現れると考えられる。
一方、実施例1に示すように、回転テーブル2の回転数を高くして100rpmとした場合には、TiN膜の表面モフォロジーが向上し、更に240rpmでは極めて表面が平滑になることが分かった。従って、回転テーブル2を高速で回転させることによって、既述のように成膜サイクル間の時間が短くなり、上層側のTiN膜により下層側のTiN膜の結晶化を抑制できることが分かった。
(実験例2)
次いで、実験例1と同じ条件にて成膜した各サンプルについて、AFM(原子間力顕微鏡)を用いてTiN膜の表面粗さを測定した。尚、測定長としては10nmとした。
その結果、図15に示すように、回転テーブル2の回転数が30rpmの場合には表面粗さが2nm程度となっていたが、100rpm以上では0.5nm程度もの小さい値となっていた。
D 分離領域
E 排気領域
1 真空容器
W ウエハ
31、32 反応ガスノズル
41、42 分離ガスノズル
61、62 排気口
91、92 処理領域
151 吸着膜
152 TiN膜
153 TiN膜

Claims (11)

  1. 真空容器内にてTiを含む第1の反応ガス及びNを含む第2の反応ガスを順番に基板の表面に供給してチタンナイトライド膜を形成する成膜装置において、
    前記真空容器内に設けられ、基板を載置するための基板載置領域が設けられたテーブルと、
    前記真空容器の周方向に互いに離れて設けられ、前記テーブル上の基板に前記第1の反応ガス及び前記第2の反応ガスを夫々供給するための第1の反応ガス供給手段及び第2の反応ガス供給手段と、
    前記第1の反応ガスが供給される第1の処理領域と前記第2の反応ガスが供給される第2の処理領域との間に設けられ、両反応ガスの混合を防止するための分離領域と、
    前記第1の処理領域と前記第2の処理領域とを基板がこの順番で位置するように、前記第1の反応ガス供給手段及び前記第2の反応ガス供給手段と前記テーブルとを前記真空容器の周方向に相対的に回転させる回転機構と、
    前記真空容器内を真空排気する真空排気手段と、
    前記基板への成膜時に、前記第1の反応ガス供給手段及び前記第2の反応ガス供給手段と前記テーブルとを前記回転機構を介して100rpm以上で回転させる制御部と、を備えたことを特徴とする成膜装置。
  2. 前記テーブル上の基板に対してNH3ガスまたはH2ガスの少なくとも一方のプラズマを供給するための活性化ガスインジェクターを備え、
    この活性化ガスインジェクターは、前記回転機構により、前記第1の反応ガス供給手段及び前記第2の反応ガス供給手段と共に前記テーブルに対して相対的に回転するように構成され、前記相対的な回転時に前記プラズマが前記第2の処理領域と前記第1の処理領域との間において基板に供給されるように配置されていることを特徴とする請求項1に記載の成膜装置。
  3. 前記分離領域は、分離ガスを供給する分離ガス供給手段を備えたことを特徴とする請求項1または2に記載の成膜装置。
  4. 前記分離領域は、前記分離ガス供給手段と、この分離ガス供給手段における前記周方向両側に位置し、当該分離領域から処理領域側に分離ガスが流れるための狭隘な空間を前記テーブルとの間に形成するための天井面と、を備えていることを特徴とする請求項3に記載の成膜装置。
  5. 前記第1の反応ガス供給手段及び前記第2の反応ガス供給手段は、前記第1の処理領域及び前記第2の処理領域における夫々の天井面から離間して基板の近傍に夫々設けられ、前記基板の方向に向けて前記第1の反応ガス及び前記第2の反応ガスを夫々供給する手段であることを特徴とする請求項1ないし4のいずれか一つに記載の成膜装置。
  6. 真空容器内にてTiを含む第1の反応ガス及びNを含む第2の反応ガスを順番に基板の表面に供給してチタンナイトライド膜を形成する成膜方法において、
    基板を載置するための基板載置領域が設けられたテーブル上に基板を載置する工程と、
    次いで、前記真空容器の周方向に互いに離れて設けられた第1の反応ガス供給手段及び第2の反応ガス供給手段から、前記テーブルの表面に対して夫々前記第1の反応ガス及び前記第2の反応ガスを供給する工程と、
    前記第1の反応ガスが供給される第1の処理領域と前記第2の反応ガスが供給される第2の処理領域との間に設けられた分離領域において両反応ガスの混合を防止する工程と、
    前記第1の処理領域と前記第2の処理領域とを基板がこの順番で位置するように、前記第1の反応ガス供給手段及び前記第2の反応ガス供給手段と前記テーブルとを相対的に前記真空容器の周方向に100rpm以上で回転させる工程と、
    前記真空容器内を真空排気する工程と、を含むことを特徴とする成膜方法。
  7. 前記テーブル上の基板に対して活性化ガスインジェクターからNH3ガスまたはH2ガスの少なくとも一方のプラズマを供給する工程を含み、
    前記回転させる工程は、前記相対的回転時において前記第2の処理領域と前記第1の処理領域との間において前記プラズマが基板に対して供給されるように、前記第1の反応ガス供給手段及び前記第2の反応ガス供給手段と共に前記活性化ガスインジェクターを前記テーブルに対して相対的に回転させる工程であることを特徴とする請求項6に記載の成膜方法。
  8. 前記両ガスの混合を防止する工程は、分離ガス供給手段から前記分離領域に分離ガスを供給する工程を含むことを特徴とする請求項6または7に記載の成膜方法。
  9. 前記分離ガスを供給する工程は、前記分離ガス供給手段における前記周方向両側に位置し、前記分離領域から処理領域側に分離ガスが流れるために前記テーブルと前記真空容器の天井面との間に形成された狭隘な空間に前記分離ガス供給手段から分離ガスを供給する工程であることを特徴とする請求項8に記載の成膜方法。
  10. 前記第1の反応ガス及び前記第2の反応ガスを供給する工程は、前記第1の処理領域及び前記第2の処理領域における夫々の天井面から離間して基板の近傍に夫々設けられた前記第1の反応ガス供給手段及び前記第2の反応ガス供給手段から、前記基板の方向に向けて前記第1の反応ガス及び前記第2の反応ガスを夫々供給する工程であることを特徴とする請求項6ないし9のいずれか一つに記載の成膜方法。
  11. 真空容器内にてTiを含む第1の反応ガス及びNを含む第2の反応ガスを順番に基板の表面に供給してチタンナイトライド膜を形成する成膜装置に用いられるプログラムを格納する記憶媒体であって、
    前記プログラムは、請求項6ないし10のいずれか一つに記載の基板処理方法を実施するようにステップ群が組まれていることを特徴とする記憶媒体。
JP2009295351A 2009-12-25 2009-12-25 成膜装置、成膜方法及び記憶媒体 Active JP5482196B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2009295351A JP5482196B2 (ja) 2009-12-25 2009-12-25 成膜装置、成膜方法及び記憶媒体
US12/972,599 US20110159188A1 (en) 2009-12-25 2010-12-20 Film deposition apparatus, film deposition method, and computer-readable storage medium
CN2010106218168A CN102108496A (zh) 2009-12-25 2010-12-24 成膜装置及成膜方法
TW099145678A TWI493073B (zh) 2009-12-25 2010-12-24 成膜裝置、成膜方法及電腦可讀取記憶媒體
KR1020100134202A KR101425253B1 (ko) 2009-12-25 2010-12-24 성막 장치, 성막 방법 및 컴퓨터 판독 가능한 기억 매체
US14/658,295 US20150184294A1 (en) 2009-12-25 2015-03-16 Film deposition apparatus, film deposition method, and computer-readable storage medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009295351A JP5482196B2 (ja) 2009-12-25 2009-12-25 成膜装置、成膜方法及び記憶媒体

Publications (2)

Publication Number Publication Date
JP2011132589A true JP2011132589A (ja) 2011-07-07
JP5482196B2 JP5482196B2 (ja) 2014-04-23

Family

ID=44172782

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009295351A Active JP5482196B2 (ja) 2009-12-25 2009-12-25 成膜装置、成膜方法及び記憶媒体

Country Status (5)

Country Link
US (2) US20110159188A1 (ja)
JP (1) JP5482196B2 (ja)
KR (1) KR101425253B1 (ja)
CN (1) CN102108496A (ja)
TW (1) TWI493073B (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011152352A1 (ja) * 2010-06-04 2011-12-08 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
CN102994981A (zh) * 2011-09-12 2013-03-27 东京毅力科创株式会社 基板处理装置和成膜装置
JP2013133521A (ja) * 2011-12-27 2013-07-08 Tokyo Electron Ltd 成膜方法
JP2014093226A (ja) * 2012-11-05 2014-05-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ発生装置
JP2014125653A (ja) * 2012-12-26 2014-07-07 Tokyo Electron Ltd 成膜方法
JP2014145111A (ja) * 2013-01-29 2014-08-14 Tokyo Electron Ltd 成膜装置
JP2015010271A (ja) * 2013-07-02 2015-01-19 東京エレクトロン株式会社 成膜方法
JP2015526595A (ja) * 2012-06-29 2015-09-10 ジュスン エンジニアリング カンパニー リミテッド 基板処理装置及び基板処理方法
US9583312B2 (en) 2012-12-14 2017-02-28 Tokyo Electron Limited Film formation device, substrate processing device, and film formation method
US9932674B2 (en) 2011-05-12 2018-04-03 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer-readable recording medium

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5107185B2 (ja) 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5803714B2 (ja) * 2012-02-09 2015-11-04 東京エレクトロン株式会社 成膜装置
JP6010451B2 (ja) * 2012-12-21 2016-10-19 東京エレクトロン株式会社 成膜方法
JP6115244B2 (ja) * 2013-03-28 2017-04-19 東京エレクトロン株式会社 成膜装置
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6243290B2 (ja) * 2014-05-01 2017-12-06 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6221932B2 (ja) * 2014-05-16 2017-11-01 東京エレクトロン株式会社 成膜装置
KR102297567B1 (ko) * 2014-09-01 2021-09-02 삼성전자주식회사 가스 주입 장치 및 이를 포함하는 박막 증착 장비
JP6426999B2 (ja) * 2014-12-18 2018-11-21 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
JP6361495B2 (ja) * 2014-12-22 2018-07-25 東京エレクトロン株式会社 熱処理装置
TWI544973B (zh) * 2015-03-20 2016-08-11 家登精密工業股份有限公司 半導體容器清洗機的運作方法
JP6447393B2 (ja) * 2015-07-06 2019-01-09 東京エレクトロン株式会社 成膜処理装置、成膜処理方法及び記憶媒体
JP6548586B2 (ja) * 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
KR102483547B1 (ko) 2016-06-30 2023-01-02 삼성전자주식회사 가스 공급 유닛 및 이를 포함하는 박막 증착 장치
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
CN109778139B (zh) * 2017-11-13 2021-06-22 中芯国际集成电路制造(北京)有限公司 一种改善化学气相沉积腔室中加热器加热性能的方法及装置
US20200017969A1 (en) * 2018-07-10 2020-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device, Method, and Tool of Manufacture

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001254181A (ja) * 2000-01-06 2001-09-18 Tokyo Electron Ltd 成膜装置および成膜方法
JP2007247066A (ja) * 2006-03-15 2007-09-27 Asm Japan Kk 回転サセプタを備える半導体処理装置
JP2008516428A (ja) * 2004-10-04 2008-05-15 アトミシティ システムズ インコーポレイテッド 複数のゾーンを有した原子層堆積装置および複数のゾーンを用いた原子層堆積方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3650042A (en) * 1969-05-19 1972-03-21 Ibm Gas barrier for interconnecting and isolating two atmospheres
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
JPH04287912A (ja) * 1991-02-19 1992-10-13 Mitsubishi Electric Corp 半導体製造装置
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
JP2000192234A (ja) * 1998-12-28 2000-07-11 Matsushita Electric Ind Co Ltd プラズマ処理装置
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6812157B1 (en) * 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
US6796517B1 (en) * 2000-03-09 2004-09-28 Advanced Micro Devices, Inc. Apparatus for the application of developing solution to a semiconductor wafer
KR100498609B1 (ko) * 2002-05-18 2005-07-01 주식회사 하이닉스반도체 배치형 원자층 증착 장치
US6869641B2 (en) * 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US20040058293A1 (en) * 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
JP4361747B2 (ja) * 2003-03-04 2009-11-11 東京エレクトロン株式会社 薄膜の形成方法
EP1616043B1 (en) * 2003-04-23 2020-09-23 Eugenus Inc. Transient enhanced atomic layer deposition
JP2006025312A (ja) * 2004-07-09 2006-01-26 Konica Minolta Photo Imaging Inc 撮像装置、及び画像取得方法
JP4595727B2 (ja) * 2005-07-22 2010-12-08 ソニー株式会社 外力推定システム及び外力推定方法、並びにコンピュータ・プログラム
FR2903407B1 (fr) * 2006-07-07 2008-10-03 Univ Provence Nouvelles nitrones portant une charge positive utilisables pour le piegeage de radicaux libres et notamment le radical superoxyde
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
US20090324826A1 (en) * 2008-06-27 2009-12-31 Hitoshi Kato Film Deposition Apparatus, Film Deposition Method, and Computer Readable Storage Medium

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001254181A (ja) * 2000-01-06 2001-09-18 Tokyo Electron Ltd 成膜装置および成膜方法
JP2008516428A (ja) * 2004-10-04 2008-05-15 アトミシティ システムズ インコーポレイテッド 複数のゾーンを有した原子層堆積装置および複数のゾーンを用いた原子層堆積方法
JP2007247066A (ja) * 2006-03-15 2007-09-27 Asm Japan Kk 回転サセプタを備える半導体処理装置

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011252221A (ja) * 2010-06-04 2011-12-15 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
WO2011152352A1 (ja) * 2010-06-04 2011-12-08 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US9416446B2 (en) 2010-06-04 2016-08-16 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing method and substrate processing apparatus
US9340873B2 (en) 2010-06-04 2016-05-17 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing method and substrate processing apparatus
US9932674B2 (en) 2011-05-12 2018-04-03 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer-readable recording medium
KR101538204B1 (ko) * 2011-09-12 2015-07-20 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 성막 장치
CN102994981A (zh) * 2011-09-12 2013-03-27 东京毅力科创株式会社 基板处理装置和成膜装置
JP2013060615A (ja) * 2011-09-12 2013-04-04 Tokyo Electron Ltd 基板処理装置及び成膜装置
JP2013133521A (ja) * 2011-12-27 2013-07-08 Tokyo Electron Ltd 成膜方法
JP2015526595A (ja) * 2012-06-29 2015-09-10 ジュスン エンジニアリング カンパニー リミテッド 基板処理装置及び基板処理方法
JP2018080399A (ja) * 2012-06-29 2018-05-24 ジュスン エンジニアリング カンパニー リミテッド 基板処理装置及び基板処理方法
JP2014093226A (ja) * 2012-11-05 2014-05-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ発生装置
US9583312B2 (en) 2012-12-14 2017-02-28 Tokyo Electron Limited Film formation device, substrate processing device, and film formation method
JP2014125653A (ja) * 2012-12-26 2014-07-07 Tokyo Electron Ltd 成膜方法
JP2014145111A (ja) * 2013-01-29 2014-08-14 Tokyo Electron Ltd 成膜装置
JP2015010271A (ja) * 2013-07-02 2015-01-19 東京エレクトロン株式会社 成膜方法

Also Published As

Publication number Publication date
US20150184294A1 (en) 2015-07-02
KR20110074698A (ko) 2011-07-01
TWI493073B (zh) 2015-07-21
KR101425253B1 (ko) 2014-08-01
CN102108496A (zh) 2011-06-29
TW201139727A (en) 2011-11-16
US20110159188A1 (en) 2011-06-30
JP5482196B2 (ja) 2014-04-23

Similar Documents

Publication Publication Date Title
JP5482196B2 (ja) 成膜装置、成膜方法及び記憶媒体
US9972500B2 (en) Method of manufacturing semiconductor device
TWI523970B (zh) 成膜裝置(一)
KR101885411B1 (ko) 기판 처리 방법 및 기판 처리 장치
JP5287592B2 (ja) 成膜装置
JP5423529B2 (ja) 成膜装置、成膜方法及び記憶媒体
JP5327147B2 (ja) プラズマ処理装置
JP5262452B2 (ja) 成膜装置及び基板処理装置
JP5093162B2 (ja) 成膜装置、成膜方法及び記憶媒体
JP6118197B2 (ja) 成膜方法
JP5823922B2 (ja) 成膜方法
KR101848123B1 (ko) 기판 처리 방법 및 기판 처리 장치
US10438791B2 (en) Film forming method, film forming apparatus, and storage medium
JP2010263245A (ja) 成膜装置、成膜方法、基板処理装置及び記憶媒体
KR101658277B1 (ko) 성막 장치
JP2013153143A (ja) 成膜方法
JP6584347B2 (ja) 成膜方法
KR101862907B1 (ko) 성막 방법
JP6971887B2 (ja) 成膜方法及び成膜装置
JP2018080349A (ja) TiN系膜およびその形成方法
JP5692337B2 (ja) 成膜装置、成膜方法及び記憶媒体
JP6441050B2 (ja) 成膜方法
KR101513541B1 (ko) 금속 질화막 형성 방법 및 이의 제조 장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120911

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130926

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131001

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131025

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131202

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140121

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140203

R150 Certificate of patent or registration of utility model

Ref document number: 5482196

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250