JP2009540579A - 自己整合ゲートjfet構造及びその製造方法 - Google Patents

自己整合ゲートjfet構造及びその製造方法 Download PDF

Info

Publication number
JP2009540579A
JP2009540579A JP2009514527A JP2009514527A JP2009540579A JP 2009540579 A JP2009540579 A JP 2009540579A JP 2009514527 A JP2009514527 A JP 2009514527A JP 2009514527 A JP2009514527 A JP 2009514527A JP 2009540579 A JP2009540579 A JP 2009540579A
Authority
JP
Japan
Prior art keywords
region
layer
gate
source
electrode region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009514527A
Other languages
English (en)
Inventor
カプーア,アショク,クマール
Original Assignee
ディーエスエム ソリューションズ,インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ディーエスエム ソリューションズ,インコーポレイテッド filed Critical ディーエスエム ソリューションズ,インコーポレイテッド
Publication of JP2009540579A publication Critical patent/JP2009540579A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66893Unipolar field-effect transistors with a PN junction gate, i.e. JFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66893Unipolar field-effect transistors with a PN junction gate, i.e. JFET
    • H01L29/66901Unipolar field-effect transistors with a PN junction gate, i.e. JFET with a PN homojunction gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/80Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier
    • H01L29/808Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier with a PN junction gate, e.g. PN homojunction gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1066Gate region of field-effect devices with PN junction gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Junction Field-Effect Transistors (AREA)

Abstract

少なくとも半導体層を有する基板に一体化されたJFETは、アクティブ領域上にあり且つ第1のポリシリコン(又は、高融点金属又はシリサイド等のその他の導電体)から成るソースコンタクト及びドレインコンタクトと、ソースコンタクト及びドレインコンタクトの頂部を覆う誘電体層の頂面と同一平面になるように研磨された第2のポリシリコンから成る自己整合ゲートコンタクトとを有する。上記誘電体層は好ましくは、研磨停止層として作用する窒化物キャップを有する。一部の実施形態においては、ソースコンタクト及びドレインコンタクトを覆う誘電体層と、当該JFETのアクティブ領域を画成するフィールド酸化物領域との全体が窒化物で覆われる。エピタキシャル成長されたチャネル領域が基板表面に形成される一実施形態も開示される。

Description

本発明は、微細な線幅で構築されるCMOS回路におけるプロセス問題を解決可能な、デバイス構造及び非常に微細な線幅でJFETトランジスタを製造する方法に関する。
線幅がサブミクロン範囲(現在の線幅は45nmすなわち0.045μmである)まで順調に狭められるにつれて、CMOS、NMOS及びPMOSの回路上の全ての構造も、ゲート酸化膜の厚さを含め、縮小されてきている。線幅が狭められると、電圧はパンチスルーを回避するために低下されなければならない。この線幅の狭小化は、より短いゲート長を意味し、より短いゲート長は、より低い電圧でMOSデバイス内の電流を適切に制御し得るように、ゲート酸化膜の厚さも薄くされなければならないということを必要とする。ゲート酸化膜の薄膜化は、スイッチされるべき単位面積当たりのゲートキャパシタンスの増大をもたらす。より微細な幾何学形状は回路密度の増大を意味し、チップを動作させるために必要な電力の全体的な増大をもたらす。また、ゲート酸化膜の薄層化は、CMOS回路及びその他の全てのMOS回路内での電力消費を増大させるリークの原因となる。リークを生じさせないゲート酸化膜厚さの限界は約30nmであり、現段階では45nmの線幅が最新技術となっているが、この限界は10nmの最小線幅を有する旧来の技術にて使用されていたものである。
1μmの線幅において、1cmの集積回路の消費電力は5Wである。線幅が45nmまで狭められると、同一サイズのチップの消費電力は1000Wまで増大する。これは、適切に冷却されない集積回路を破壊し得るものであり、例えばノート型コンピュータや携帯電話などのポータブル機器では許容できないものである。この消費電力は、使用中でないトランジスタが電力を消費しないように該トランジスタをスリープ状態にさせる回路を必要とするため、設計過程を大いに複雑化する。
接合型電界効果トランジスタの先行技術は、それが最初に報告された1950年代までさかのぼる。それ以来、接合型電界効果トランジスタは、例えば、Simon Sze著、「Physics of Semiconductor Devices」及びAndy Grove著、「Physics and Technology of Semiconductor Devices」等の数多くの教科書で取り上げられてきた。接合型電界効果デバイスは、元素半導体及び化合物半導体の双方で報告されている。接合型電界効果トランジスタを用いた数多くの回路が、例えば非特許文献1−5にて報告されている。さらに、非特許文献6が先行技術として引用される。
図1は、nチャネルJFETの典型的な構造を示している。このJFETはn型基板810に形成されており、pウェル領域815内に含まれている。JFETのボディは820として示されており、ソース領域832、チャネル領域838及びドレイン領域834を含むn型拡散領域である。ゲート領域836はp型であり、基板への拡散によって形成されている。ソース領域、ドレイン領域及びゲート領域へのコンタクトは、それぞれ、841、842及び840で示されている。このJFETのクリティカルディメンジョンはゲート長855である。これは、最小のコンタクトホール寸法850に、ゲート領域がゲートコンタクトを取り囲むことを確実にするのに必要な必須の設計ルール重なりを足し合わせたものによって決定される。ゲート長855は850より有意に大きい。JFET構造のこの形状は、チャネル長が最小形状寸法より実質的に大きいので、これらデバイスの性能を制限する。さらに、ゲート拡散836の縦方向の側壁861及び862の、ドレイン領域834及びソース領域832それぞれに対するキャパシタンスも非常に大きい。ゲート−ドレイン間側壁キャパシタンスはミラーキャパシタンス(これは、当業者に既知の用語である)を形成し、高周波数におけるデバイス性能を大きく制限してしまう。
45nm以下の線幅での電力消費の問題を解決するようにCMOSをJFETで置き換えるためには、同等の幾何学形状のMOSトランジスタと比較して非常に小さいゲートキャパシタンスと非常に小さい寄生キャパシタンスとを有するノーマリーオフのJFETが必要となる。また、このようなJFETデバイスにおいて、チャネルに対する自己整合(セルフアライン)ゲートを有することが望ましい。故に、これらの目標を達成するためにスペーサ酸化物内に自己整合開口をエッチングすることによって、マスクを使用することなしにゲート電極を製造可能であることが望ましい。
線幅の狭小化に伴う従来CMOSの電力消費増大問題に対する1つの解法は、ノーマリーオフの接合型電界効果トランジスタすなわちJFETである。従来のノーマリーオンのJFETは、図1に示すような構造を有する。
ノーマリーオフのJFET構造を図2に示す。この図は、エンハンスメントモードで動作するように設計されたドーピングレベル及び寸法を有するNチャネルJFETの断面を示している。このJFETは、基板内に4つの端子領域を有するとともに、基板表面の上方に、対応するコンタクトを有する。基板内の端子領域は、ソース31(ポリシリコンコンタクト72の下の拡散領域と、該拡散領域をチャネル領域50に結合するイオン注入領域とから成る)、ゲート70、ドレイン40(ポリシリコンコンタクト74の下の拡散領域と、該拡散領域をチャネル領域50に結合するイオン注入領域とから成る)、及びオーミックコンタクト領域68を有するPウェル11である。ソース領域、ドレイン領域、ゲート領域及びPウェル領域へのコンタクトは、基板コンタクト71、ソースコンタクト72、ゲートコンタクト75及びドレインコンタクト74であり、典型的にポリシリコンから成る。このJFETは図2のシリコン基板15の一領域に形成されている。JFETは絶縁領域21によって周囲の半導体から分離されている。絶縁領域21は、典型的に、シャロー・トレンチ・アイソレーション(以下、STIとも称する)フィールド酸化物である。ソース−ドレイン間のチャネルは参照符号50で示されている。NチャネルJFETの場合、ソース領域31及びドレイン領域40は、(例えばリン、砒素又はアンチモン等のN型ドナー不純物で高濃度にドープされた)N+領域である。Pウェル11は、例えばボロン又はインジウム等のP型アクセプタ不純物でP型にドープされている。Pウェルへのコンタクトは、P型に高濃度にドープされたポリコンタクト71によって形成され、ゲート形成のための押し込み(ドライブイン)プロセス中の拡散により、図示のようにフィールド酸化物領域21によって、オーミックコンタクトとPウェルコンタクトとして作用するP+領域68とを形成する。フィールド酸化物領域は、オーミックコンタクト68からチャネル領域50の下方のPウェル部分11までの導電経路を遮断しないよう、Pウェルの深さを超えて基板接合87まで延在してはならない。チャネルは、N型に低濃度にドープされた狭い領域50である。ゲートは、N型チャネル内に形成された非常に浅い(典型的に10nm)P型領域であり、例えば、上に位置する高濃度のP+ドープトポリシリコン75からのドーパントの拡散、又はイオン注入などの方法によって形成される。ゲート70及びチャネル50を通る位置での表面からの様々な深さにおけるトランジスタのドーピングプロファイルを図3に示す。ゲート70を形成するためのドライブインプロセス、及びチャネル50を形成するためのイオン注入は何れも重要である。何故なら、これらの領域の深さ及びドーピングは、ソースに対してゲート及びウェルに0(ゼロ)Vの外部バイアスを印加した時に形成されるゲート−チャネル接合の空乏層とチャネル−Pウェル接合の空乏層とが接触してピンチオフを生じさせるように、制御されなければならないためである。曲線81は典型的なゲートドーピングプロファイルであるが、ゲートは非常に浅く、点85は典型的に基板表面から約10nmに過ぎない。この要件は本発明が解決しようとする課題に対する解法の重要部分である。曲線82、83及び84は、それぞれ、チャネル50、Pウェル11及び基板のバルク領域15のドーピングプロファイルを表している。ゲート−チャネル接合の深さは点85に位置する。チャネル−Pウェル接合の深さは点86に位置し、典型的に基板表面から40nmに過ぎない。ウェル−基板接合の深さは参照符号87で示される。各接合は、その接合がゼロのバイアスを有するときであっても、その接合の何れかの側に空乏領域を有する。
先に触れたように、ノーマリーオフすなわちエンハンスメントモードのJFETを設計する際に重要なことは、ゲート−チャネル接合85を囲む空乏領域が、チャネル−ウェル接合86(又は、図5C及び15の実施形態の場合には、チャネル−基板接合86)を囲む空乏領域の境界まで下方に十分に大きくなるように設計することである。これは、ゲートバイアスが0Vであるときに電流をピンチオフし、エンハンスメントモードのデバイスを作り出す。各接合の周りの空乏領域はゼロバイアスで一定の幅を有する。PN接合の上方及び下方に空乏領域がどれだけ広がるかは、その接合の上方及び下方の半導体の相対的なドーピング濃度に依存する。ピンチオフが起こるよう、接合85及び86の何れか側の領域のドーピング濃度と、ゲート及びチャネル領域の大きさとが調整される。
図4は、ゲート及びチャネル領域の拡大図であり、従来のノーマリーオンのJFETにおけるゲート−チャネル接合85の周りの空乏領域の境界90及び91を示している。チャネル−ウェル接合86の周りの空乏領域は、上側の境界92及び下側の境界94によって示されている。チャネル領域50内の境界91はチャネル−ウェル接合86の周りの空乏領域の上側境界92とほぼ同じ位置にあるが、一致してはいないためピンチオフは起こらず、電流が中性領域を流れる。ゲート、チャネル及びウェル領域のドーピングと接合深さとが、この図4に示した条件を生じさせるようにされるとき、そのようなデバイスはノーマリーオン又はディプレッションモードのデバイスと呼ばれる。ピンチオフを生じさせることによって、すなわち、空乏領域境界91が空乏領域境界92に接触する条件を生じさせることによってソースからドレインへの電流の流れをターンオフするために、幾らかのゲートバイアスを要するからである。逆に、ゲート、チャネル及びウェル領域のドーピングと接合深さとが、遙かに小さい正ゲートバイアス又はゼロゲートバイアスでピンチオフを生じさせるように制御されると、そのようなデバイスはノーマリーオフ又はエンハンスメントモードのデバイスと呼ばれる。より具体的には、JFETにおいては、ゲート領域のドーピングに対するチャネル領域のドーピングと、チャネル−Pウェル接合に対するゲート−チャネル接合85の相対的な深さと、接合86の下方の半導体のドーピングとの全てが、ゼロゲートバイアス又は1V未満のゲートバイアスで境界91が境界92に接触するように制御され得る。これはピンチオフを生じさせ、非常に小さい電流がソース31からドレイン40にチャネル50を介して流れる。空乏領域の幅を狭めるようにゲート−チャネル接合に正バイアスが印加されるとき、デバイスはピンチオフから脱し、ソース−ドレイン間の導通が可能になる。
図2のJFETは、ソース上の部分及びドレイン上の部分がN+にドープされ且つゲート上の部分及びPウェルコンタクト上の部分がP+にドープされ得るように単一のポリシリコン層をドーピングするために、2つの別個のマスクを必要とする。形状寸法が小さくなるにつれ、異なるマスク層によって作り出される形状群の位置合わせが困難になり、設計ルールの許容値が貴重なチップ面積を食い尽くしてしまう。デバイス面積を縮小するように自己整合ゲートコンタクトを用いて両(デュアル)導電性の半導電層の堆積を行うことによって、JFETの面積を縮小することが望ましい。ソース及びドレインのコンタクトを形成するための第1の導電層はポリシリコンである必要はなく、金属であってもよいが、以下では、このプロセスを“デュアルポリ”プロセスと呼ぶ。デュアルポリ・バイポーラデバイスは既知であり、デュアルポリ構築を行う方法についての知識が数多く存在する。しかしながら、本出願人の知る限り、デュアルポリJFETの集積プロセスは存在していない。
故に、低い寄生キャパシタンスを有するJFETを製造する方法であって、自己整合ゲートコンタクトの形成をもたらすようにポリシリコン処理のプロセスに必要なマスク数を削減する方法が望まれる。
Nanver、Goudena著、「Design Considerations for Integrated High-Frequency P-Channel JFET's」、IEEE Transactions Electron Devices、第35巻、第11号、1988年、p.1924-1933 Ozawa著、「Electrical Properties of a Triode Like Silicon Vertical Channel JFET」、IEEE Transcations Electron Devices、第ED-27巻、第11号、1980年、p.2115-2123 H.Takanagi、G.Kano著、「Complementary JFET Negative-Resistance Devices」、IEEE Journal of Solid State Circuits、第SC-10巻、第6号、1975年12月、p.509-515 A.Hamade、J.Albarran著、「A JFET/Bipolar Eight-Channel Analog Multiplexer」、IEEE Journal of Solid State Circuits、第SC-16巻、第6号、1978年12月 K.Lehovec、R.Zuleeg著、「Analysis of GaAs FET's for Integrated Logic」、IEEE Transaction on Electron Devices、第ED-27巻、第6号、1980年6月 R.Zuleeg著、「Complementary GaAs Logic」、1985年8月4日
本発明に係る教示は、自己整合ゲートコンタクトを有するJEFTを製造する方法を提供する。
上記課題は、ソースコンタクト及びドレインコンタクトの周りのスペーサ酸化物の形成によって形成された開口内に堆積された第2のポリシリコン層(ソースコンタクト及びドレインコンタクトを成すこの第1の層はポリシリコンでなくてもよく、その他の導電体としてもよい)からゲートコンタクトを形成することによって達成される。この開口は、アクティブ領域のうちのJFETのソース領域となる部分とドレイン領域となる部分との間の部分を露出させる。この構築形態は、ゲートマスクをソース及びドレインのマスクに位置整合させる際のリソグラフィ問題を排除する。ソースコンタクト及びドレインコンタクトの上のスペーサ絶縁体の頂部の窒化物層が、研磨停止層として作用する。フィールド酸化物を覆う窒化物層が、デバイスを動作不能にし得るオーバーエッチングを防止する。
図5Aは、本発明の一実施形態に従った完成後のJFETの平面図を示している。ソースコンタクト100は好ましくは第1のポリシリコン(以下、ポリとも称する)から成るが、何らかの高融点金属、シリサイド、又は、ポリシリコン、シリサイド及び高融点金属の組み合わせから成ってもよい。同じことがドレインコンタクト102にもあてはまる。請求項においてスペーサ誘電体構造と称する絶縁スペーサ128は、典型的には二酸化シリコンであり、ソース及びドレインのコンタクトを、“第2”ポリから成る自己整合ゲートコンタクト106から分離している(第2ポリは、ドープト半導体であろうと導電体であろうとゲートコンタクトを形成するために使用されるものを意味し、ソース及びドレインのコンタクトが第1ポリで形成されることも要求しない)。
スペーサ誘電体構造128はまた、例えば二酸化シリコン及び窒化シリコン(Si)等の複数の誘電体層から成っていてもよく、この用語は請求項中においてもそのように解釈されるべきである。四角形108は、典型的にシャロー・トレンチ・アイソレーション二酸化シリコンである絶縁材料のフィールド領域によって電気的に分離された、基板内のアクティブ領域を表している。請求項中の用語“フィールド領域”は、アクティブ領域を画成するように基板内に形成された如何なる絶縁層をも意味し、必ずしも、二酸化シリコンである必要はない。シャロー・トレンチ・アイソレーションはLOCOSよりも容易に非常に微細なアクティブ領域を形成することができる点で好ましい。
ゲートコンタクト106及びウェルコンタクト(もし、使用されるのであれば)は、第2のポリシリコン層から形成される。
図5Bは、JFETの典型的な他のレイアウトを示している。ソースコンタクト、ドレインコンタクト、ゲートコンタクト及びアクティブ領域には、図5Aにおいてと同一の参照符号を付している。
図5Cは、アクティブ領域を通る図5Aの直線A−A’に沿ってとられた、本発明の好適実施形態に従った完成後のJFETの断面図を示している。ウェルコンタクトは、常にあり且つ図5Dに示しており、当業者に理解されるものであるため示していない。フィールド酸化物領域110は、Pウェルアクティブ領域108を包囲・画成しており、PウェルをN型シリコン基板112に一体化された隣接構造から電気的に分離している。一部の実施形態において、基板は、頂部に単結晶半導体層が形成された絶縁基板としてもよい。そのような半導体層は、その後、適切にドープされることができる。以下では、特に断らない限り、基板への言及はこれらの何れの形態についても言及するものとして理解されるべきである。
フィールド酸化物110は、シャロー・トレンチ・アイソレーションとして当業者に知られたプロセスによって形成されている。Pウェル108は横方向に延在しており、フィールド酸化物は、図5Dに示すように、Pウェルの第2の分離領域109を形成する。第2の分離領域109は表面まで延在し、その上には導電性のコンタクト構造111が形成される。典型的なウェルコンタクト構造は図2に示してある。図2では、コンタクト71はバックゲートコンタクトであり、Nチャネルデバイスの場合はP+ドープトポリで形成される。図5Dのデバイスは、何れもポリシリコンから成り且つ窒化物層162を被せられた酸化物層144で頂部を覆われたソース、ドレイン及びゲートのコンタクトを示している。
ソースコンタクト102及びドレインコンタクト100は好ましくはP+型にドープされた第1ポリシリコンであるが、NチャネルデバイスではN型不純物、PチャネルデバイスではP型不純物を注入されたシリサイド又は高融点金属であってもよい。請求項及びこの詳細な説明全体を通じて、イオン注入工程は、注入イオンを被注入材料中に一層均一に分布させるためのイオン注入の最中又は後のアニール工程を含むとして理解されるべきである。請求項において、“高濃度レベルに”という表現は、当業者に理解されるように、典型的に1E18−1E21の不純物濃度レベルであるP+又はN+(Pチャネルデバイス又はNチャネルデバイスの何れが製造されるかに依存する)のレベルを意味するとして理解されるべきである。
これらの注入されたドーパント不純物は、高温ドライブイン工程にて、下に位置するPウェル108の半導体内に押し込まれ、ソース領域118及びドレイン領域120を形成する。イオン注入後に用いられるアニール工程及び高温ドライブイン工程は、別々の工程であってもよいし、1つの工程へと組み合わされてもよい。N型チャネル領域122は、前もって、イオン注入によって形成されている。P+ゲート領域124は、チャネル領域上に形成されたP+型にドープされた第2ポリシリコンのコンタクト106から、チャネル領域122内に不純物を押し込むことによって、浅い接合126を有するように形成されている。斜線でハッチングした領域128は、例えば二酸化シリコン等の絶縁材料であり、自己整合ゲートコンタクト106をソースコンタクト102及びドレインコンタクト100から分離するスペーサ誘電体構造として機能する。このスペーサ誘電体構造と、ゲートコンタクト用にエッチングされた開口内に該スペーサ誘電体構造を形成するプロセスとを使用するにより、ゲートコンタクトは自己整合になる。ソース、ドレイン及びゲートのコンタクトを形成するために必要なマスクは1つのみであり、ゲートコンタクトは自己整合である。この1つのマスクは、ソースコンタクト及びドレインコンタクトを画成するために、典型的にポリシリコンである第1の導電層をエッチングする位置を定める。それにより、アクティブ領域の上方に、ゲートコンタクトが形成され得る開口が残される。そして、この開口内に、スペーサ酸化物が後述のプロセスにて形成され、スペーサ酸化物の形成により、ソースコンタクトとドレインコンタクトとの間のアクティブ領域の表面に達し、それを露出させる開口が残される。そして、この開口はポリシリコンで充填され、このポリはゲートコンタクトを形成するようにドープされる。故に、ソース、ドレイン及びゲートのコンタクトの全てを形成することには1つのマスクのみが必要とされ、ゲートコンタクトホールをエッチングすることにマスクは必要でないので、ゲートコンタクトは自己整合される。仮にこのようなマスクが使用されなかった場合、ゲートコンタクトはソース及びドレインのコンタクトを形成するために先に使用されたマスクを用いてアライメントされなければならなかったため、位置不整合エラーが発生し、位置不整合エラーに対する設計ルールマージンの使用を必要としていた。これは、トランジスタのサイズを増大させ、トランジスタを低速なものにすると同時にチップ面積を増大させていた。
好適な実施形態においては、上述の絶縁材料の上面に、後に行われる第2のポリから余分なポリを除去するための研磨工程が上述の絶縁材料の全てを研磨してソース及びドレインのコンタクトを損傷させることを防止する研磨停止層として作用するよう、窒化物の層が形成される。JFETに関する図5C及び5Dの構造における新しい点は、先ずソースコンタクト102及びドレインコンタクト100が第1の導電層堆積によって構築され、その後、スペーサ絶縁体128が形成され、それに続いて、ポリシリコンである第2の導電層が堆積されることである。その結果、自己整合ゲートが得られ、使用するマスクが少なくなる。一部の実施形態において、第2の導電層の堆積の前に、スペーサ絶縁体層の頂部に窒化物の層が形成される。他の実施形態において、スペーサ酸化物層がエッチングされるときにフィールド酸化物をオーバーエッチングから保護するように、フィールド酸化物(典型的にSTI)の頂面に窒化物の層が形成される。
構築プロセス
図6を参照するに、アクティブ領域を画成した後の準備段階におけるJFETの断面図が示されている。プロセスは、典型的に、典型的に10Ω・cmの抵抗率になるようにドープされた<100>N−ドープトシリコン半導体基板から開始する。しかしながら、他の実施形態においては、その他の抵抗率、半導体型及び結晶方位も許容される。基板内に絶縁材料を形成することによってフィールド領域110を形成し、それにより、JFET構造が形成されることになるアクティブ領域130(Pウェル108に一致する)を画成する。この好適な実施形態において、フィールド領域110は、線幅が45nmのデバイスにおいて約1000Åから1μmの厚さを有する二酸化シリコンの領域を形成するシャロー・トレンチ・アイソレーション酸化によって形成される。シャロー・トレンチ・アイソレーションはLOCOS酸化より好ましい。コーナー部132及び134が、LOCOSによって生成されるバーズビーク構造の場合よりシャープとなり、より小さいデバイス構造が形成され得るからである。バーズビーク構造は典型的に、マスク端を超えて0.1μmだけアクティブ領域側に延在した酸化物を有する。従って、LOCOSフィールド酸化プロセスにおいては、0.2μm幅のアクティブ領域を画成するマスクはアクティブ領域を信頼性高く形成することが不可能であり、微細なデバイスを製造することができない。ここで説明するプロセスは単体のデバイスを形成するためのものである。近接したPチャネルデバイス及びNチャネルデバイスを有するインバータが形成される場合、これらのデバイスは互いに電気的に分離されなければならない。そのような場合、Nチャネルデバイスが形成されることになるアクティブ領域内にPウェルを形成し、Pチャネルデバイスが形成されるべき別のアクティブ領域にNウェルを形成するため、イオン注入を行う。各Pウェル又はNウェルは基板表面に該ウェルへのコンタクトを有し、Nチャネルデバイスのアクティブ領域からPチャネルデバイスのアクティブ領域への導通、及びその逆の導通を排除するよう、ウェル−基板接合を逆バイアスすることができる。図21は、相補型の自己整合JFETを分離する2つの異なるウェル構造を示している。NチャネルJFET200は、表面コンタクト204を有するPウェル202内に構築される。PチャネルJFET206は、表面コンタクト210を有するNウェル208内に構築される。表面コンタクト204及び210は、これら2つのデバイスを分離するために、それぞれ、バックゲート214及び212を逆バイアスするために使用され得る。三重ウェル構造が全体として216で示されている。この構造においては、基板224内に形成されたNウェル222に包囲されたPウェル220内の第1のアクティブ領域(STI領域221及び223によって画成されている)内に、自己整合ゲート型NチャネルJFET218が構築される。このPウェルは表面コンタクト226を有する。やはりNウェル222で包囲されたNウェル内に、自己整合ゲート型PチャネルJFET228が構築される。請求項において、表現“自己整合ゲート型NチャネルJFET”は、エンハンスメントモード又はディプレッションモードの何れであっても、Nチャネルデバイスとしてドープされたここで規定する如何なる好適な或いは代替的なJFET構造の実施形態をも意味する。同様に、表現“自己整合ゲート型PチャネルJFET”は、エンハンスメントモード又はディプレッションモードの何れであっても、Pチャネルデバイスとしてドープされたここで規定する如何なる好適な或いは代替的なJFET構造の実施形態をも意味する。
図7は、“第1ポリ”堆積後の中間段階におけるデバイスの断面図を示している。第1の導電性材料層136には、例えば高融点金属又はシリサイド等のその他の導電体を使用することができるが、好適な実施形態においては、層136は、好ましくは20nmから0.5μmの厚さのポリシリコン(ポリ)層である。請求項において、第1の導電性材料という用語は、この第1のポリ層及び高融点金属若しくはシリサイドを意味するとして理解されるべきである。この第1ポリ層は、ドーピングの後、後に形成されるソース及びドレインのコンタクトをなす導電性材料である。この第1ポリ層のドーピングは、好ましくはイオン注入であるが、例えばプラズマ浸漬ドーピング、熱拡散及び同様のプロセス等、層136をドーピングするためのその他の方法も使用され得る。請求項において、この第1の導電性材料層をドーピングする工程は、該層が堆積時あるいは最初の形成時に導電性のあまり高くないものである場合、第1の導電性材料層の導電性を高める如何なる手法にも及ぶものと解釈されるべきである。使用する具体的なドーパント材料は、構築されるデバイスがNチャネルデバイス又はPチャネルデバイスの何れであるかに依存する。例示したプロセスはNチャネルデバイス用であるので、N型不純物が矢印138によって表されるように注入される。典型的に、ポリシリコン内のドーパント分布の均一性を高めるため、ポリ内の2つの異なる深さにドーピングピークが得られるよう、相対的に低エネルギーの1つと高エネルギーの1つとの多重イオン注入を行う。例えば、第1ポリ層が50nmの厚さであるとすると、一方のイオン注入は表面140の上方約20nmの深さに濃度ピークを有するように設定されたエネルギーを有し、他方は表面142の下方約10nmの深さに濃度ピークを有するように設定されたエネルギーを有する。この好適実施形態において、プロセスのこの時点では、ポリ内の注入不純物を再分布させるためのアニール工程は行わない。代替的な一実施形態においては、この時点で低温アニールを行う。請求項において、第1の導電層を堆積し且つ該層にドーピングを行う工程は、何らかの時点でのアニール工程を含むものとして理解されるべきであり、このアニール工程は、ゲート領域を形成するための、そして第1の導電層にドープトポリシリコンが用いられる場合には恐らくはソース領域及びドレイン領域を形成するための、高温ドライブイン工程に一体化されてもよい。ソース領域及びドレイン領域を形成する工程は、第1ポリ層から成るソース及びドレインのコンタクトから不純物を押し込むための高温拡散を含むものとして理解されるべきである。ソースコンタクト及びドレインコンタクトが高融点金属又はポリシリコン頂部のシリサイドで形成される場合、ソース及びドレインの領域は、ソース及びドレインのコンタクトの形成及びアニール工程の前又は後に、イオン注入によって形成される。代替的な一実施形態においては、ソース及びドレインのイオン注入領域を定めるためにマスキング工程を用いる。
図8は、第1の導電層136上に第1の誘電体層144が堆積された後の構造の断面図を示している。この誘電体層144は、二酸化シリコン、窒化物、低誘電率の二酸化シリコン又はその他の誘電体とし得る。層144は、上述の様々な誘電体の複数の層を有することも可能である。この誘電体層の典型的な厚さは10nmから500nmである。この層は、ソースコンタクト及びドレインコンタクトの頂部を第2ポリから絶縁する絶縁体として機能するとともに、例えば金属配線などの、上方に位置する如何なる導電性構造からも干渉を受けることなく、ソースコンタクト及びドレインコンタクトを形成する柔軟性をもたらす絶縁体として機能する。代替的な一実施形態においては、誘電体層144は、研磨停止層として作用する薄い窒化物層162が頂部に形成された酸化物層から成る。この薄い窒化物層162は破線で示されている。ソースコンタクト及びドレインコンタクトを分離するための後述のエッチングの後、この窒化物層はソースコンタクト上の窒化物キャップ層164及びドレインコンタクト上の窒化物キャップ層162と称されることになる。窒化物層162及び164はまた、アクティブ領域の外側のフィールド酸化物領域上のポリシリコン層136を覆う。これは、後に、ソースコンタクト及びドレインコンタクトの周りにスペーサ誘電体構造を形成し、アクティブ領域への開口を露出させるためのエッチング工程中に、フィールド酸化物がアクティブ領域の外側で切り込まれることを防止するために必要である。この窒化物層がない場合、これらのスペーサを形成するためのエッチングは、図14の領域200において、基板表面より低い高さまでフィールド酸化物をエッチングし、ゲートポリシリコンとウェルとを短絡させてしまうことになる。故に、ゲートがバイアスされるとき、Pウェルも望まれずしてバイアスされる。従って、図15のスペーサ誘電体構造128が形成されるとき、何らかの方法で、フィールド酸化物がエッチングされることを防止する必要がある。
図9は、除去されるべき第1ポリシリコン100及び対応する誘電体層の領域を露出させるフォトレジスト層146をマスキングし、プラズマエッチング又は好適プロセスによってチャネル領域が形成されるべき領域140を露出させた後の構造を示す断面図である。このフォトリソグラフィ及びエッチングの工程は、ソースコンタクト102及びドレインコンタクト100の大きさ及び形状を規定する。マスキング後、露出された酸化物又はその他の絶縁体144と、チャネル領域140及びフィールド領域上の第1の導電層136の部分とをエッチング工程によって除去する。代替的な一実施形態において、このフォトレジストをウェハから除去し、該ウェハを、ドーパントをシリコンの表面付近の導電層102及び100内に拡散させ、それぞれ、ソース領域118及びドレイン領域120を形成するように該シリコンをドーピングするための熱サイクルにかける。そして、NチャネルデバイスではN型、PチャネルデバイスではP型にチャネル領域をドーピングするための1又は複数のイオン注入によって、チャネル領域122を形成する。必要に応じて、ウェルバイアスによってJFETのドレイン電流の一層大きい制御を実現するため、NチャネルデバイスでがP+型の第2のイオン注入を行い、図10のチャネル122の直下にP+領域156を形成する。イオン注入の後、ウェハからレジスト層146を除去する。そして、上に位置するソースコンタクト及びドレインコンタクト内のドーパント不純物が基板内に拡散してソース領域118及びドレイン領域120が形成されるよう、熱押し込みベークを行う。上記のP+ウェル注入はチャネル注入の前又は後の何れに行ってもよく、P型不純物のほとんどを接合154の下方に置くように約1keVから200keVのエネルギーレベルの1又は複数のイオン注入にて、典型的にボロン又はBF2を注入する。請求項において、用語“所定のドーピングレベル及び接合深さ”は、所望のエンハンスメントモード型又はディプレッションモード型のJFETデバイスを実現することに適したドーピング不純物濃度及び接合深さを意味する。エンハンスメントモード型デバイスが所望される場合、ゲート領域のP+濃度(PチャネルJFETの場合、N+濃度)とチャネル領域及びウェル領域の不純物濃度とは、十分に高い濃度となるように制御され、ゲート−チャネル接合及びチャネル−ウェル接合の接合深さは、ゼロゲートバイアスで空乏領域が結合してピンチオフを生じさせるように制御される。ディプレッションモード型デバイスが構築される場合には、上述と同一の要素群が、幾分高い所望ゲートバイアスレベルでピンチオフを達成するように制御される。
第1ポリシリコン層136は、それをソースコンタクト及びドレインコンタクトに使用するため、且つソース領域及びドレイン領域を形成するようにアクティブ領域内に十分な不純物を押し込むため、図に示したイオン注入によって高濃度にドープされている。ドーパント濃度は、第1ポリ層136内で、1018cm−3から1021cm−3の範囲内となるように設定される。ドライブインの後、ソース領域118及びドレイン領域120内のドーパント濃度もまた1018cm−3から1021cm−3である。ドライブイン工程の時間及び温度は、ソース−ウェル接合150及びドレイン−ウェル接合152の接合深さが、基板の頂面140から下方に1nmから150nmの何処かになるように制御される。
図10は、砒素又はその他のN型導電率増強不純物を用いてアクティブ領域内のチャネル領域122をN型にイオン注入し、且つ熱的にドライブインした後の構造を示す断面図である。この好適実施形態において、2以上のチャネル注入を1011cm−2から1014cm−2のドーズ量、且つ500eVから50keVの注入エネルギーで行う。注入エネルギーは、チャネル−ウェル接合154の接合深さが表面140の下方5nmから200nmになるように制御される。この好適実施形態において、イオン注入のドーズ量及びエネルギーは、構築されるデバイスがエンハンスメントモード型デバイスである場合、接合154の上の空乏領域部分(図示せず)が該接合の正バイアス時に、後に形成されるゲート−チャネル接合の下に延在する空乏領域部分(図示せず)に接触し、正ゲートバイアスでのピンチオフを達成するような不純物濃度が得られる接合154の深さとなるように調整されなければならない。
図11は、チャネル注入の前にポリのソースコンタクト及びドレインコンタクトの側壁に薄い酸化物層101及び99が形成される代替的なプロセスの一実施形態における、チャネル注入段階の断面図である。これは、ポリシリコンのソースコンタクト及びドレインコンタクト内のドーパントが、第1の導電層のドライブイン工程中に放出されてアクティブ領域の表面に堆積されることを防止するために行われる。この薄い二酸化シリコン層は、チャネル注入後の急速熱アニール(RTA)工程における短い酸素リッチサイクルを用いて、あるいは、熱拡散によってソースコンタクト及びドレインコンタクトからの不純物を下に位置するアクティブ領域内に侵入させてソース領域及びドレイン領域を形成するための熱的ドライブインの間に、熱成長される。
図12は、ソースコンタクト102及びドレインコンタクト100の頂部の酸化物144の上に、それぞれ、窒化物キャップ164及び162を有するソースコンタクト及びドレインコンタクトを覆うように第2の誘電体層160を形成した後の構造の一実施形態を示す断面図である。誘電体層160をウェハの頂部に堆積する。この誘電体層は低誘電率誘電体又は窒化物の層を有する。この誘電体層はウェハ全体を覆うように等方的に堆積される。
図13は、ウェハ処理中の好適実施形態の断面図であり、ウェハ全体を覆うように等方的に堆積された薄い窒化物層164を示している。代替的に一実施形態においては、この層164は、非常に薄い酸化物層とその頂部の薄い窒化物層とを有する。以下、この積層体も薄い窒化物層164として参照する。窒化物層の厚さは10nmと200nmとの間である。この窒化物の堆積に続いて、例えば二酸化シリコン等の絶縁材料の層160がウェハ上に堆積される。酸化物層に代えて、低誘電率絶縁材料が堆積されてもよい。層176の厚さは、開口140の形状サイズに応じて異なる。層176の最小厚さは、この層を挟んで堆積される2つのポリシリコン層間の完全な絶縁を維持しなければならないことを考慮して決定される。
図13の領域166内のアクティブ領域(図14に輪郭を182で示す)を覆う窒化物は、第2ポリシリコンの堆積前に、アクティブ領域上で選択的に除去される。これを行うことにより、ゲートコンタクトポリからのドーパント不純物が、下に位置する基板内に拡散され、図5Cのゲート領域124が形成されるとともに、ウェルへの低抵抗コンタクトが形成される。
図15は、窒化物層162及び164がソースコンタクト及びドレインコンタクトの頂部にのみ形成された図12の構造から始めて、第2の誘電体層160をエッチングした後の構造を示す断面図である。この実施形態においては、第2の誘電体層160は、スペーサの形成中にフィールド酸化物がエッチングされることを回避するためにウェハ全体上に形成された、窒化物又は低誘電率の二酸化シリコンである。異方性エッチングにより、ソースコンタクト102及びドレインコンタクト100上の第2の誘電体層の水平部分を除去し、アクティブ領域上の第2の誘電体層の水平部分を、基板表面及びチャネル領域122を露出させるように除去し、且つフィールド酸化物上の第2の誘電体層の水平部分を、フィールド酸化物をエッチングすることなく除去する。この異方性エッチングは、ソースコンタクト及びドレインコンタクトの側壁を覆うスペーサ誘電体部分128を残存させる。スペーサ誘電体部分128は、ソースコンタクト及びドレインコンタクトを、次に堆積されるゲートポリシリコンから絶縁する。
窒化物キャップ162及び164の目的は、スペーサ誘電体層160がスペーサを形成するようにエッチングされる時に、ソースコンタクト及びドレインコンタクトの各々の頂部の絶縁層144がエッチングされることを防止するエッチング停止層として作用することである。第1の誘電体層144は、ソースコンタクト及びドレインコンタクトの頂部に残存して、これらのコンタクトの頂部がスペーサ誘電体層160のエッチングにて露出されることを防止しなければならない。このエッチング中にソースコンタクト及びドレインコンタクトの頂部が露出される場合、その構造上に第2ポリシリコン層が堆積されたときに短絡が生じる。誘電体層144が窒化物である場合、窒化物キャップ層162及び164は不要である。誘電体層144が酸化物である場合には、図13に示すようにソースコンタクト及びドレインコンタクトの全面(頂部及び側壁)を覆う窒化物層とまでいかなくても、少なくとも窒化物キャップ164及び162を有しなければならない。この特定の実施形態において、請求項において第2誘電体と称する第2の誘電体層160は、好ましくは窒化物である。何故なら、この実施形態においては、フィールド酸化物を保護する別個の層が存在しないため、層160は、スペーサ誘電体構造を形成するようにエッチングされるときにフィールド酸化物が層160とともにエッチングされることを防止するために窒化物でなければならないからである。第2の誘電体層160が通常の酸化物から成る場合、図5C及び5Dのスペーサ128を形成するようにエッチングされる時、図5Aのスペーサ誘電体構造128の外側のフィールド酸化物のオーバーエッチングをもたらし得る。これは、ウェハプロセス中に第2ポリシリコンを堆積することによってゲートが形成された時、ゲートとウェルとの短絡を引き起こし得る。低誘電率酸化物のエッチングは選択性を有し、フィールド酸化物の位置で停止するため、第2の誘電体層160の一部の実施形態においては低誘電率酸化物が使用され得る。請求項における第2の誘電体層という用語は、これら全ての可能性に及ぶものとして解釈されるべきである。好適実施形態においては、第1の導電層のソースコンタクト102及びドレインコンタクト100と、第2の導電層すなわち第2ポリ(この構築段階では未だ示されていない)との間の更なる分離を提供するよう、比較的厚い誘電体層144が必要とされ得る。
図16は、窒化物層がウェハ全体に形成された図13の構造から始めて、第2の絶縁層160をエッチングした後の構造を示す断面図である。ソースコンタクト及びドレインコンタクトの周囲のスペーサ誘電体128は、第2の酸化物層を異方性エッチングして全ての水平部分を除去することによって形成される。それにより、第2の酸化物層の水平部分は、ソースコンタクト102及びドレインコンタクト100の頂部の窒化物層162及び164まで、あるいは、フィールド酸化物及びアクティブ領域を覆う窒化物層まで、下方に向かって除去される。その後、アクティブ領域上の窒化物を除去して基板表面及びチャネル領域を露出させるため、窒化物のエッチングを行う。
好適種類の実施形態の検討に戻るに、図14は、ソースコンタクト102及びドレインコンタクト100の周囲に形成されたスペーサ誘電体構造128の輪郭を示す平面図である。これらのスペーサ128は、第2の酸化物層160をエッチングすることによって形成される。領域168及び170内の基板表面に形成された窒化物層165がSTIフィールド酸化物を覆っているため、窒化物層165はSTIを、第2の酸化物層160のエッチング中の如何なるエッチング及びそれによる切り込み(ノッチング)からも保護する。
基板の残りの表面より低い高さまでSTIをエッチングすることは、ゲートポリシリコンをウェルに直接的に短絡させ、デバイスを動作不能なもの、又は性能が著しく制限されたものにし得る。図13及び14の実施形態、又はSTIを保護する窒化物層が存在する実施形態は、第2の酸化物のエッチング中にSTI用の保護が存在しない実施形態より好ましいものである。
図17は、窒化物がソースコンタクト及びドレインコンタクトの頂部のみにある図15の構造から始めて、典型的にはポリシリコンである第2の導電層190を形成した後の構造を示す断面図である。第2ポリ層190は、典型的に10nmから500nmの厚さであり、低圧化学気相成長法又は当業者に知られたその他の好適プロセスによって形成される。この導電層190は、ゲートコンタクトを形成するものであるので、NチャネルデバイスではP+導電性材料、PチャネルデバイスではN+導電性材料であることを要する。故に、第2ポリシリコン層190は、堆積後にドープされる必要があり、あるいは、堆積時に既にドープされているか、堆積される時にドープされるかしなければならない。好適実施形態においては、第2ポリは1又は複数のイオン注入によってドープされる。Nチャネルデバイスの場合、注入ドーパントは典型的に、1keVから50keVのエネルギー(典型的には、異なるエネルギーでの多重イオン注入)、1×1014cm−2から1×1016cm−2のドーズ量で注入されるBF2である。イオン注入の後、注入イオンを均等に分布させるために低温アニール工程を行う。典型的なアニール工程は、600℃から800℃で10秒から6時間だけ行われる。このアニール工程は、ゲートコンタクトを形成するための第2ポリシリコン層190の更なる処理の前に行うことができる。この時点で、図5Cのゲート領域124を形成するための高温ドライブイン工程を行い得る。代替的に、後述のように、ゲートコンタクトを形成するために余分なポリシリコンを除去するよう、第2ポリシリコンを更に処理してもよく、その後、上記の高温ドライブイン工程を行ってもよい。
図18は、ソースコンタクト及びドレインコンタクトの形成後に窒化物が構造全体上に堆積された図16の構造から始めて第2の導電層を堆積した後の、プロセスの一実施形態における構造を示す断面図である。この場合にも、図17に関して上述した第2ポリシリコン層190の堆積及びドーピングの全ての態様が等しく当てはまる。
図19は、(窒化物層がSTIを覆う)図18の実施形態から始めて、層190の余分なポリシリコンを研磨して、誘電体層162及び164の頂部と同一平面となるように平坦化し、それによりゲートコンタクト106が残された後の構造を示す断面図である。高温ドライブイン工程が未だ行われていない場合、この時点で行い、ゲートコンタクトからの不純物を、下に位置するアクティブ領域のチャネル領域122内に押し込み、ゲート領域124を形成する。
図20は、窒化物層がソースコンタクト及びドレインコンタクトの頂部のみを覆う図17の実施形態から始めて、層190の余分なポリシリコンを研磨して、窒化物キャップ162及び164の頂部と同一平面となるように平坦化し、それによりゲートコンタクト106が残された後の構造を示す断面図である。
図5Cの、より高性能の完成後の実施形態では、アクティブ領域上にない、ソース及びドレインのコンタクトの外側エッジの周囲の第2ポリから成る、余分なスペーサ192及び194を、選択的なマスキング及びエッチングによって除去し得る。
代替的な一実施形態においては、ゲート、ソース及びドレインのコンタクトのポリシリコンの頂部にシリサイド層を形成し、それらコンタクトの抵抗を低減してもよい。これは、図19の破線200、202及び204に示されており、全ての実施形態に適用可能である。
第1の代替実施形態は、半導体層のエピタキシャル成長によって、基板の頂部上にチャネル領域を形成することを含む。図22−25は、この代替実施形態について、この代替プロセスの様々な段階における構造状態を示している。この代替プロセスの実施形態は、ポリ1のエッチング工程、スペーサ形成工程、及びドライブイン工程が行われた後に開始する。以下、この代替プロセスを示す。この代替実施形態と共通する上述の好適実施形態の工程群それぞれの全ての代替形態は、この代替実施形態の別形態をなす。
1)基板内にアクティブ領域を定め、且つ(Nチャネルデバイスの場合)P型の低濃度ウェル注入を行い、図6に示した構造を作り出す。
2)第1のポリシリコン層(ポリ1)を堆積し、N型(Pチャネルデバイスをドーピングする場合にはP型)にイオン注入し、且つポリ1の頂部に第1の誘電体層を形成する(図7及び8)。
3)ポリ1層をエッチングしてソース及びドレインのコンタクトを形成し、且つそれらコンタクト各々の頂部に誘電体キャップを形成する。図22に示す構造が残される。請求項において、“第1ポリシリコン層上に第1誘電体層を形成する”工程は、CVD酸化物のみ、頂部のCVD酸化物及び側壁の熱酸化物、CVD酸化物及び窒化物、窒化物のみ、又はここで開示した或いは当業者に明らかなその他の誘電体構造を含む全ての変形をも意味し、また、ソース及びドレインのコンタクトの頂部のみを覆うもの、又は実施形態に応じて少なくともアクティブ領域の外側のSTI領域を窒化物で覆った、ソース及びドレインのコンタクトの頂部及び側壁を覆うものをも意味する。故に、第1の誘電体層を形成する工程は、場合により、ポリ1のエッチング前に行われ且つ側壁に誘電体層を形成する更なる工程に続かれてもよい。
4)スペーサ誘電体構造を、該スペーサ誘電体構造の下に熱酸化物若しくは窒化物又はこれら双方がある状態で、あるいはない状態で形成する(図23)。図11−16は全て、これらのスペーサ128を形成する代替実施形態を規定し、それらの全てはこの代替的なエピ層チャネル型のプロセスに適用可能である。頂部を覆う必要に応じての窒化物層162及び164と、ソース及びドレインのコンタクトの側壁を覆う窒化物層165とが、図23に破線で示されている。請求項において、“スペーサ誘電体構造を形成する”という表現は、スペーサに関して本明細書にて教示される如何なる組み合わせの誘電体層を形成することをも意味し、異方性エッチングされたCVD酸化物層で覆われた側壁に成長された酸化物、及び水平部分を除去するように異方性エッチングされたCVD酸化物層で覆われた側壁を覆う窒化物を含む。
5)ソース及びドレインのコンタクト内のN+導電性増強不純物を基板内に押し込むよう、且つ基板の頂部半導体層を通って図15に129及び131で示したスペーサの内部端まで横方向に押し込むよう、熱的ドライブインを実行し、ソース領域118及びドレイン領域120を形成する。従って、不純物は、スペーサの下とゲートコンタクトが形成されることになる開口内のスペーサの内側との双方の基板表面にある(図24)。
6)チャネルが成長される位置の直下に必要に応じてのP+ウェル注入を行った後、単結晶半導体のエピタキシャル層(以下、エピ層とも称する)133を成長させることによって、基板の頂部にチャネル領域を形成する。一実施形態において、第1のシリコン−ゲルマニウム層135を成長させ、該層125の頂部に純粋なシリコン層133を成長させる。これは、格子不整合がシリコン層133を歪ませることにより、シリコン層133が高移動度を有するようになるので好ましい形態である。他の一実施形態においては、半導体層133は純粋なシリコンの単一層である。他の一実施形態においては、半導体層133は、エピタキシャルシリコン−ゲルマニウム−カーボン合金の単結晶層の頂部のエピタキシャルシリコン層である。他の一実施形態においては、半導体層133は、エピタキシャルシリコン−ゲルマニウム−カーボン合金の単結晶層の頂部の歪みエピタキシャルシリコン層である。層133はチャネル領域として作用し、Nチャネルデバイスの場合にはN+にドープされる。層133は、成長時にその場(in-situ)ドープされてもよいし、成長後にイオン注入によってドープされてもよい。位置129及び131における基板表面の不純物は、エピ層133とのオーミックコンタクトを形成し、ソース領域及びドレイン領域として作用する(図24)。半導体層のエピタキシャル成長のプロセス条件は、以前からバイポーラトランジスタ技術にて実践されており周知である。層135及び133は、N型ドーパントを有するチャネルを形成するように、好ましくはイオン注入によって砒素で、適切にドープされる。
7)エピ層の頂部に開口を充填するように第2のポリシリコン層(第2ポリ)を堆積し、第2ポリ層を適切な導電型(Nチャネルデバイスの場合にはP+)にドープし、且つソースコンタクト及びドレインコンタクトの頂部上の窒化物層162及び164の頂部まで第2ポリ層を研磨することにより、ゲートコンタクト106を形成する(図25)。第2ポリシリコンのドーピングは、不純物の拡散、又は不純物のイオン注入の何れによってでもよく、典型的には、良好な不純物分布を達成するために異なるエネルギーレベルが使用される多重イオン注入である。
8)ゲートポリからの不純物の熱的ドライブインを実行し、エピ層内にゲート領域を形成する。代替的な一実施形態においては、ゲートコンタクトを形成するためにスペーサ誘電体構造間のアクティブ領域上の開口にポリシリコンを堆積する前又は後の何れかに、ゲート領域をイオン注入することによってゲート領域を形成してもよい。より良好な不純物分布のために、異なるエネルギーレベルでの多重イオン注入を使用してもよい。エンハンスメントモード型デバイスが構築される場合、上述と同一の、正ゲートバイアスでピンチオフを生じさせるための接合深さ及びドーピング濃度が、この代替的なプロセス及び構造にも適用される。基板の頂部にエピ層を有するディプレッションモード型デバイスが構築される場合には、ゲート−チャネル接合及びチャネル−ウェル接合の接合深さと、ゲート領域、チャネル領域及びウェル領域のドーピング濃度とが、ディプレッションモード動作、すなわち、所定の負ゲートバイアスでのピンチオフを実現するよう制御される。
マスキング及びエッチングによって第2のポリシリコンを画成した後、ウェハ上に誘電体層を堆積し、該誘電体層内にコンタクトホールをエッチングする。最後に、金属の堆積及びエッチングを行い、電気接続を形成する。
以上、好適実施形態及び代替実施形態に関して本発明を説明したが、当業者に認識されるように、本発明の範囲を逸脱することなく、これら実施形態は変更及び改良を施され得るものである。そのような全ての変更は添付の請求項の範囲に含まれるものである。
JFETを示す断面図である。 JFETの一実施形態を示す断面図である。 図2に示すようなJFET、及び本発明の教示に従ったJFETの典型的なドーピングプロファイルを示す図である(Nチャネル型を示しており、PチャネルJFETの場合にはドーピング極性が反転される)。 図2に従ったJFET、及び本発明に従ったJFETのチャネル領域及びゲート領域の拡大図であり、空乏領域がどのように広がってピンチオフを生じさせるかを示している。 本発明の好適実施形態に従った完成後のJFETの典型的な平面図である。 完成後のJFETの代替的な平面図である。 図5Aの直線A−A’に沿ってとられた本発明の好適実施形態に従った完成後のJFETの断面図である(ウェルコンタクトは、常にあり且つ図5Dに示しており、当業者に理解されるものであるため示していない)。 図5Aの直線A−A’に沿ってとられた本発明の好適実施形態に従った、ウェルタップを含む完成後のJFETの断面図である。 アクティブ領域を画成した後の、準備段階におけるJFETを示す断面図である。 第1ポリの堆積後の、中間段階におけるデバイスを示す断面図である。 第1の導電層136上に誘電体層144が堆積された後の構造を示す断面図である。 チャネル領域が形成されることになるアクティブ領域の部分140を露出させるようにフォトレジスト層146をマスキングし、誘電体層144及びポリシリコンをエッチングした後の構造を示す断面図である。 チャネル領域122を形成するように典型的には砒素であるN型ドーパントをイオン注入した後の構造を示す断面図である。 チャネル注入の前にポリのソースコンタクト及びドレインコンタクトの側壁に薄い酸化物層が形成される代替的なプロセスの一実施形態における、チャネル注入段階の断面図である。 ソースコンタクト及びドレインコンタクトの頂部の酸化物層144の下の薄い窒化物層163と、ソースコンタクト及びドレインコンタクトそれぞれの上の別の窒化物層164及び162と、ウェハ全体を覆う誘電体層160とを有する代替的な一実施形態の断面図である。 構造全体を覆うように窒化物層165及び別の誘電体層(二酸化シリコン)160を形成した後の構造の好適実施形態を示す断面図である。 ソースコンタクト102及びドレインコンタクト100の周囲に形成されたスペーサ128の輪郭(破線)を示す平面図である。 窒化物層162及び164がソースコンタクト及びドレインコンタクトの頂部にのみ形成された図12の構造から始めて、第2の誘電体層160をエッチングした後の構造を示す断面図である。 窒化物層が第2の酸化物層の下でウェハ全体に形成された図13の構造から始めて、第2の誘電体層160をエッチングした後の構造を示す断面図である。 窒化物層がソースコンタクト及びドレインコンタクトの頂部のみにある図15の構造から始めて、典型的にはポリシリコンである第2の導電層190を形成した後の構造を示す断面図である。 ソースコンタクト及びドレインコンタクトの形成後に窒化物が構造全体上に堆積された図16の構造から始めて第2の導電層を堆積した後の、プロセスの一実施形態における構造を示す断面図である。 窒化物層がフィールド酸化物を覆う図18の実施形態から始めて、層190の余分なポリシリコンを研磨して、窒化物キャップ162及び164の頂部と同一平面となるように平坦化した後の構造を示す断面図である。 窒化物層がソースコンタクト及びドレインコンタクトの頂部のみを覆う図17の実施形態から始めて、層190の余分なポリシリコンを研磨して、窒化物キャップ162及び164の頂部と同一平面となるように平坦化した後の構造を示す断面図である。 相補型自己整合JFETを分離する2つの異なるウェル構造を示す図であり、これらのウェルの1つは三重ウェル構造をしている。 代替的なエピ層チャネルの実施形態における、ポリ1エッチングの後の構造を示す断面図である。 代替的なエピ層チャネルの実施形態における、スペーサ128の形成後の構造を示す断面図である。 代替的なエピ層チャネルの実施形態における、ソース及びドレイン領域を形成し、且つソース及びドレイン領域とエピ層との間のオーミックコンタクトを形成した後の構造を示す断面図である。 代替的なエピ層チャネルの実施形態における、エピ層上にゲートコンタクト106を形成した後の構造を示す断面図である。

Claims (20)

  1. 接合型電界効果トランジスタの自己整合ゲート構造を形成する方法であって:
    半導体基板上に第1の導電層を形成する工程;
    前記第1の導電層上に第1の誘電体層を堆積する工程;
    前記第1の導電層の第1領域及び第2領域上にマスクを形成する工程であり、前記第1領域はソース電極領域を規定し、前記第2領域はドレイン電極領域を規定する工程;
    前記誘電体層と、前記マスクによって覆われていない前記第1の導電層とを、前記半導体基板の一部を露出させるようにエッチングする工程;
    前記マスクを除去する工程;
    少なくとも、露出された半導体基板、前記ソース電極領域及びドレイン電極領域の上に第2の誘電体層を形成する工程;
    前記半導体基板の選択部分を露出させるように前記第2の誘電体層をエッチングする工程であり、前記第2の誘電体層は、前記ソース電極領域及び前記ドレイン電極領域の側壁を覆い続ける工程;及び
    前記半導体基板の前記選択部分上に第2の導電層を形成する工程であり、前記ソース電極領域と前記ドレイン電極領域との間にあり且つ前記ソース電極領域及び前記ドレイン電極領域から絶縁されたゲート電極領域を画成する工程;
    を有する方法。
  2. 前記ソース電極領域及び前記ドレイン電極領域の側壁を覆う前記第2の誘電体層は、前記ゲート電極領域を、前記ソース電極領域及び前記ドレイン電極領域に対して位置整合させる、請求項1に記載の方法。
  3. 前記ゲート電極領域は更に、チャネル領域及びゲート領域を有するアクティブ領域に対して位置整合される、請求項2に記載の方法。
  4. 前記第1の導電層は、ポリシリコン、高融点金属、又はシリサイドのうちの1つを含む、請求項1に記載の方法。
  5. 前記マスクを形成する工程に先立って、前記第1の誘電体層上に窒化物層を堆積する工程、を更に有する請求項1に記載の方法。
  6. ソース領域を形成するため、前記ソース電極領域から前記半導体基板内に、第1導電型のドーパントを拡散させる工程;及び
    ドレイン領域を形成するため、前記ドレイン電極領域から前記半導体基板内に、第1導電型のドーパントを拡散させる工程;
    を更に有する請求項1に記載の方法。
  7. チャネル領域を形成するため、第1導電型のドーパントをイオン注入する工程、を更に有する請求項1に記載の方法。
  8. ゲート領域を形成するため、前記ゲート電極領域から前記半導体基板内に、第2導電型のドーパントを拡散させる工程、を更に有する請求項1に記載の方法。
  9. 前記ゲート電極領域の表面が前記ソース電極領域及び前記ドレイン電極領域の表面に対して平坦になるよう、前記第2の誘電体層の一部をエッチングする工程、を更に有する請求項1に記載の方法。
  10. 前記第2の導電層は、ポリシリコン、高融点金属、又はシリサイドのうちの1つを含む、請求項1に記載の方法。
  11. 前記第1の導電層はn型の導電性を有し、且つ前記第2の導電層はp型の導電性を有する、請求項1に記載の方法。
  12. 前記第1の導電層はp型の導電性を有し、且つ前記第2の導電層はn型の導電性を有する、請求項1に記載の方法。
  13. 半導体基板内に形成された第1導電型のソース領域;
    前記半導体基板内に形成された前記第1導電型のドレイン領域;
    前記ソース領域と前記ドレイン領域との間の前記半導体基板内に形成された前記第1導電型のチャネル領域;
    前記半導体基板内に形成され且つ前記チャネル領域に隣接する第2導電型のゲート領域;
    誘電体層で覆われた少なくとも1つの側壁を有し、且つ前記ソース領域とオーミック接触するソース電極領域;
    誘電体層で覆われた少なくとも1つの側壁を有し、且つ前記ドレイン領域とオーミック接触するドレイン電極領域;及び
    前記ソース電極領域と前記ドレイン電極領域との間に形成され、且つ前記誘電体層によって前記ソース電極領域及び前記ドレイン電極領域から絶縁されたゲート電極領域;
    を有する接合型電界効果トランジスタ。
  14. 前記ソース電極領域及び前記ドレイン電極領域の側壁を覆う前記誘電体層は、前記ゲート電極領域を、前記ソース電極領域及び前記ドレイン電極領域に対して位置整合させている、請求項13に記載の接合型電界効果トランジスタ。
  15. 前記ゲート電極領域は更に、前記チャネル領域及び前記ゲート領域を有するアクティブ領域に対して位置整合されている、請求項14に記載の接合型電界効果トランジスタ。
  16. 前記ソース電極領域は、ポリシリコン、高融点金属、又はシリサイドのうちの1つを含む、請求項13に記載の接合型電界効果トランジスタ。
  17. 前記ドレイン電極領域は、ポリシリコン、高融点金属、又はシリサイドのうちの1つを含む、請求項13に記載の接合型電界効果トランジスタ。
  18. 前記ゲート電極領域は、ポリシリコン、高融点金属、又はシリサイドのうちの1つを含む、請求項13に記載の接合型電界効果トランジスタ。
  19. 前記第1導電型はn型であり、且つ前記第2導電型はp型である、請求項13に記載の接合型電界効果トランジスタ。
  20. 前記第1導電型はp型であり、且つ前記第2導電型はn型である、請求項13に記載の接合型電界効果トランジスタ。
JP2009514527A 2006-06-09 2007-06-07 自己整合ゲートjfet構造及びその製造方法 Pending JP2009540579A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/450,112 US7560755B2 (en) 2006-06-09 2006-06-09 Self aligned gate JFET structure and method
PCT/US2007/070589 WO2007146734A2 (en) 2006-06-09 2007-06-07 Self aligned gate jfet structure and method

Publications (1)

Publication Number Publication Date
JP2009540579A true JP2009540579A (ja) 2009-11-19

Family

ID=38821001

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009514527A Pending JP2009540579A (ja) 2006-06-09 2007-06-07 自己整合ゲートjfet構造及びその製造方法

Country Status (8)

Country Link
US (2) US7560755B2 (ja)
EP (1) EP2038937A4 (ja)
JP (1) JP2009540579A (ja)
KR (1) KR20090023476A (ja)
CN (1) CN101467265A (ja)
CA (1) CA2647600A1 (ja)
TW (1) TW200810114A (ja)
WO (1) WO2007146734A2 (ja)

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8957511B2 (en) 2005-08-22 2015-02-17 Madhukar B. Vora Apparatus and methods for high-density chip connectivity
US7745301B2 (en) 2005-08-22 2010-06-29 Terapede, Llc Methods and apparatus for high-density chip connectivity
US7592841B2 (en) * 2006-05-11 2009-09-22 Dsm Solutions, Inc. Circuit configurations having four terminal JFET devices
KR100809597B1 (ko) * 2006-04-06 2008-03-04 삼성전자주식회사 미세 패턴 형성 방법 및 이를 이용한 반도체 메모리 장치의형성 방법
US7560755B2 (en) * 2006-06-09 2009-07-14 Dsm Solutions, Inc. Self aligned gate JFET structure and method
US7557393B2 (en) * 2006-08-10 2009-07-07 Dsm Solutions, Inc. JFET with built in back gate in either SOI or bulk silicon
US7764137B2 (en) * 2006-09-28 2010-07-27 Suvolta, Inc. Circuit and method for generating electrical solutions with junction field effect transistors
JP2008108793A (ja) * 2006-10-23 2008-05-08 Sanyo Electric Co Ltd 接合型fetおよびその製造方法
US7525163B2 (en) * 2006-10-31 2009-04-28 Dsm Solutions, Inc. Semiconductor device, design method and structure
US20080128762A1 (en) * 2006-10-31 2008-06-05 Vora Madhukar B Junction isolated poly-silicon gate JFET
US20080099796A1 (en) * 2006-11-01 2008-05-01 Vora Madhukar B Device with patterned semiconductor electrode structure and method of manufacture
US20080265936A1 (en) * 2007-04-27 2008-10-30 Dsm Solutions, Inc. Integrated circuit switching device, structure and method of manufacture
US7525138B2 (en) * 2007-05-03 2009-04-28 Dsm Solutions, Inc. JFET device with improved off-state leakage current and method of fabrication
TW200910470A (en) * 2007-05-03 2009-03-01 Dsm Solutions Inc Enhanced hole mobility p-type JFET and fabrication method therefor
US7629812B2 (en) * 2007-08-03 2009-12-08 Dsm Solutions, Inc. Switching circuits and methods for programmable logic devices
US8035139B2 (en) * 2007-09-02 2011-10-11 Suvolta, Inc. Dynamic random access memory having junction field effect transistor cell access device
US7977714B2 (en) * 2007-10-19 2011-07-12 International Business Machines Corporation Wrapped gate junction field effect transistor
US7582922B2 (en) * 2007-11-26 2009-09-01 Infineon Technologies Austria Ag Semiconductor device
US20090168508A1 (en) * 2007-12-31 2009-07-02 Dsm Solutions, Inc. Static random access memory having cells with junction field effect and bipolar junction transistors
US20090206375A1 (en) * 2008-02-19 2009-08-20 Saha Samar K Reduced Leakage Current Field-Effect Transistor Having Asymmetric Doping And Fabrication Method Therefor
US20090224291A1 (en) * 2008-03-04 2009-09-10 Dsm Solutions, Inc. Method for self aligned sharp and shallow doping depth profiles
US7710148B2 (en) * 2008-06-02 2010-05-04 Suvolta, Inc. Programmable switch circuit and method, method of manufacture, and devices and systems including the same
US20100019289A1 (en) * 2008-07-25 2010-01-28 Dsm Solutions, Inc. Junction Field Effect Transistor Using Silicide Connection Regions and Method of Fabrication
US7943971B1 (en) 2008-12-17 2011-05-17 Suvolta, Inc. Junction field effect transistor (JFET) structure having top-to-bottom gate tie and method of manufacture
US8188482B2 (en) * 2008-12-22 2012-05-29 Infineon Technologies Austria Ag SiC semiconductor device with self-aligned contacts, integrated circuit and manufacturing method
US20100171154A1 (en) * 2009-01-08 2010-07-08 Samar Kanti Saha Silicon-On-Insulator Junction Field-Effect Transistor Having A Fully Depleted Body and Fabrication Method Therefor
US8264058B2 (en) * 2009-02-13 2012-09-11 University Of South Carolina MOS-driver compatible JFET structure with enhanced gate source characteristics
TWI618248B (zh) 2010-10-12 2018-03-11 高通公司 具有薄基體之垂直半導體元件
US9159825B2 (en) 2010-10-12 2015-10-13 Silanna Semiconductor U.S.A., Inc. Double-sided vertical semiconductor device with thinned substrate
KR101196316B1 (ko) * 2011-01-14 2012-11-01 주식회사 동부하이텍 접합형 전계 효과 트랜지스터 및 제조방법
FR2976675B1 (fr) * 2011-06-16 2013-07-12 Nanotec Solution Circuit integre de mesure capacitive a pont flottant.
US8927357B2 (en) * 2011-11-11 2015-01-06 International Business Machines Corporation Junction field-effect transistor with raised source and drain regions formed by selective epitaxy
CN103187308B (zh) * 2011-12-29 2015-06-03 中芯国际集成电路制造(上海)有限公司 结型场效应管及其形成方法
CN103187310B (zh) * 2011-12-31 2017-03-15 中芯国际集成电路制造(北京)有限公司 一种互补结型场效应晶体管c‑JFET器件及其后栅极的制造方法
CN103187309B (zh) * 2011-12-31 2016-08-17 中芯国际集成电路制造(北京)有限公司 结型场效应晶体管及其制造方法
US8946787B2 (en) * 2012-10-06 2015-02-03 Infineon Technologies Austria Ag Reduced charge transistor
RU2513644C1 (ru) * 2012-12-10 2014-04-20 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Рязанский государственный радиотехнический университет" Полупроводниковый прибор с отрицательным сопротивлением (варианты)
US9466536B2 (en) 2013-03-27 2016-10-11 Qualcomm Incorporated Semiconductor-on-insulator integrated circuit with back side gate
US8748245B1 (en) 2013-03-27 2014-06-10 Io Semiconductor, Inc. Semiconductor-on-insulator integrated circuit with interconnect below the insulator
US9478507B2 (en) 2013-03-27 2016-10-25 Qualcomm Incorporated Integrated circuit assembly with faraday cage
US20140315358A1 (en) * 2013-04-19 2014-10-23 Richtek Technology Corporation Manufacturing method of junction field effect transistor
US9449978B2 (en) * 2014-01-06 2016-09-20 Micron Technology, Inc. Semiconductor devices including a recessed access device and methods of forming same
US9552993B2 (en) * 2014-02-27 2017-01-24 Semiconductor Components Industries, Llc Semiconductor device and manufacturing method thereof
US20180175209A1 (en) * 2016-12-20 2018-06-21 Globalfoundries Inc. Semiconductor structure including one or more nonvolatile memory cells and method for the formation thereof
US10043826B1 (en) * 2017-07-26 2018-08-07 Qualcomm Incorporated Fully depleted silicon on insulator integration
US10381349B2 (en) 2017-08-29 2019-08-13 International Business Machines Corporation Stacked complementary junction FETs for analog electronic circuits
US10593760B2 (en) 2018-08-02 2020-03-17 Semiconductor Components Industries, Llc Method for forming trench semiconductor device having Schottky barrier structure
CN110957218B (zh) * 2018-09-26 2023-09-26 无锡华润微电子有限公司 半导体元器件的制造方法及半导体元器件
US11011602B2 (en) * 2018-11-20 2021-05-18 Qualcomm Incorporated Circuits employing adjacent low-k dummy gate to a field-effect transistor (FET) to reduce FET source/drain parasitic capacitance, and related fabrication methods
JP7128136B2 (ja) * 2019-03-08 2022-08-30 株式会社東芝 接合型電界効果トランジスタ
US11869983B2 (en) 2020-03-12 2024-01-09 International Business Machines Corporation Low voltage/power junction FET with all-around junction gate
US11545585B2 (en) * 2020-08-21 2023-01-03 Monolithic Power Systems, Inc. Single sided channel mesa power junction field effect transistor
US11600737B2 (en) * 2021-03-16 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium-based sensor with junction-gate field effect transistor and method of fabricating thereof
US11855237B2 (en) * 2021-03-16 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd Germanium-based sensor with junction-gate field effect transistor and method of fabricating thereof
CN113410135B (zh) * 2021-06-15 2023-06-30 西安微电子技术研究所 一种抗辐照结型场效应晶体管的制作方法
CN118215989A (zh) * 2022-02-28 2024-06-18 华为技术有限公司 芯片及其制备方法、射频功率放大器和终端
CN117954479B (zh) * 2024-03-27 2024-05-28 南京华瑞微集成电路有限公司 一种平面栅极功率器件及其制造方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3719535A (en) * 1970-12-21 1973-03-06 Motorola Inc Hyperfine geometry devices and method for their fabrication
JPS59220968A (ja) * 1983-05-31 1984-12-12 Fujitsu Ltd 半導体装置の製造方法
US4912053A (en) * 1988-02-01 1990-03-27 Harris Corporation Ion implanted JFET with self-aligned source and drain
US5122851A (en) 1989-04-03 1992-06-16 Grumman Aerospace Corporation Trench JFET integrated circuit elements
JP2822500B2 (ja) * 1989-10-27 1998-11-11 ソニー株式会社 半導体集積回路の製造方法
JP3798808B2 (ja) * 1991-09-27 2006-07-19 ハリス・コーポレーション 高いアーリー電壓,高周波性能及び高降伏電壓特性を具備した相補型バイポーラトランジスター及びその製造方法
US5639688A (en) * 1993-05-21 1997-06-17 Harris Corporation Method of making integrated circuit structure with narrow line widths
US5824575A (en) 1994-08-22 1998-10-20 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of manufacturing the same
EP0948818B1 (en) 1996-07-19 2009-01-07 SILICONIX Incorporated High density trench dmos transistor with trench bottom implant
US5702987A (en) 1996-08-26 1997-12-30 Chartered Semiconductor Manufacturing Pte Ltd Method of manufacture of self-aligned JFET
JP3709668B2 (ja) 1997-09-02 2005-10-26 ソニー株式会社 半導体装置とその製造方法
US5856225A (en) 1997-11-24 1999-01-05 Chartered Semiconductor Manufacturing Ltd Creation of a self-aligned, ion implanted channel region, after source and drain formation
US7560755B2 (en) * 2006-06-09 2009-07-14 Dsm Solutions, Inc. Self aligned gate JFET structure and method

Also Published As

Publication number Publication date
KR20090023476A (ko) 2009-03-04
EP2038937A4 (en) 2010-04-28
US20070284628A1 (en) 2007-12-13
US7560755B2 (en) 2009-07-14
US7687335B2 (en) 2010-03-30
US20090017585A1 (en) 2009-01-15
EP2038937A2 (en) 2009-03-25
TW200810114A (en) 2008-02-16
CA2647600A1 (en) 2007-12-21
WO2007146734A3 (en) 2008-02-21
WO2007146734A2 (en) 2007-12-21
CN101467265A (zh) 2009-06-24

Similar Documents

Publication Publication Date Title
US7687335B2 (en) Self aligned gate JFET structure and method
US20220029018A1 (en) Method for manufacturing semiconductor device with recess, epitaxial growth and diffusion
US20220352151A1 (en) Method of manufacturing semiconductor device
US7642566B2 (en) Scalable process and structure of JFET for small and decreasing line widths
US7713804B2 (en) Method of forming an oxide isolated metal silicon-gate JFET
US7741164B2 (en) Method for fabricating SOI device
CN107425057B (zh) 包括在衬底中设有栅极电极区的晶体管的半导体结构及其形成方法
CN105679811B (zh) 半导体装置及其制造方法
US20120267724A1 (en) Mos semiconductor device and methods for its fabrication
CN112825327A (zh) 半导体结构及其形成方法
US10283527B2 (en) Method of manufacturing semiconductor device
KR100922557B1 (ko) Cmos 트랜지스터 및 그 제조 방법
KR100523053B1 (ko) 실리콘게르마늄 이종접합바이폴라소자가 내장된 지능형전력소자 및 그 제조 방법
KR100848242B1 (ko) 반도체 소자 및 반도체 소자의 제조 방법
CN113437148A (zh) 半导体结构及其形成方法
KR20000067356A (ko) 이에스디 구조를 갖는 모오스 트랜지스터 제조 방법