JP2009239056A - 熱処理装置および処理システム - Google Patents

熱処理装置および処理システム Download PDF

Info

Publication number
JP2009239056A
JP2009239056A JP2008083882A JP2008083882A JP2009239056A JP 2009239056 A JP2009239056 A JP 2009239056A JP 2008083882 A JP2008083882 A JP 2008083882A JP 2008083882 A JP2008083882 A JP 2008083882A JP 2009239056 A JP2009239056 A JP 2009239056A
Authority
JP
Japan
Prior art keywords
wafer
film
mounting table
gas
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008083882A
Other languages
English (en)
Other versions
JP5352103B2 (ja
JP2009239056A5 (ja
Inventor
Tadashi Onishi
正 大西
Shigeki Tozawa
茂樹 戸澤
Yusuke Muraki
雄介 村木
Takafumi Nido
孝文 二藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2008083882A priority Critical patent/JP5352103B2/ja
Priority to CN200910129357A priority patent/CN101546699A/zh
Priority to US12/409,664 priority patent/US20090242129A1/en
Priority to TW098109965A priority patent/TWI437660B/zh
Publication of JP2009239056A publication Critical patent/JP2009239056A/ja
Publication of JP2009239056A5 publication Critical patent/JP2009239056A5/ja
Application granted granted Critical
Publication of JP5352103B2 publication Critical patent/JP5352103B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】熱処理装置における加熱温度を高くしても、シリコン基板下面の金属汚染を十分に抑制できるようにする。
【解決手段】シリコン基板Wを熱処理する熱処理装置4であって、シリコン基板Wを載置させて加熱する載置台23を備え、載置台23の上面に、シリコン、炭化シリコン、窒化アルミニウムのいずれかからなるカバー35を配置した。載置台23の上面をシリコン等のカバー35で覆うことにより、シリコン基板W下面の金属汚染を抑制する。
【選択図】図4

Description

本発明は、シリコン基板を熱処理する熱処理装置と、シリコン基板の上面に形成されたシリコン酸化膜を除去する処理システムに関する。
例えば半導体デバイスの製造プロセスにおいて、半導体ウェハ(シリコン基板:以下「ウェハ」という。)の表面に存在するシリコン酸化膜を、プラズマを用いずにドライエッチングによって除去する処理システムが知られている(特許文献1参照。)。かかる処理システムは、ウェハの上面にフッ化水素ガスおよびアンモニアガスを含む混合ガスを供給することにより、ウェハの上面に形成されたシリコン酸化膜を反応生成物膜に変質させるCOR処理装置と、該反応生成物を加熱して気化(昇華)させる熱処理装置を備えている。
処理システムの熱処理装置には、ウェハを載置させて加熱する載置台が備えられており、この載置台の材料には、熱伝導性、対エッチング性、経済性などの理由から、アルミニウム(Al)が使用されている。また、対エッチング性向上などの理由により、アルミニウム製の載置台の表面をアルマイト処理することも行われている。
特開2007−180418号公報
しかしながら、熱処理装置において、アルミニウム製の載置台にウェハを載置させて加熱処理した場合、ウェハの下面にアルミニウム成分が転写し、ウェハ下面の金属汚染が発生していた。この場合、載置台の上面に複数の支持ピンを設け、ウェハの下面を支持ピンで支持することにより、ウェハ下面と載置台上面との間に隙間を形成させることも行われていた。また、本発明者らは、アルマイト処理されたアルミニウム製の載置台の表面を、更に蒸気封孔処理すること、および、OGF(OUT
GAS FREE)処理することも試みた。しかしながら、ウェハ下面の金属汚染を十分に抑制することができなかった。
このような熱処理装置におけるウェハ下面の金属汚染といった問題は、ウェハの加熱温度を高くすると顕著に発生した。このため従来は、ウェハの加熱温度を低く抑えることで、金属汚染の抑制を図っていた。しかしながら、ウェハの加熱温度を低く抑えると、反応生成物を気化(昇華)させて除去する時間が長くかかり、処理時間が長くなるという問題があった。
本発明は、上記の点に鑑みてなされたものであり、熱処理装置における加熱温度を高くしても、シリコン基板下面の金属汚染を十分に抑制できるようにすることを目的としている。
上記課題を解決するため、本発明によれば、シリコン基板を熱処理する熱処理装置であって、シリコン基板を載置させて加熱する載置台を備え、前記載置台の上面に、シリコン、炭化シリコン、窒化アルミニウムのいずれかからなるカバーを配置したことを特徴とする、熱処理装置が提供される。かかる熱処理装置によれば、載置台の上面をシリコン等のカバーで覆うことにより、シリコン基板下面の金属汚染を抑制することができる。
この熱処理装置において、前記カバーは例えば円板形状であり、前記載置台上に載置される円板形状のシリコン基板よりも大きい直径を有していても良い。また、前記カバーの上面に、シリコン基板の下面を支持する複数の支持ピンが設けられていても良い。また、前記カバーの下面に、前記載置台の上面に設けられた複数の支持ピンを受容するための凹部が設けられていても良い。
また、シリコン基板の上面には、フッ化水素ガスおよびアンモニアガスを含む混合ガスとの化学反応によりシリコン酸化膜を変質させた反応生成物膜が形成されていても良い。ここで、基板の表面に存在するシリコン酸化膜を変質させて反応生成物を生成する処理は、例えばCOR(Chemical Oxide Removal)処理(化学的酸化物除去処理)である。COR処理は、ハロゲン元素を含むガスと塩基性ガスを処理ガスとしてSi基板に供給することで、Si基板上のシリコン酸化膜と処理ガスのガス分子とを化学反応させ、反応生成物を生成させるものである。ハロゲン元素を含むガスとは例えばフッ化水素ガス(HF)であり、塩基性ガスとは例えばアンモニアガス(NH)であり、この場合、主にフルオロケイ酸アンモニウム((NHSiF)や水分(HO)を含む反応生成物が生成される。本願発明の熱処理装置において、シリコン基板を加熱することにより、フルオロケイ酸アンモニウム等の反応生成物を気化(昇華)させて除去することができる。
また本発明によれば、シリコン基板の上面に形成されたシリコン酸化膜を除去する処理システムであって、シリコン基板の上面にフッ化水素ガスおよびアンモニアガスを含む混合ガスを供給することにより、シリコン基板の上面に形成されたシリコン酸化膜を反応生成物膜に変質させるCOR処理装置と、上記の熱処理装置を備えることを特徴とする、処理システムが提供される。
本発明によれば、載置台の上面をシリコン等のカバーで覆うことにより、シリコン基板下面の金属汚染を抑制することができる。また、加熱温度を高くしても、シリコン基板下面の金属汚染を十分に抑制できるので、処理温度を高くして処理時間を短縮することができる。
以下、本発明の好適な実施形態を説明する。先ず、本発明の実施の形態にかかる処理システム1によって処理されるシリコン基板であるウェハWの構造について説明する。図1は、半導体デバイスとしてDRAM(Dynamic Random Access Memory)が形成される製造過程途中のウェハWの概略断面図であり、ウェハWの表面(デバイス形成面)の一部分を示している。ウェハWは、例えば略円盤形に形成された薄板状をなすシリコン(Si)ウェハであり、シリコン層100の表面上には、絶縁膜であるBPSG(Boron−Doped Phospho Silicate Glass)膜101が形成されている。BPSG膜101は、ボロン(B)とリン(P)が入れられたシリコン酸化膜(二酸化シリコン(SiO))である。このBPSG膜101は、CVD(Chemical Vapor Deposition)装置等において熱CVD法によりウェハWの表面上に形成されたCVD系のシリコン酸化膜である。処理システム1において、このBPSG膜101が除去処理の対象となるシリコン酸化膜である。
BPSG膜101の上面には、ゲート電極を有するゲート部Gが、並べて設けられている。各ゲート部Gは、ゲート電極102、ハードマスク層103及び側壁部(サイドウォール)104を備えている。ゲート電極102は、例えばPoly−Si(多結晶シリコン)層である。ゲート電極102は、BPSG膜102の上面に並べて形成されている。各Poly−Si層(ゲート電極102)の上面には、例えばWSi(タングステンシリサイド)層105が形成されている。ハードマスク層103は、例えばSiN(窒化シリコン)等の絶縁体からなる。ハードマスク層103は、各WSi層105の上面にそれぞれ形成されている。側壁部104は、例えばSiN膜等の絶縁体である。側壁部104は、各Poly−Si層(ゲート電極102)、WSi層105及びハードマスク層103の両側面をそれぞれ覆うように形成されている。このSiN膜(側壁部104)の下端部は、BPSG膜101の上面に接触する位置まで形成されている。
さらに、BPSG膜101の上方には、BPSG膜101及び各ゲート部G全体を覆うようにして、例えばHDP−SiO膜(シリコン酸化膜)110が形成されている。このHDP−SiO膜110は、バイアス高密度プラズマCVD法(HDP−CVD法)を用いて形成されたCVD系のシリコン酸化膜(プラズマCVD酸化膜)であり、層間絶縁膜として用いられる。なお、HDP−SiO膜110とBPSG膜101は、共にCVD系酸化膜であるが、HDP−SiO膜110の方がBPSG膜101と比較して密度が高く、硬い材料である。処理システム1において、このHDP−SiO膜110は、除去処理の対象とならない。HDP−SiO膜110の表面には未だ膜が形成されておらず、露出された状態になっている。
HDP−SiO膜110において、2個のゲート部G同士の間(各ゲート部Gに形成されたSiN膜(側壁部104)同士の間)には、コンタクトホールHが形成されている。コンタクトホールHは、HDP−SiO膜110の上面からBPSG膜101の表面まで貫通するように形成されている。コンタクトホールHの内部側方においては、各ゲート部Gのハードマスク層103の上面の一部、及び、互いに対向するように設けられたSiN膜(側壁部104)がそれぞれ露出させられている。コンタクトホールHの底部においては、BPSG膜101の表面が露出させられている。コンタクトホールHは、例えばプラズマエッチング等によって、HDP−SiO膜110をゲート部GのSiN膜(側壁部104)及びハードマスク層103に対して選択(異方性)エッチングすることにより形成されたものである。
次に、上記ウェハWに対してコンタクトホールHの底部に露出されたBPSG膜101のエッチング処理(除去処理)を行う処理システム1について説明する。図2に示す処理システム1は、ウェハWを処理システム1に対して搬入出させる搬入出部2、搬入出部2に隣接させて設けられた2つのロードロック室3、各ロードロック室3にそれぞれ隣接させて設けられ、加熱工程としてのPHT(Post Heat Treatment)処理工程を行う熱処理装置としてのPHT処理装置4、各PHT処理装置4にそれぞれ隣接させて設けられ、変質工程としてのCOR(Chemical Oxide Removal)処理工程を行うCOR処理装置5、処理システム1の各部に制御命令を与える制御部としての制御コンピュータ8を有している。各ロードロック室3に対してそれぞれ連結されたPHT処理装置4、COR処理装置5は、ロードロック室3側からこの順に一直線上に並べて設けられている。
搬入出部2は、例えば略円盤形状をなすウェハWを搬送する第一のウェハ搬送機構11が内部に設けられた搬送室12を有している。ウェハ搬送機構11は、ウェハWを略水平に保持する2つの搬送アーム11a、11bを有している。搬送室12の側方には、ウェハWを複数枚並べて収容可能なキャリア13aを載置するキャリア載置台13が、例えば3つ備えられている。また、ウェハWを回転させて偏心量を光学的に求めて位置合わせを行うオリエンタ14が設置されている。
かかる搬入出部2において、ウェハWは、搬送アーム11a、11bによって保持され、ウェハ搬送機構11の駆動により略水平面内で回転及び直進移動、また昇降させられることにより、所望の位置に搬送させられる。即ち、載置台10上のキャリア13a、オリエンタ14、ロードロック室3に対して搬送アーム11a、11bが進退させられることにより、ウェハWの搬入出が行われる。
各ロードロック室3は、ゲートバルブ16を介して、搬送室12にそれぞれ連結されている。各ロードロック室3内には、ウェハWを搬送する第二のウェハ搬送機構17が設けられている。ウェハ搬送機構17は、ウェハWを略水平に保持する搬送アーム17aを有している。また、ロードロック室3の内部は真空引き可能である。
かかるロードロック室3において、ウェハWは、搬送アーム17aによって保持され、ウェハ搬送機構17の駆動により略水平面内で回転及び直進移動、また昇降させられることにより搬送させられる。そして、各ロードロック室3に対して縦列に連結されたPHT処理装置4に対して搬送アーム17aが進退させられることにより、PHT処理装置4に対してウェハWが搬入出させられる。さらに、各PHT処理装置4を介してCOR処理装置5に対して、搬送アーム17aが進退させられることにより、COR処理装置5に対してウェハWが搬入出させられる。
PHT処理装置4は、密閉構造のチャンバー20を備えている。チャンバー20の内部は、ウェハWを収納する密閉構造の処理空間21になっている。また、図示はしないが、ウェハWを処理空間21内に搬入出させるための搬入出口が設けられており、この搬入出口を開閉するゲートバルブ22が設けられている。処理空間21は、ゲートバルブ22を介して、ロードロック室3に連結されている。
図3に示すように、PHT処理装置4のチャンバー20内には、ウェハWを略水平にして載置させる載置台(PHT載置台)23が設けられている。載置台23は例えばアルミニウム(Al)で構成されており、載置台23の表面には、対エッチング性能を向上させるために例えばアルマイト処理が施されている。さらに、処理空間21に例えば窒素ガス(N)などの不活性ガスを加熱して供給する供給路25を備えたガス供給機構26、処理空間21を排気する排気路27を備えた排気機構28が備えられている。供給路25は窒素ガスの供給源30に接続されている。また、供給路25には、供給路25の開閉動作及び窒素ガスの供給流量の調節が可能な流量調整弁31が設けられている。排気路27には、開閉弁32、強制排気を行うための排気ポンプ33が設けられている。
なお、PHT処理装置4のゲートバルブ22、流量調整弁31、開閉弁32、排気ポンプ33等の各部の動作は、制御コンピュータ8の制御命令によってそれぞれ制御されるようになっている。即ち、ガス供給機構26による窒素ガスの供給、排気機構28による排気などは、制御コンピュータ8によって制御される。
このPHT処理装置4の載置台23の上面には、図4、5に示すように、シリコン(Si)からなるカバー35が配置されており、載置台23の上面全体は、カバー35によって覆われている。このため、ウェハWを載置台23上に載置させた状態では、ウェハWは、載置台23上に配置されたカバー35の上に載置される。カバー35は厚さが例えば1〜10mm程度の円板形状であり、載置台23上に載置される円板形状のウェハWよりも大きい直径を有している。例えば、直径が約300mm(12inch)のウェハWであれば、カバー35は、直径305〜310mm程度の円板形状である。一方、載置台23の上面はウェハWと同程度の直径を有しており、例えば、直径が約300mm(12inch)のウェハWであれば、載置台23の上面も直径300mm程度の円板形状である。ウェハWおよびカバー35は、いずれも中心を載置台23の上面の中心に一致させた状態で、載置台23上に載置される。
載置台23の周囲には、ウェハWを載置台23上に載置させた状態と、ウェハWを載置台23の上方に持ち上げた状態とに昇降させるウェハ昇降機構36が設けられている。ウェハ昇降機構36には、ウェハW下面周縁部を支持するための支持爪37が複数個所に取付けられている。載置台23の上面周縁部には、支持爪37を受容する切欠部38が複数個所に設けられている。ウェハ昇降機構36が下降して、ウェハWが載置台23上に載置させられた状態では、図4中の実線で示されるように、載置台23の上面周縁部に設けられた複数の切欠部38に複数の支持爪37がそれぞれ受容される。また、ウェハ昇降機構36が上昇すると、図4中の一点差線で示されるように、ウェハWの下面周縁部が複数の支持爪37で支持されて、ウェハWが載置台23の上方に持ち上げられる。カバー35の周縁部には、支持爪37を通過させるための切欠部39が複数個所に設けられており、ウェハ昇降機構36が昇降する際には、複数の支持爪37が複数の切欠部39をそれぞれ通過するようになっている。
カバー35の上面には、複数個所に支持ピン40が設けられている。このため、ウェハWを載置台23上に載置させた状態では、ウェハWは、下面を複数の支持ピン40で支持されて、カバー35の上に載置される。なお、カバー35上面の支持ピン40は、例えば高さが200μm程度である。
また、載置台23の上面にも、カバー35の上面に設けられた支持ピン40と同様の支持ピン41が、複数個所に設けられている。カバー35の下面には、これら載置台23上面の支持ピン41を受容するための凹部42が、複数個所に設けられている。このため、カバー35の下面は、載置台23の上面に密着した状態となっている。
載置台23の裏面には、ヒータ43が設けられている。このヒータ43により、載置台23上に載置されたウェハWが加熱される。ヒータ43には、チャンバー20の外部に配置された直流電源44が接続してある。この直流電源44が制御コンピュータ8の制御命令によって制御されるようになっている。これにより、載置台23上のウェハWの加熱温度が、制御コンピュータ8によって制御される。
図6に示すように、COR処理装置5は、密閉構造のチャンバー45を備えている。チャンバー45の内部は、ウェハWを収納する処理空間46になっている。チャンバー45の内部には、ウェハWを略水平にした状態で載置させる載置台(COR載置台)47が設けられている。また、COR処理装置5には、処理空間46内にガスを供給するガス供給機構48、処理空間46内を排気する排気機構49が設けられている。
チャンバー45の側壁部には、ウェハWを処理空間46内に搬入出させるための搬入出口53が設けられており、この搬入出口53を開閉するゲートバルブ54が設けられている。処理空間46は、ゲートバルブ54を介して、処理空間21に連結されている。チャンバー45の天井部には、処理ガスを吐出させる複数の吐出口を有するシャワーヘッド52が備えられている。
載置台47は、平面視において略円形をなしており、チャンバー45の底部に固定されている。載置台47の内部には、載置台47の温度を調節する温度調節器55が設けられている。温度調節器55は、例えば温調用の液体(例えば水など)が循環させられる管路を備えている。かかる管路内を流れる液体と熱交換が行われることにより、載置台47の上面の温度が調節され、さらに、載置台47と載置台47上のウェハWとの間で熱交換が行われることにより、ウェハWの温度が調節されるようになっている。なお、温度調節器55はかかるものに限定されず、例えば抵抗熱を利用して載置台47及びウェハWを加熱する電気ヒータ等であっても良い。
ガス供給機構48は、前述したシャワーヘッド52、処理空間46にフッ化水素ガス(HF)を供給するフッ化水素ガス供給路61、処理空間46にアンモニアガス(NH)を供給するアンモニアガス供給路62、処理空間46に不活性ガスとしてアルゴンガス(Ar)を供給するアルゴンガス供給路63、処理空間46に不活性ガスとして窒素ガス(N)を供給する窒素ガス供給路64を備えている。フッ化水素ガス供給路61、アンモニアガス供給路62、アルゴンガス供給路63、窒素ガス供給路64は、シャワーヘッド52に接続されている。処理空間46には、シャワーヘッド52を介してフッ化水素ガス、アンモニアガス、アルゴンガス、窒素ガスが拡散されて吐出されるようになっている。
フッ化水素ガス供給路61は、フッ化水素ガスの供給源71に接続されている。フッ化水素ガス供給路61には、フッ化水素ガス供給路61の開閉動作及びフッ化水素ガスの供給流量の調節が可能な流量調整弁72が設けられている。アンモニアガス供給路62はアンモニアガスの供給源73に接続されている。アンモニアガス供給路62には、アンモニアガス供給路62の開閉動作及びアンモニアガスの供給流量の調節が可能な流量調整弁74が設けられている。アルゴンガス供給路63はアルゴンガスの供給源75に接続されている。アルゴンガス供給路63には、アルゴンガス供給路63の開閉動作及びアルゴンガスの供給流量の調節が可能な流量調整弁76が設けられている。窒素ガス供給路64は窒素ガスの供給源77に接続されている。窒素ガス供給路64には、窒素ガス供給路64の開閉動作及び窒素ガスの供給流量の調節が可能な流量調整弁78が設けられている。
排気機構49は、開閉弁82、強制排気を行うための排気ポンプ83を有する排気路85を備えている。排気路85の上流端部は、チャンバー45の底部に開口されている。
なお、COR処理装置5のゲートバルブ54、温度調節器55、流量調整弁72、74、76、78、開閉弁82、排気ポンプ83等の各部の動作は、制御コンピュータ8の制御命令によってそれぞれ制御されるようになっている。即ち、ガス供給機構48によるフッ化水素ガス、アンモニアガス、アルゴンガス、窒素ガスの供給、排気機構49による排気、温度調節器55による温度調節などは、制御コンピュータ8によって制御される。
処理システム1の各機能要素は、処理システム1全体の動作を自動制御する制御コンピュータ8に、信号ラインを介して接続されている。ここで、機能要素とは、例えば前述したウェハ搬送機構11、ウェハ搬送機構17、PHT処理装置4のゲートバルブ22、流量調整弁31、排気ポンプ33、直流電源44、COR処理装置5のゲートバルブ54、温度調節器55、流量調整弁72、74、76、78、開閉弁82、排気ポンプ83等の、所定のプロセス条件を実現するために動作する総ての要素を意味している。制御コンピュータ8は、典型的には、実行するソフトウェアに依存して任意の機能を実現することができる汎用コンピュータである。
図2に示すように、制御コンピュータ8は、CPU(中央演算装置)を備えた演算部8aと、演算部8aに接続された入出力部8bと、入出力部8bに挿着され制御ソフトウェアを格納した記録媒体8cと、を有する。この記録媒体8cには、制御コンピュータ8によって実行されることにより処理システム1に後述する所定の基板処理方法を行わせる制御ソフトウェア(プログラム)が記録されている。制御コンピュータ8は、該制御ソフトウェアを実行することにより、処理システム1の各機能要素を、所定のプロセスレシピにより定義された様々なプロセス条件(例えば、処理空間46の圧力等)が実現されるように制御する。即ち、後に詳細に説明するように、COR処理装置5におけるCOR処理工程と、PHT処理装置4におけるPHT処理工程とをこの順番に行う制御命令を与える。
記録媒体8cは、制御コンピュータ8に固定的に設けられるもの、あるいは、制御コンピュータ8に設けられた図示しない読み取り装置に着脱自在に装着されて該読み取り装置により読み取り可能なものであっても良い。最も典型的な実施形態においては、記録媒体8cは、処理システム1のメーカーのサービスマンによって制御ソフトウェアがインストールされたハードディスクドライブである。他の実施形態においては、記録媒体8cは、制御ソフトウェアが書き込まれたCD−ROM又はDVD−ROMのような、リムーバブルディスクである。このようなリムーバブルディスクは、制御コンピュータ8に設けられた図示しない光学的読取装置により読み取られる。また、記録媒体8cは、RAM(random access memory)又はROM(read only memory)のいずれの形式のものであっても良い。さらに、記録媒体8cは、カセット式のROMのようなものであっても良い。要するに、コンピュータの技術分野において知られている任意のものを記録媒体8cとして用いることが可能である。なお、複数の処理システム1が配置される工場においては、各処理システム1の制御コンピュータ8を統括的に制御する管理コンピュータに、制御ソフトウェアが格納されていても良い。この場合、各処理システム1は、通信回線を介して管理コンピュータにより操作され、所定のプロセスを実行する。
次に、以上のように構成された処理システム1におけるウェハWの処理について説明する。先ず、図1に示したようにHDP−SiO膜110にコンタクトホールHが形成されたウェハWが、キャリア13a内に収納され、処理システム1に搬送される。
処理システム1においては、図2に示すように、複数枚のウェハWが収納されたキャリア13aがキャリア載置台13上に載置される。ウェハ搬送機構11によってキャリア13aから一枚のウェハWが取り出され、ロードロック室3に搬入される。ロードロック室3にウェハWが搬入されると、ロードロック室3が密閉され、減圧される。その後、ゲートバルブ22、54が開かれ、ロードロック室3と、大気圧に対してそれぞれ減圧されたPHT処理装置4の処理空間21、COR処理装置5の処理空間46が、互いに連通させられる。ウェハWは、ウェハ搬送機構17によってロードロック室3から搬出され、処理空間21の搬入出口(図示せず)、処理空間21、搬入出口53内をこの順に通過するように直進移動させられ、処理空間46に搬入される。
処理空間46において、ウェハWは、デバイス形成面を上面とした状態で、ウェハ搬送機構17の搬送アーム17aから載置台47に受け渡される。ウェハWが搬入されると搬送アーム17aが処理空間46から退出させられる。搬入出口53が閉じられ、処理空間46が密閉される。そして、COR処理工程が開始される。
処理空間46が密閉された後、処理空間46には、アンモニアガス供給路62、アルゴンガス供給路63、窒素ガス供給路64からそれぞれアンモニアガス、アルゴンガス、窒素ガスが供給される。また、処理空間46内の圧力は、大気圧よりも低圧状態にされる。さらに、載置台47上のウェハWの温度は、温度調節器55によって所定の目標値(例えば約35℃程度)に調節される。
その後、フッ化水素ガス供給路61から処理空間46にフッ化水素ガスが供給される。ここで処理空間46には、予めアンモニアガスが供給されているので、フッ化水素ガスを供給することにより、処理空間46の雰囲気はフッ化水素ガスとアンモニアガスとを含む混合ガスからなる処理雰囲気にされる。こうして処理空間46内のウェハWの表面に混合ガスが供給されることで、ウェハWに対してCOR処理が行われる。
処理空間46内の低圧状態の処理雰囲気によって、ウェハW表面のコンタクトホールHの底部に存在するBPSG膜101は、混合ガス中のフッ化水素ガスの分子及びアンモニアガスの分子と化学反応して、反応生成物101’に変質させられる(図7参照)。反応生成物101’としては、フルオロケイ酸アンモニウムや水分等が生成される。なお、この化学反応は等方的に進行するので、化学反応は、コンタクトホールHの底部からSi層の上面まで進行するとともに、Si層の上方において、コンタクトホールHの真下から横方向にも進行する。
COR処理中は、各処理ガスの供給流量、不活性ガスの供給流量、排気流量等を調節することにより、処理空間46内の混合ガス(処理雰囲気)の圧力が大気圧より減圧された一定の圧力(例えば約80mTorr(約10.7Pa)程度)に維持されるように調節する。また、混合ガス中のフッ化水素ガスの分圧は、約15mTorr(約2.00Pa)以上になるように調節しても良い。また、前述のように、ウェハWの温度、即ち、BPSG膜101において化学反応が行われる部分の温度(BPSG膜101と混合ガスとが接触する部分(即ち、コンタクトホールHの底部)の温度)は、例えば約35℃以上の一定の温度に維持しても良い。これにより、化学反応を促進させ、反応生成物101’の生成速度を高め、反応生成物101’の層を迅速に形成することができる。また、化学反応が飽和状態になる深さ(BPSG膜101の表面から化学反応が止まる位置までの間の距離)を十分に深くすることができる。即ち、反応生成物101’がSi層100の上面に到達するまで、化学反応が途中で止まることなく十分に行われる。なお、反応生成物101’中のフルオロケイ酸アンモニウムの昇華点は約100℃であり、ウェハWの温度を100℃以上にすると、反応生成物101’の生成が良好に行われなくなるおそれがある。そのため、ウェハWの温度は約100℃未満にすることが好ましい。
上記の化学反応が飽和状態になる深さは、変質させる対象物であるシリコン酸化膜の種類(本実施形態においてはBPSG膜101)、シリコン酸化膜の温度(又はシリコン酸化膜に接触する混合ガスの温度)、混合ガス中のフッ化水素ガスの分圧等に依存する。即ち、シリコン酸化膜の種類に応じて、シリコン酸化膜の温度、及び、フッ化水素ガスの分圧をそれぞれ調節することで、化学反応が飽和状態になる深さ、反応生成物101’の生成量等を制御することができ、ひいては、後に詳細に説明するPHT処理後のエッチング量を制御することができる。化学反応が飽和状態になる深さ、即ちエッチング量は、BPSG膜101の場合、BPSG膜101の温度を35℃以上、及び、フッ化水素ガスの分圧を約15mTorr(約2.00Pa)以上に調節することで、約30nm(ナノメートル)以上にすることが可能である。
なお、従来一般に行われていたCOR処理では、ウェハWの温度は約30℃以下程度にされていた。また、混合ガス中のフッ化水素ガスの分圧を高くしても、ある程度の深さまでしか化学反応が進行しなかった。そのため、COR処理によるエッチング量には限界があると考えられており、一度のCOR処理で確実にエッチングできるエッチング量は、例えばBPSG膜101では約30nm未満程度とされていた。これに対し、本実施形態では、ウェハWの温度を従来の温度より高い35℃以上にし、かつ、混合ガス中のフッ化水素ガスの分圧を従来よりも高く、約15mTorr(約2.00Pa)以上に上昇させることで、化学反応が飽和状態になる深さを高めることができ、一度のCOR処理でも十分な量の変質を施すことが可能である。
ところで、COR処理では、BPSG膜101の上方に形成されたHDP−SiO膜110においても、混合ガスとの化学反応が可能である。そのため、COR処理によってHDP−SiO膜110が変質させられてしまうおそれがある。このHDP−SiO膜110の変質を抑制するためには、混合ガス中のアンモニアガスの分圧を、フッ化水素ガスの分圧よりも小さくすると良い。即ち、アンモニアガスの供給流量を、フッ化水素ガスの供給流量よりも小さくすると良い。そうすれば、化学反応がBPSG膜101において活発に進行している間に、HDP−SiO膜110では、化学反応が進行することを防止できる。即ち、HDP−SiO膜110等の変質を抑制しながら、BPSG膜101のみを選択的に効率よく変質させることができる。従って、HDP−SiO膜110のダメージを防止できる。このように、混合ガス中のアンモニアガスの分圧を調節することで、BPSG膜101とHDP−SiO膜110、即ち同じシリコン酸化膜であるが密度、組成、成膜方法等が互いに異なるもの同士の間で、化学反応の反応速度、反応生成物の生成量等を互いに異なる値にすることができ、ひいては、後に詳細に説明するPHT処理後のエッチング量を互いに異なるものにすることができる。なお、アンモニアガスの分圧をフッ化水素ガスの分圧よりも小さくしたときの化学反応は、BPSG膜101と混合ガスとの化学反応によって反応生成物101’の生成速度が決まる反応律速ではなく、フッ化水素ガスの供給流量によって反応生成物101’の生成速度が決まる供給律速反応になると考えられる。
反応生成物101’が十分に形成され、COR処理が終了すると、処理空間46が強制排気されて減圧される。これにより、フッ化水素ガスやアンモニアガスが処理空間46から強制的に排出される。処理空間46の強制排気が終了すると、搬入出口53が開口させられ、ウェハWはウェハ搬送機構17によって処理空間46から搬出され、PHT処理装置4の処理空間21に搬入される。以上のようにして、COR処理工程が終了する。
PHT処理装置4において、ウェハWは表面を上面とした状態で処理空間21内において載置台23上に載置される。この場合、ウェハWは、下面を複数の支持ピン40で支持された状態で、載置台23上面を覆っているカバー35の上に載置される。また、上述したように、ウェハWは載置台23の上面と同程度の直径であるのに対して、カバー35はウェハWよりも大きい直径を有している。かつ、ウェハWおよびカバー35は、いずれも中心を載置台23の上面の中心に一致させた状態で、載置台23上に載置されている。このため、ウェハWの下面全体はカバー35で完全に覆われ、ウェハWの下面に対して載置台23の上面が曝された状態とならない。
こうして、PHT処理装置4の処理空間21内にウェハWが搬入された後、搬送アーム17aが処理空間21から退出させられる。その後、処理空間21が密閉され、PHT処理工程が開始される。PHT処理では、処理空間21内が排気されながら、高温の加熱ガスが処理空間21内に供給され、処理空間21内が昇温される。また、載置台23の裏面に設けられたヒータ43の稼動により、載置台23上に載置されたウェハWが加熱される。この場合、載置台23上面の支持ピン41がカバー35の下面に設けられた凹部42に受容され、カバー35の下面が載置台23の上面に密着した状態となっている。これにより、ヒータ43の熱が、載置台23上面およびカバー35を介して、ウェハWに効率良く伝達される。この場合、カバー35の厚さを例えば1〜10mm程度とし、カバー35上面の支持ピン40の高さを例えば200μm程度としておけば、載置台23上面からウェハWに効率良く熱を伝達できる。
これにより、上記COR処理によって生じた反応生成物101’が加熱されて気化し、コンタクトホールHの下方からコンタクトホールH内を通って、HDP−SiO膜の外側(ウェハWの外部)に排出される。即ち、図8に示すように、BPSG膜101から反応生成物101’が除去されることにより、Si層100の上方に、コンタクトホールHの底部と連通する空間H’が形成される。このように、COR処理の後、PHT処理を行うことにより、反応生成物101’が除去され、BPSG膜101を等方的にドライエッチングすることができる。
こうして、COR処理の後にPHT処理を実施することで、BPSG膜101を所定の深さまでエッチング(除去)することができる。なお、前述したCOR処理においては、シリコン酸化膜であるHDP−SiO膜110に対しても、混合ガスとの化学反応が若干生じるため、HDP−SiO膜110の表面が変質させられて少量の反応生成物が生じている。しかし、前述したように、BPSG膜101とHDP−SiO膜110とは、反応生成物の生成量が互いに異なっており、HDP−SiO膜110において反応生成物が生成された深さは、BPSG膜101において反応生成物101’が生成された深さと比較して非常に少ない。そのため、PHT処理によってHDP−SiO膜110から反応生成物が除去される深さ、即ち、HDP−SiO膜110のエッチング量は、BPSG膜110のエッチング量と比較して非常に少ない量に抑えられる。このように、COR処理において混合ガス中のアンモニアガスの分圧をフッ化水素ガスの分圧よりも小さく調節することで、各シリコン酸化膜(BPSG膜101、HDP−SiO膜110)のPHT処理後のエッチング量をそれぞれ調節することができる。即ち、エッチング選択比を調節することができる。本実施形態においては、BPSG膜101のエッチング選択比を、HDP−SiO膜110等の他の構造に対して高くすることができる。
PHT処理が終了すると、加熱ガスの供給が停止されると共に、ヒータ43の稼動が停止され、PHT処理装置4の搬入出口が開かれる。その後、ウェハWはウェハ搬送機構17によって処理空間21から搬出され、ロードロック室3に戻される。こうして、PHT処理装置4におけるPHT処理工程が終了する。
ウェハWがロードロック室3に戻され、ロードロック室3が密閉された後、ロードロック室3と搬送室12とが連通させられる。そして、ウェハ搬送機構11によって、ウェハWがロードロック室3から搬出され、キャリア載置台13上のキャリア13aに戻される。以上のようにして、処理システム1における一連の処理工程が終了する。
なお、処理システム1においてエッチング処理が終了した後のウェハWは、他の処理システムにおいて、例えばCVD装置等の成膜装置に搬入され、ウェハWに対して例えばCVD法等による成膜処理が行われる。かかる成膜処理においては、図9に示すように、コンタクトホールH及び空間H’を埋めるように成膜が行われる。これにより、コンタクトホールH及び空間H’内にキャパシタCが形成される。キャパシタCは、ゲート部Gの間において、HDP−SiO膜110及びBPSG膜101を貫通するように形成され、キャパシタCの下端部は空間H’内においてSi層100の上面に接続される。
かかる処理システム1によれば、PHT処理装置4の載置台23上面がシリコン製のカバー35で覆われているので、載置台23上面からウェハWの下面へのアルミニウム成分の転写が防止される。このため、ウェハ下面の金属汚染が回避される。また、載置台23上面からウェハWの下面へのアルミニウム成分の転写が防止されるので、ヒータ43の加熱温度を高くでき、PHT処理装置4におけるウェハWの処理温度を高くして処理時間を短縮することができる。
以上、本発明の好適な実施形態について説明したが、本発明はかかる例に限定されない。当業者であれば、特許請求の範囲に記載された技術的思想の範疇内において、各種の変更例または修正例に想到しうることは明らかであり、それらについても当然に本発明の技術的範囲に属するものと了解される。
例えば、PHT処理装置4の載置台23上面を覆うカバー35の材質は、シリコンの他、炭化シリコン(SiC)、窒化アルミニウム(AlN)、酸化シリコン(SiO)なども利用できる。但し、酸化シリコンはチッピングの問題があり、窒化アルミ、炭化シリコンは高額である。そのため、カバー35の材質は、シリコンが適当である。また、シリコン製のカバー35はウェハWと硬度が同じであり、ウェハW下面との接触による消耗も少ないと考えられる。
また、カバー35の上面には支持ピン40を設けなくても良い。また、カバー35下面の凹部42も省略できる。但し、載置台23の上面に支持ピン41が設けられている場合は、カバー35の下面を載置台23の上面に密着させるために、凹部42を設けることが望ましい。
処理空間46に供給されるガスの種類は、フッ化水素ガスとアンモニアガスの組み合わせには限定されない。例えば、処理空間46に供給される不活性ガスはアルゴンガスのみであっても良い。また、かかる不活性ガスは、その他の不活性ガス、例えば、ヘリウムガス(He)、キセノンガス(Xe)のいずれかであっても良く、または、アルゴンガス、窒素ガス、ヘリウムガス、キセノンガスのうち2種類以上のガスを混合したものであっても良い。
処理システム1の構造は、以上の実施形態に示したものには限定されない。例えば、COR処理装置、PHT処理装置の他に、成膜装置を備えた処理システムであっても良い。例えば図10に示す処理システム90のように、ウェハ搬送機構91を備えた共通搬送室92を、搬送室12に対してロードロック室93を介して連結させ、この共通搬送室92の周囲に、COR処理装置95、PHT処理装置96、例えばCVD装置等の成膜装置97を配設した構成にしても良い。この処理システム90においては、ウェハ搬送機構91によって、ロードロック室92、COR処理装置95、PHT処理装置96、成膜装置97に対してウェハWをそれぞれ搬入出させるようになっている。共通搬送室92内は真空引き可能になっている。即ち、共通搬送室92内を真空状態にすることで、PHT処理装置96から搬出されたウェハWを大気中の酸素に接触させずに、成膜装置97に搬入できる。従って、PHT処理後のウェハWに自然酸化膜が付着することを防止でき、成膜(キャパシタCの形成)を好適に行うことができる。
また、処理システム1において処理される基板の構造は、以上の実施形態において説明したものには限定されない。さらに、処理システム1において実施されるエッチングは、実施の形態に示したような、キャパシタCの形成前にコンタクトホールHの底部に行うためのものには限定されず、本発明は、様々なシリコン酸化膜の除去処理に適用できる。処理システム1においてエッチングを施す対象物となるシリコン酸化膜は、BPSG膜には限定されず、例えばHDP−SiO膜等、他の種類のシリコン酸化膜であっても良い。この場合も、シリコン酸化膜の種類に応じて、COR処理工程におけるシリコン酸化膜の温度、及び、混合ガス中のフッ化水素ガスの分圧を調節することで、反応生成物が飽和状態になる深さ、エッチング量などを制御することができる。特に、従来の自然酸化膜やケミカル酸化膜において行われていたエッチング方法よりも、反応生成物が飽和状態になる深さを深くし、また、エッチング量を向上させることが可能である。
また、基板に形成されたCVD系酸化膜については、そのCVD系酸化膜の成膜に用いられたCVD法の種類は、特に限定されない。例えば熱CVD法、常圧CVD法、減圧CVD法、プラズマCVD法等であっても良い。
さらに、本発明は、CVD系酸化膜以外のシリコン酸化膜、例えば、自然酸化膜、レジスト除去工程等における薬液処理によって生じたケミカル酸化膜、熱酸化法により形成された熱酸化膜等のシリコン酸化膜のエッチングに適用することもできる。このようなCVD系酸化膜以外のシリコン酸化膜においても、COR処理におけるフッ化水素ガスの分圧とシリコン酸化膜の温度とを調節することで、エッチング量を増減させることができる。
例えば前の処理工程(レジスト除去工程等)で処理された後、次の処理工程(成膜工程)が行われるまでの間に、ウェハWが長時間放置され、ウェハW上に自然酸化膜が厚く形成されてしまった場合でも、次の処理工程を行う直前に、本発明を適用して自然酸化膜の除去工程を行うことにより、自然酸化膜を十分に除去することができる。従って、前の処理工程が終了した後、自然酸化膜の除去工程や次の処理工程を実施するまでの待ち時間を延長することが可能である。そのため、管理時間(Q−time)に自由度を持たせることができる。
なお、ウェハW上に自然酸化膜と層間絶縁膜等の他のシリコン酸化膜(BPSG)等が混在しており、自然酸化膜のみを除去したい場合は、COR処理において、ウェハWの温度を低めにするか、あるいは、混合ガス中のフッ化水素ガスの分圧を低めに調節すると良い。例えば、ウェハWの温度を約30℃以下、混合ガス中のフッ化水素ガスの分圧を約15mTorr(約2.00Pa)以下にしても良い。これにより、層間絶縁膜等の他のシリコン酸化膜の変質を抑制しながら、自然酸化膜を効率よく変質させることができる。即ち、他の構造のダメージを抑制しながら、自然酸化膜を効率よく除去することができる。
ウェハ上に自然酸化膜と他の種類のシリコン酸化膜等が混在するものとしては、例えば図11に示すような構造がある。図11において、ウェハW’の表面にはSi層150が形成されており、その上面に、ゲート電極151を有するゲート部G’が、2つ並べて設けられている。各ゲート部G’は、ゲート電極151(SiO層)、ハードマスク(HM)層152(SiN層)及び側壁部(サイドウォール)153を備えている。即ち、Si層150の上面に、ゲート酸化膜である2つのSiO膜155が形成され、各SiO膜155の上面にゲート電極151としてのPoly−Si層がそれぞれ形成され、各Poly−Si層(ゲート電極151)の上面に、SiN層(ハードマスク(HM)層152)がそれぞれ形成されている。そして、各SiO膜155、Poly−Si層(ゲート電極151)、SiN層(ハードマスク(HM)層152)の両側面に、絶縁体からなる側壁部153がそれぞれ形成されている。さらに、これら2つのゲート部G’を覆うようにして、層間絶縁膜であるBPSG膜156が形成され、BPSG膜156の上面に、PE−SiO膜157が形成されている。このPE−SiO膜157は、プラズマCVD(PECVD;Plasma Enhanced CVD)法を用いて形成されたCVD系のシリコン酸化膜である。2つのゲート部G’の間(側壁部153の間)には、PE−SiO膜157とBPSG膜156を貫通するように、コンタクトホールHが形成されている。コンタクトホールHの底部おいては、Si層150が露出させられており、このSi層150に、自然酸化膜160が形成される。即ち、この構造においては、3種類のシリコン酸化膜、即ち自然酸化膜160、BPSG膜156及びPE−SiO膜157が混在している。このようなウェハW’から自然酸化膜160を除去する場合も、ウェハW’の温度と、混合ガス中のフッ化水素ガスの分圧を適宜調節することで、BPSG膜156及びPE−SiO膜157のダメージ(CDシフト)を抑制しながら、自然酸化膜160を選択的に除去することができる。また、自然酸化膜160の厚さに応じて、ウェハW’の温度と、混合ガス中のフッ化水素ガスの分圧を調節すれば、長期間放置されて厚く形成された自然酸化膜160でも、確実に除去することができる。なお、かかるウェハW’に対して自然酸化膜160の除去後に行われるキャパシタの形成(成膜処理)においては、コンタクトホールHの底部に露出されたSi層150から自然酸化膜160が除去されていることにより、キャパシタの下端部をSi層150に確実に接続することができる。
PHT処理装置の載置台の上面をカバーで覆わなかった場合(比較例)と、カバーで覆った場合(実施例)における、ウェハ下面へのアルミニウム転写量を比較した。なお、アルミニウム転写量はICP−Massで測定した。比較例の場合、図12に示されるように、載置台の上面の温度が100℃程度になると、ウェハ下面へのアルミニウム転写量が3×1010atoms/cmを超えてしまい、無視できない金属汚染が生じた。一方、実施例の場合、図13に示されるように、載置台の上面の温度が300℃程度になっても、ウェハ下面へのアルミニウム転写量が5×10atoms/cm程度であり、無視できる程度の金属汚染しか生じなかった。
本発明は、シリコン基板の熱処理に適用できる。
BPSG膜のエッチングを行う前のウェハの表面の構造を示した概略縦断面図である。 処理システムの概略平面図である。 PHT処理装置の構成を示した説明図である。 載置台の断面図である。 載置台の平面図である。 COR処理装置の構成を示した説明図である。 COR処理後のウェハの状態を示した概略縦断面図である。 PHT処理後のウェハの状態を示した概略縦断面図である。 成膜処理後のウェハの状態を示した概略縦断面図である。 別の実施形態にかかる処理システムの概略平面図である。 別の実施形態にかかるウェハの表面の構造を示した概略縦断面図である。 カバーの無い比較例によるウェハ下面へのアルミニウム転写量を示したグラフである。 カバーの有る実施例によるウェハ下面へのアルミニウム転写量を示したグラフである。
符号の説明
W ウェハ
1 処理システム
4 PHT処理装置
5 COR処理装置
8 制御コンピュータ
20 チャンバー
21 処理空間
23 載置台
26 ガス供給機構
28 排気機構
35 カバー
36 ウェハ昇降機構
40、41 支持ピン
42 凹部
43 ヒータ

Claims (6)

  1. シリコン基板を熱処理する熱処理装置であって、
    シリコン基板を載置させて加熱する載置台を備え、
    前記載置台の上面に、シリコン、炭化シリコン、窒化アルミニウムのいずれかからなるカバーを配置したことを特徴とする、熱処理装置。
  2. 前記カバーは円板形状であり、前記載置台上に載置される円板形状のシリコン基板よりも大きい直径を有することを特徴とする、請求項1に記載の熱処理装置。
  3. 前記カバーの上面に、シリコン基板の下面を支持する複数の支持ピンが設けられていることを特徴とする、請求項1または2に記載の熱処理装置。
  4. 前記カバーの下面に、前記載置台の上面に設けられた複数の支持ピンを受容するための凹部が設けられていることを特徴とする、請求項1〜3のいずれかに記載の熱処理装置。
  5. シリコン基板の上面には、フッ化水素ガスおよびアンモニアガスを含む混合ガスとの化学反応によりシリコン酸化膜を変質させた反応生成物膜が形成されていることを特徴とする、請求項1〜4のいずれかに記載の熱処理装置。
  6. シリコン基板の上面に形成されたシリコン酸化膜を除去する処理システムであって、
    シリコン基板の上面にフッ化水素ガスおよびアンモニアガスを含む混合ガスを供給することにより、シリコン基板の上面に形成されたシリコン酸化膜を反応生成物膜に変質させるCOR処理装置と、請求項1〜5のいずれかに記載の熱処理装置を備えることを特徴とする、処理システム。
JP2008083882A 2008-03-27 2008-03-27 熱処理装置および処理システム Active JP5352103B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2008083882A JP5352103B2 (ja) 2008-03-27 2008-03-27 熱処理装置および処理システム
CN200910129357A CN101546699A (zh) 2008-03-27 2009-03-24 热处理装置和处理系统
US12/409,664 US20090242129A1 (en) 2008-03-27 2009-03-24 Thermal processing apparatus and processing system
TW098109965A TWI437660B (zh) 2008-03-27 2009-03-26 Heat treatment device and processing system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008083882A JP5352103B2 (ja) 2008-03-27 2008-03-27 熱処理装置および処理システム

Publications (3)

Publication Number Publication Date
JP2009239056A true JP2009239056A (ja) 2009-10-15
JP2009239056A5 JP2009239056A5 (ja) 2011-05-12
JP5352103B2 JP5352103B2 (ja) 2013-11-27

Family

ID=41115342

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008083882A Active JP5352103B2 (ja) 2008-03-27 2008-03-27 熱処理装置および処理システム

Country Status (4)

Country Link
US (1) US20090242129A1 (ja)
JP (1) JP5352103B2 (ja)
CN (1) CN101546699A (ja)
TW (1) TWI437660B (ja)

Cited By (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012063901A1 (ja) * 2010-11-11 2012-05-18 東京エレクトロン株式会社 半導体装置の製造方法および製造装置
JP2015528647A (ja) * 2012-09-17 2015-09-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 差異的な酸化ケイ素エッチング
CN105051871A (zh) * 2013-03-28 2015-11-11 芝浦机械电子株式会社 放置台及等离子体处理装置
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8956546B2 (en) * 2010-08-03 2015-02-17 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
GB2487716B (en) * 2011-01-24 2015-06-03 Memsstar Ltd Vapour Etch of Silicon Dioxide with Improved Selectivity
JP6230954B2 (ja) * 2014-05-09 2017-11-15 東京エレクトロン株式会社 エッチング方法
JP6568769B2 (ja) * 2015-02-16 2019-08-28 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10622205B2 (en) * 2015-02-16 2020-04-14 Tokyo Electron Limited Substrate processing method and substrate processing apparatus

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004063658A (ja) * 2002-07-26 2004-02-26 Matsushita Electric Ind Co Ltd ドライエッチング方法及び装置
JP2004200156A (ja) * 2002-12-05 2004-07-15 Ibiden Co Ltd 金属ヒータ
JP2004356624A (ja) * 2003-05-07 2004-12-16 Tokyo Electron Ltd 載置台構造及び熱処理装置
JP2005340693A (ja) * 2004-05-31 2005-12-08 Nec Kansai Ltd プラズマエッチング装置
JP2007208042A (ja) * 2006-02-02 2007-08-16 Tokyo Electron Ltd 減圧処理装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW357404B (en) * 1993-12-24 1999-05-01 Tokyo Electron Ltd Apparatus and method for processing of plasma
US5796074A (en) * 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
JP3341619B2 (ja) * 1997-03-04 2002-11-05 東京エレクトロン株式会社 成膜装置
US6146463A (en) * 1998-06-12 2000-11-14 Applied Materials, Inc. Apparatus and method for aligning a substrate on a support member
US6241825B1 (en) * 1999-04-16 2001-06-05 Cutek Research Inc. Compliant wafer chuck
JP3958539B2 (ja) * 2001-08-02 2007-08-15 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US7622392B2 (en) * 2005-02-18 2009-11-24 Tokyo Electron Limited Method of processing substrate, method of manufacturing solid-state imaging device, method of manufacturing thin film device, and programs for implementing the methods
JP4890067B2 (ja) * 2006-03-28 2012-03-07 大日本スクリーン製造株式会社 基板処理装置および基板搬送方法
JP4949091B2 (ja) * 2007-03-16 2012-06-06 東京エレクトロン株式会社 基板処理装置、基板処理方法および記録媒体
JP4959457B2 (ja) * 2007-07-26 2012-06-20 東京エレクトロン株式会社 基板搬送モジュール及び基板処理システム

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004063658A (ja) * 2002-07-26 2004-02-26 Matsushita Electric Ind Co Ltd ドライエッチング方法及び装置
JP2004200156A (ja) * 2002-12-05 2004-07-15 Ibiden Co Ltd 金属ヒータ
JP2004356624A (ja) * 2003-05-07 2004-12-16 Tokyo Electron Ltd 載置台構造及び熱処理装置
JP2005340693A (ja) * 2004-05-31 2005-12-08 Nec Kansai Ltd プラズマエッチング装置
JP2007208042A (ja) * 2006-02-02 2007-08-16 Tokyo Electron Ltd 減圧処理装置

Cited By (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2012063901A1 (ja) * 2010-11-11 2014-05-12 東京エレクトロン株式会社 半導体装置の製造方法および製造装置
JP5881612B2 (ja) * 2010-11-11 2016-03-09 東京エレクトロン株式会社 半導体装置の製造方法および製造装置
WO2012063901A1 (ja) * 2010-11-11 2012-05-18 東京エレクトロン株式会社 半導体装置の製造方法および製造装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP2015528647A (ja) * 2012-09-17 2015-09-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 差異的な酸化ケイ素エッチング
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
CN105051871A (zh) * 2013-03-28 2015-11-11 芝浦机械电子株式会社 放置台及等离子体处理装置
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Also Published As

Publication number Publication date
JP5352103B2 (ja) 2013-11-27
US20090242129A1 (en) 2009-10-01
TWI437660B (zh) 2014-05-11
TW201003831A (en) 2010-01-16
CN101546699A (zh) 2009-09-30

Similar Documents

Publication Publication Date Title
JP5352103B2 (ja) 熱処理装置および処理システム
JP4890025B2 (ja) エッチング方法及び記録媒体
JP5374039B2 (ja) 基板処理方法、基板処理装置及び記憶媒体
JP2009094307A (ja) エッチング方法及び記録媒体
US10153172B2 (en) Etching method and recording medium
JP5809144B2 (ja) 基板処理方法および基板処理装置
JP2015056519A (ja) エッチング方法、エッチング装置及び記憶媒体
US20170294319A1 (en) Substrate processing method and substrate processing apparatus
US8124536B2 (en) Manufacturing method of capacitor electrode, manufacturing system of capacitor electrode, and storage medium
JP2009533846A (ja) 膜緻密化及び改善されたギャップ充填のための薄膜の多段階アニール
JPWO2007049510A1 (ja) 処理方法及び記録媒体
WO2014208365A2 (ja) エッチング方法及び記録媒体
JP4913485B2 (ja) エッチング方法及び記録媒体
JP4976002B2 (ja) 基板処理装置,基板処理方法及び記録媒体
KR101150268B1 (ko) 열처리 장치 및 처리 시스템
JP5069982B2 (ja) 半導体装置の製造方法および半導体装置
JP2012124529A (ja) 基板処理装置,基板処理方法及び記録媒体

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110324

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110324

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111227

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130122

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130321

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130730

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130826

R150 Certificate of patent or registration of utility model

Ref document number: 5352103

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250