JP2008544533A - 誘電体膜の形成方法及び該方法を実施するための新規な前駆体 - Google Patents

誘電体膜の形成方法及び該方法を実施するための新規な前駆体 Download PDF

Info

Publication number
JP2008544533A
JP2008544533A JP2008517551A JP2008517551A JP2008544533A JP 2008544533 A JP2008544533 A JP 2008544533A JP 2008517551 A JP2008517551 A JP 2008517551A JP 2008517551 A JP2008517551 A JP 2008517551A JP 2008544533 A JP2008544533 A JP 2008544533A
Authority
JP
Japan
Prior art keywords
dielectric layer
precursor
dielectric
layers
layer according
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008517551A
Other languages
English (en)
Other versions
JP5248316B2 (ja
Inventor
デュサラ、クリスティアン
Original Assignee
レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード filed Critical レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Publication of JP2008544533A publication Critical patent/JP2008544533A/ja
Application granted granted Critical
Publication of JP5248316B2 publication Critical patent/JP5248316B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Inorganic Insulating Materials (AREA)

Abstract

本発明は、誘電定数の低い誘電体層に関するものであり、前記層は、特に(回路のBEOL部分における)集積回路ボードの製造の間に金属相互接続を分離するために使用される。本発明において、誘電体層はSiCおよび/またはSiOCを含有し、少なくとも1つの−Si−Cn−Si鎖(式中、n≧1)を含む少なくとも1種の前駆体から得られる。
【選択図】 図1

Description

本発明は、半導体の製造に使用し得る誘電体膜の形成方法、並びにこの方法を実施するための新規な前駆体に関するものである。
集積回路(例えば、商用アプリケーション用又はマイクロプロセッサー用集積回路)の製造の間には、製品の各種の層を選択的に真空下で堆積する極めて多数の工程が連続的に実施され、これらの連続工程自体は、これら連続層が積層されるシリコンウエハ(現今は300mmの直径を有する)を洗浄する洗浄工程により分離される。
一般に、連続的に堆積される層に関し2つのタイプが区別され得る。すなわち、
− 第一のタイプの堆積又はFEOL堆積は、本質的に、電解効果トランジスタ型(感光性層の堆積、マスキング、紫外線露光、洗浄、製造されるトランジスタの種類に依存する単結晶層のドーピング、次いで電極の堆積、次いで各トランジスタのドレイン、ソース及びゲート領域における電気接点の堆積など)の活性成分を作るために多数の連続層を堆積させることにある;
− 第二のタイプの堆積又はBEOL堆積は、様々な電気接点、特に第一のタイプの堆積の様々な段階の間に製造される半導体の間の電気相互接続のネットワークを製造すること、またランダムアクセスメモリの製造の場合には特に、デジタル形態で情報を記録するために必要とされるコンデンサーを製造することにある。これら双方のタイプのアプリケーションにおいて、目的は本質的に、金属および/または導電層の堆積、これら導電層を分離する極めて低い誘電定数を有する誘電体層の堆積、及び、障壁層の堆積、特に、誘電性か導電性かを問わず、1又は2以上の下層がエッチングのために選択されることが望ましい液体化学薬品又は気体製品を用いてエッチングされる時、下層又は横方向への拡散を抑制するための障壁層の堆積にある。
本発明は、本質的に、上述した堆積の第二のタイプ(BEOL)の場合の誘電体層の製造に関するものである。
ますます小さな容積における回路の集積密度及び対応するその処理能力を増加させるために、相互接続ラインの寸法(厚さ及び長さ)を減少させることにより、接続ラインが相互により密接化され、その結果容積が削減されることが必要となるが、
一方でILD(層間絶縁膜)とも呼ばれる、回路の垂直方向において連続する2層の電気的接続を分離し、他方でIMD(金属間誘電体層)とも呼ばれる、回路のいずれか一つの水平面内にある金属相互連結を分離する誘電体層が、密接化された二つの接続ライン間の充分な電気絶縁性を提供するために、とりわけ相互接続回路の時定数を低減するために、誘電特性(さらに低い誘電定数)を改良したことが条件となる(時定数の容量分が誘電性のより低値を有すると、誘電体の誘電定数はより低く、高値を有すると、電極はより高密度になる)。
集積回路(上記を参照)の上部又はBEOL部における電気相互接続配線にかされる増え続ける制御は、現今における重要な技術的進歩をもたらした。
銅は、相互接続金属としてその優れた電気導電性のためにアルミニウムに替わった。これは、感光性樹脂又はフォトレジストの選択的なエッチングによりトレンチが製造される間の「デュアルダマシン」技術と呼ばれる新しい金属蒸着方法の採用をもたらした。トレンチは、その後、電着方法を用いて銅で充填される。
さらに、SiOC(すなわち、炭素ドープ酸化ケイ素)の膜は、現在において、集積回路の中間誘電体層及び金属間誘電体層において誘電体として以前から使用されていた二酸化ケイ素に替わって広範囲に使用されている。
最終的に、さらにこれら層の誘電定数を下げる目的をもって、孔構造を有する誘電体が導入された。ケイ素と酸素の化合物から、化学気相蒸着(CVD)により堆積されたSiO誘電体層は、約4.0の誘電定数を有している。目的は、良好な絶縁特性を維持するために前記層の厚さを減らしつつ、誘電定数を下げることである。低誘電定数、又は低kを有する膜、換言すれば誘電定数4未満の材料から形成される膜は、様々な方法により製造され得、特に、約2.7〜3.5の誘電定数を有する膜を得るために有機配位子を有する酸化ケイ素をドーピングすることにより製造され得る。末端有機配位子、換言すると、最終物質の分子のネットワークに接続されていない配位子が用いられるこのアプローチは、減少する−Si−O−Si−型の二つのケイ素原子間の多くの酸素結合(又は「ブリッジ」)をもたらし、これにより鎖構造の結合が減少し、その結果、SiOの機械的性質に比較し、このタイプの材料の機械的性質における減少をもたらす。しかしながら、これら機械的性質は、回路の製造における後続の工程において行われる研磨工程(化学機械研磨又はCMP)の間に、集積回路の連続層が剥離されることを抑制するために重要である。誘電体層の製造において考えられる需要な他のパラメーターは、膜を構成する材料における内部引張応力の存在の可能性である。すなわち、電子構造の多くは、約300〜450℃の温度で形成される。組立品が冷却されるとき、シリコン基板、銅ネットワーク、及び誘電体膜を含む材料は、その異なる膨張率により異なった縮小を示す。これは、多くの脆性層を分解する機械的応力の供給源、すなわち低k−SiOC層を形成する
これら低k−SiOC層を堆積するために、二つの方法が提供される。すなわち、
− ジメチルジメトキシシラン(DMDMOS)、テトラメチルシラン(4MS)、テトラメチルシクロテトラシロキサン(TMCTS)、及びオクタメチルシクロテトラシロキサン(OMCTS)型:
Figure 2008544533
の前駆体を使用する化学気相蒸着方法;
− シロキサンを使用し、好適な溶媒中にメチルシルセスキオキサン(MSQ)又は水素シルセスキオキサンなどの膜を形成するスピン蒸着方法、である。
金属相互接続層とそれらを分離し絶縁するSiOC層の堆積の間に、化学試薬の通過を抑制するためのSiC(いわゆるエッチング停止層)の薄層を、前記エッチング停止層の上に引き続いて堆積される層のエッチング工程の間に堆積することもまた必要な場合がある。炭化ケイ素は、約5の誘電定数を有する耐火絶縁材料であり、誘電体層の平均誘電定数を明らかに増加させ、ゆえに二つの金属相互接続層を分離する。
現時点において、これらエッチング停止層、特にSiC層の誘電定数を低減する試みがなされている。
本発明の目的は、特に、回路の部品の前記BEOL電気相互接続のための中間誘電体層として又は金属間誘電体層として使用される誘電体層、具体的には低k層の機械的性質を改善することである。したがって、主張した技術的問題を解決するために、本発明は、少なくとも一つの炭素原子と少なくとも二つのケイ素原子を含む誘電体層の前駆体を使用することにあり、炭素原子とケイ素原子は、少なくとも二つのケイ素原子に結合した少なくとも一つの炭素原子を有する−Si−C−Si−型(nは1以上であり、好ましくは5以上である。)の鎖を形成する。
第一の形態によれば、アルコキシシリルアルカン(RO)(Si(−CR −Si(OR型の前駆体を使用することが可能であり(式中、各R基は、水素、又は、炭素鎖から選択され得、炭素鎖は例えばアルキル、アリールなどの型であり、炭素原子数1〜5、好ましくは1又は2である。)、例えば、BTESE、式(EtO)Si−CH−CH−Si(OEt)の(bis(トリエトキシシリル)エタン)(式中、Et=C)などである。誘電定数及びその機械的性質は、実質的に先行技術の前駆体と共に製造される層に対し改善される。
他の形態によれば、本発明は、特に環であって、特に次の群から選択される環を含む多孔質誘電体層を製造することを可能とする環状分子を使用することにある。
Figure 2008544533
式中、R、R、Rは、水素、直鎖状および/または環状炭素鎖から選択され、例えば、アルキル鎖、アリール鎖など、又はアルコキシドである。
本発明によれば、「二重DMDMOS」(式Iにおいて、R=H、及びR=−O−CHである。)などの分子を選択することが好ましい。より好ましくは、更に、Rが次の群:
H、−CH、又は一般的にアルキル基から選択され得、Rは、−O−CH、又はより一般的に、アルコキシド又はアミンから選択される。Rの他の配位子は、Cl、Me又はHなどから選択され得る。
これらすべての分子は、2つのケイ素原子の間に少なくとも1つの炭素原子を含む。好ましくは、これらの分子は、少なくとも一つのSi−C−Si鎖(二つのSi原子の間に数個の炭素原子を有する可能性を有する。)を含む環状又はケージ(三次元構造、分子構造IVにより示される。)を形成する。この3次元分子のタイプは、得られる膜中の孔の形成を助け、したがって末端アルキルを有する分子よりもはるかに大きな構造的結合、及び誘電定数の有意な減少の双方を促進する。
これら様々な前駆体は、例えば、ジクロロシリレン:−SiClを、アセチレン又はブタジエンのようなn個の結合を有する炭化水素と反応させることにより製造され得る。ジクロロシリレン源は、ヘキサクロロジシラン又はトリクロロシランであり得る。それは、高温度においてヘキサクロロジシラン(HCD)の分解により、又は低温度において例えば触媒(トリメチルアミンなど)として第三級アミンを含む溶液から形成され得る。式Iの分子の合成は、例えば、二つの工程において実行され得る。すなわち、
第一の工程は、以下の反応によるものであり、すべての分子に共通である。
SiCl(又はポリクロロシランの混合物)+エチレン
→ ClSi−(CH=CH)−SiCl
アセチレン、ブタジエン、ベンゼン等は、この種の同じ原理による反応、すなわち、ジクロロシリレン:SiClがn個の結合と反応することを導く。一般に、すべての不飽和種が、この種の分子を合成する候補となる可能性を有する。
第二の工程は、対象となる分子の種類に特異的なものである。
ClSi−(CH=CH)−SiCl+MeOH
→(MeO)Si−(CH=CH)−Si(MeO)(式Iの分子において、R=OMe);
ClSi−(CH=CH)−SiCl+LiAlH 又はNaBH
→ HSi−(CH=CH)−SiH(式Iの分子において、R=H);及び
ClSi−(CH=CH)−SiCl+スパイヤー(Speyer)触媒
→ MeSi−(CH=CH)−SiMe(式Iの分子において、R=Me)
この方法により、同様のフッ素化物(例えば、FSi−(CH=CH)−SiF)も得ることができ、低k膜形成のために当該フッ素化物を使用することが可能となる。
一般に、当業者であれば、これら種々の産物を合成するために必要なすべての情報(例えば、Atwell及びWeyenbergによる刊行物:J.Am.Chem.Soc.,3438、90、1968)を見出すことができる。
本発明により、優れた電気的及び機械的性質を有し且つ均一性にも優れた薄い絶縁層の形成において当業者が必要とするものを満足する方法及び組成物が提供された。本発明により堆積される膜は、前記前駆体の蒸気源を発生させるために、1又は2以上の前駆体からなる低k前駆体源を気化させ、前駆体が熱分解され、及び/又は、プラズマの使用により分解される蒸着チェンバー内にこれら前駆体の蒸気を移送することにより、製造される。膜は、その後に行われる熱処理を必ずしも必要とすることなく、単一の形成工程において1又は2以上の基体上に形成される。得られる低k膜は所望される組成を有するため、漏れ電流が低い。通常、本発明により所望される化学量論において膜を堆積するための方法において使用される前駆体は、炭化水素などの液相、例えば、液体前駆体又は溶媒中の前駆体溶液であり得る。液相中の前駆体は、その気化速度が予め測定され調節された上で、蒸気系中に注入される。この気化前駆体は蒸着チェンバー内に取り込まれ、そこでは、1トールのオーダー(通常は200パスカル未満)の圧力、0℃〜450℃、好ましくは200℃〜400℃の温度において堆積が行われる。任意に、堆積速度を有意に増大させるためにチェンバーはプラズマを備える。必ずではないが通常、前駆体と反応させるために蒸着チェンバー内に共反応物質が導入され、この共反応物質は、好ましくは酸化剤(酸素、オゾン、水蒸気、過酸化水素、アルコール等)である。
本発明において、膜は、当業者に周知のCVD(化学気相蒸着)技術、例えば、PECVD技術又は熱CVD技術により堆積され、少なくとも1つの前駆体それ自体から、あるいは少なくとも1つの前駆体と共反応物質(好ましくは、酸素源)との組合せから出発する。一般に、当業者であれば、機械的性質の許容レベルを更に維持しながら、誘電定数(k)を低減することにより前駆体源を最適化し得る。
好ましくは、前駆体は、二個のケイ素原子の間に1又は2個以上の炭素原子を含む分子である。より好ましくは、この分子は環状である。
本発明の他の側面において、分子はジシランであり、これは、低k膜の製造の間に、熱又はプラズマ励起により分解し、不飽和炭素鎖(n個の結合を含む。)と反応して−Si−C結合を含む中間体種、好ましくは二つのケイ素原子に結合した炭素又は炭素鎖(直鎖状又は分岐状)を含む中間体種を形成する。C=C二重結合はC−C単結合よりも強いため、好ましくは、ケイ素原子と結合する炭素鎖を形成する炭素は、少なくとも一つの二重結合を有し得る。これにより、単結合のみ含有する炭素原子鎖から得られる膜に対し、改善された機械的性質を有する低k膜を得ることが可能となる。
さらに、酸素原子を含有しない上記分子は、集積回路のBEOL部においてエッチング停止として用いられるSiC薄層を堆積するために極めて好適な分子構造を有する。
好ましくは、低kSiC前駆体は、二つのケイ素原子に結合した1又は2以上の炭素原子を含み、且つ酸素を含まない分子である。より好ましくは、該分子は環状である。
好ましくは、ケイ素原子と結合する炭素鎖を形成する炭素原子は、(C=C二重結合がC−C単結合よりも破壊がより困難である限りにおいて)二重結合を有し、そのため、得られる膜の機械的性質を優れたものとすることが、より容易に可能となる。
本発明の他の側面において、低kSiC前駆体は、酸素原子を含まないジシランであり得、それは、SiC膜の製造の間に、熱又はプラズマ励起により分解し、不飽和炭素鎖(π(パイ)結合を含む。)と反応してSi−C結合を含む中間体種、好ましくは、二つのケイ素原子に結合した炭素原子又は炭素鎖を含む中間体種を形成する。
さらに他の側面において、本発明は、上述した前駆体から形成される誘電体層、並びに、低k誘電体層を製造するためのこれら前駆体の使用に関するものである。本発明はまた、請求項8の新規な生産物に関するものである。
本発明は、以下の非限定的実施例である典型的な態様を図面と共に参照しながらより明確に理解されるであろう。図1は、多層のFEOL部及びBEOL部を有する集積回路の断面図を示す。
図1において、単結晶シリコンウエハ1は、その上に相互接続及び保護層が製造された様々なMOSトランジスタを有し、集積回路のFEOL部を表す。停止層8より上層の全層はBEOL部を表し、FEOL部の種々の回路間の電気接続が上記に説明したように製造されている。
例えば2により示される集積回路が、単結晶基体1上に製造され、ドレインコンタクト(3)、ゲートコンタクト(4)、ソースコンタクト(5)及びこの回路の水平レベルの相互接続((6)により表される。)が概略的に示されている。タングステン又は銅から製造される垂直方向の相互接続(7)は、図中の断面図において、相互接続(6)を停止層(8)を通って上位にある相互接続(9)まで連結し、図中の銅連結部(10)は、図面の平面に対して事実上垂直方向に延び、上位においてそれ自体を連結部(12)に連結し、さらに連結部(15)に連結し、さらに集積回路の相互接続上部の中央連結部(38)における終端まで連結部(15、18、28、31、34、37)に連結する。各種相互接続面9、13、16、19、29等の間には、各々停止層11、14、17、20、30、33、36、41等があり、これらは、各種水平面における銅金属接続を、21、22、23、24、25、26、27等のILD誘電体層により互いに分離している。いずれか一つの位置における層セット(例えば、二つの停止層30と33の間にあるセット)には、下部に、水平な銅相互接続層の連続する2層間、及び、44、45、46などの銅連結側壁の間を分離するためのILD誘電体層があり、44及び45などの銅電気相互接続を電気的に分離するためのIMD誘電体層を有する。
図に示される実施例において、ILD及びIMD層は、例えば、同じSiOC誘電体を用いて製造される。
停止層は、一般にはSiC又はSiN層である。
本発明はこれら層間誘電体層又はILDの形成並びに金属間誘電体層又はIMDの形成に関するものである。
図1は、多層のFEOL部及びBEOL部を有する集積回路の断面図である。

Claims (11)

  1. 特に集積回路の製造において、金属相互接続を分離するために使用され得る低k誘電体層であって、前記層が、SiCおよび/またはSiOCを含有し、少なくとも1つの−Si−Cn−Si−鎖(式中、n≧1)を含む少なくとも1つの前駆体から得られることを特徴とする、低k誘電体層。
  2. 少なくとも1つの前駆体が、アルコキシシリルアルカン(RO)(Si(−CR −Si(OR型(式中、各R基は、水素、又は炭素鎖(例えば、1〜5個、好ましくは1又は2個の炭素数を有するアルキル、アリールなどの種))から選択され得、
    例えば、式(EtO)Si−CH−CH−Si(OEt)(式中、Et=C)で表されるBTESE(ビス(トリエトキシシリル)エタン)であることを特徴とする、請求項1に記載の誘電体層。
  3. 少なくとも一つの前駆体が、Si−Cn−Si(式中、n≧1)結合を含む環状分子を含むことを特徴とする、請求項1に記載の誘電体層。
  4. 環状分子が以下の群から選択されることを特徴とする、請求項3に記載の誘電体層:
    Figure 2008544533
    式中、R、R、R、Rは、水素;アルキル鎖、アリール鎖などの直鎖状および/または環状炭素鎖;又はアルコキシドから選択される。
  5. 環状分子が式I(式中、R=H、及びR=R=−O−CHである。)で表される二重DMDMOSであることを特徴とする、請求項4に記載の誘電体層。
  6. Rがアルキル基、好ましくはCH、R=−O−CHであることを特徴とする、請求項4に記載の誘電体層。
  7. ケイ素原子と結合する炭素鎖に少なくとも一つの二重結合が含まれることを特徴とする、請求項1乃至6のいずれか1項に記載の誘電体層。
  8. 前駆体分子であって、特に一般式:
    Figure 2008544533
    のSiC、SiOC前駆体分子。
  9. 前駆体が蒸発して気化前駆体源を形成し、該気化前駆体源があらかじめ温度Tになっている基体が置かれている真空蒸着反応器に取り入れられ、所望される最終組成を有する誘電体層を形成することを特徴とする、請求項1乃至7のいずれか1項に記載の誘電体層の形成方法。
  10. 窒素および/または他の不活性ガスも反応器に注入されることを特徴とする、請求項9に記載の方法。
  11. 酸素もSiOCを形成するために反応器に注入されることを特徴とする、請求項9又は10に記載の方法。
JP2008517551A 2005-06-21 2006-06-21 誘電体膜の形成方法及び該方法を実施するための新規な前駆体 Expired - Fee Related JP5248316B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
FR0551675A FR2887252A1 (fr) 2005-06-21 2005-06-21 Procede de formation d'un film dielectrique et nouveaux precurseurs pour la mise en oeuvre de ce procede
FR0551675 2005-06-21
PCT/FR2006/001495 WO2006136741A1 (fr) 2005-06-21 2006-06-21 Procede de formation d'un film dielectrique et nouveaux precurseurs pour la mise en oeuvre de ce procede

Publications (2)

Publication Number Publication Date
JP2008544533A true JP2008544533A (ja) 2008-12-04
JP5248316B2 JP5248316B2 (ja) 2013-07-31

Family

ID=35395858

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008517551A Expired - Fee Related JP5248316B2 (ja) 2005-06-21 2006-06-21 誘電体膜の形成方法及び該方法を実施するための新規な前駆体

Country Status (9)

Country Link
US (2) US7972975B2 (ja)
EP (1) EP1896629B1 (ja)
JP (1) JP5248316B2 (ja)
KR (1) KR101327640B1 (ja)
CN (1) CN101203626A (ja)
AT (1) ATE490352T1 (ja)
DE (1) DE602006018605D1 (ja)
FR (1) FR2887252A1 (ja)
WO (1) WO2006136741A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021184478A (ja) * 2016-05-06 2021-12-02 エーエスエム アイピー ホールディング ビー.ブイ. SiOC薄膜の形成
WO2022085498A1 (ja) * 2020-10-20 2022-04-28 東京エレクトロン株式会社 成膜方法及び成膜装置
US11776807B2 (en) 2017-05-05 2023-10-03 ASM IP Holding, B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US11996284B2 (en) 2015-11-12 2024-05-28 Asm Ip Holding B.V. Formation of SiOCN thin films

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8298965B2 (en) 2008-09-03 2012-10-30 American Air Liquide, Inc. Volatile precursors for deposition of C-linked SiCOH dielectrics
TWI550121B (zh) 2010-02-17 2016-09-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 SiCOH低K膜之氣相沈積法
CN102877041B (zh) * 2011-07-14 2014-11-19 中国科学院微电子研究所 薄膜沉积方法以及半导体器件制造方法
US9960110B2 (en) * 2011-12-30 2018-05-01 Intel Corporation Self-enclosed asymmetric interconnect structures
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US9879340B2 (en) 2014-11-03 2018-01-30 Versum Materials Us, Llc Silicon-based films and methods of forming the same
US20170125241A1 (en) * 2015-10-30 2017-05-04 Applied Materials, Inc. Low temp single precursor arc hard mask for multilayer patterning application
JP7061432B2 (ja) * 2017-02-16 2022-04-28 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Si含有膜の成膜方法

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05255506A (ja) * 1992-03-13 1993-10-05 Agency Of Ind Science & Technol ビス(シロキシ)芳香環骨格を有する高分子ケイ素化合物の製造方法
JPH07179477A (ja) * 1993-12-21 1995-07-18 Shin Etsu Chem Co Ltd 2,5−反応性置換基含有シロール及びその製造方法
JPH07252268A (ja) * 1994-03-11 1995-10-03 Agency Of Ind Science & Technol 1,4−ジシラシクロヘキサ−2,5−ジエン環を有するケイ素化合物およびその製造方法
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
JP2004006822A (ja) * 2002-04-17 2004-01-08 Air Products & Chemicals Inc ポロゲン、ポロゲン化された前駆体および低誘電率をもつ多孔質有機シリカガラス膜を得るためにそれらを使用する方法
JP2004079592A (ja) * 2002-08-12 2004-03-11 Asahi Kasei Corp 層間絶縁用薄膜
JP2004288979A (ja) * 2003-03-24 2004-10-14 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法
JP2004320005A (ja) * 2003-04-01 2004-11-11 Air Products & Chemicals Inc 有機シリカ多孔性膜製造のための化学気相成長方法
JP2005104986A (ja) * 2004-12-27 2005-04-21 Chisso Corp シラシクロペンタジエン誘導体
JP2005200571A (ja) * 2004-01-16 2005-07-28 Jsr Corp ポリマーの製造方法、ポリマー、ポリマー膜形成用組成物、ポリマー膜の形成方法およびポリマー膜
JP2006516363A (ja) * 2003-01-17 2006-06-29 アクセリス テクノロジーズ, インコーポレイテッド 多孔質低−k材料用の無弗素プラズマ硬化方法
JP2006216541A (ja) * 2005-01-21 2006-08-17 Internatl Business Mach Corp <Ibm> 誘電体材料、相互接続構造、電子構造、電子センシング構造および該製作方法(改良された靭性および改良されたSi−C結合を有するSiCOH誘電体材料、該誘電体材料を含む半導体デバイスおよび該誘電体材料の製作方法)

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4923716A (en) * 1988-09-26 1990-05-08 Hughes Aircraft Company Chemical vapor desposition of silicon carbide
US5204141A (en) * 1991-09-18 1993-04-20 Air Products And Chemicals, Inc. Deposition of silicon dioxide films at temperatures as low as 100 degree c. by lpcvd using organodisilane sources
TW285753B (ja) * 1995-01-04 1996-09-11 Air Prod & Chem

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05255506A (ja) * 1992-03-13 1993-10-05 Agency Of Ind Science & Technol ビス(シロキシ)芳香環骨格を有する高分子ケイ素化合物の製造方法
JPH07179477A (ja) * 1993-12-21 1995-07-18 Shin Etsu Chem Co Ltd 2,5−反応性置換基含有シロール及びその製造方法
JPH07252268A (ja) * 1994-03-11 1995-10-03 Agency Of Ind Science & Technol 1,4−ジシラシクロヘキサ−2,5−ジエン環を有するケイ素化合物およびその製造方法
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US20010026849A1 (en) * 1998-11-04 2001-10-04 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
JP2004006822A (ja) * 2002-04-17 2004-01-08 Air Products & Chemicals Inc ポロゲン、ポロゲン化された前駆体および低誘電率をもつ多孔質有機シリカガラス膜を得るためにそれらを使用する方法
JP2004079592A (ja) * 2002-08-12 2004-03-11 Asahi Kasei Corp 層間絶縁用薄膜
JP2006516363A (ja) * 2003-01-17 2006-06-29 アクセリス テクノロジーズ, インコーポレイテッド 多孔質低−k材料用の無弗素プラズマ硬化方法
JP2004288979A (ja) * 2003-03-24 2004-10-14 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法
JP2004320005A (ja) * 2003-04-01 2004-11-11 Air Products & Chemicals Inc 有機シリカ多孔性膜製造のための化学気相成長方法
JP2005200571A (ja) * 2004-01-16 2005-07-28 Jsr Corp ポリマーの製造方法、ポリマー、ポリマー膜形成用組成物、ポリマー膜の形成方法およびポリマー膜
JP2005104986A (ja) * 2004-12-27 2005-04-21 Chisso Corp シラシクロペンタジエン誘導体
JP2006216541A (ja) * 2005-01-21 2006-08-17 Internatl Business Mach Corp <Ibm> 誘電体材料、相互接続構造、電子構造、電子センシング構造および該製作方法(改良された靭性および改良されたSi−C結合を有するSiCOH誘電体材料、該誘電体材料を含む半導体デバイスおよび該誘電体材料の製作方法)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11996284B2 (en) 2015-11-12 2024-05-28 Asm Ip Holding B.V. Formation of SiOCN thin films
JP2021184478A (ja) * 2016-05-06 2021-12-02 エーエスエム アイピー ホールディング ビー.ブイ. SiOC薄膜の形成
US11562900B2 (en) 2016-05-06 2023-01-24 Asm Ip Holding B.V. Formation of SiOC thin films
JP7479334B2 (ja) 2016-05-06 2024-05-08 エーエスエム・アイピー・ホールディング・ベー・フェー SiOC薄膜の形成
US11776807B2 (en) 2017-05-05 2023-10-03 ASM IP Holding, B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
WO2022085498A1 (ja) * 2020-10-20 2022-04-28 東京エレクトロン株式会社 成膜方法及び成膜装置

Also Published As

Publication number Publication date
JP5248316B2 (ja) 2013-07-31
EP1896629A1 (fr) 2008-03-12
EP1896629B1 (fr) 2010-12-01
ATE490352T1 (de) 2010-12-15
US20110275507A1 (en) 2011-11-10
KR20080018959A (ko) 2008-02-28
CN101203626A (zh) 2008-06-18
KR101327640B1 (ko) 2013-11-12
US20100130025A1 (en) 2010-05-27
FR2887252A1 (fr) 2006-12-22
DE602006018605D1 (de) 2011-01-13
US7972975B2 (en) 2011-07-05
WO2006136741A1 (fr) 2006-12-28

Similar Documents

Publication Publication Date Title
JP5248316B2 (ja) 誘電体膜の形成方法及び該方法を実施するための新規な前駆体
US6649540B2 (en) Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
US6440876B1 (en) Low-K dielectric constant CVD precursors formed of cyclic siloxanes having in-ring SI—O—C, and uses thereof
KR100751990B1 (ko) 극저 유전 상수를 갖는 박막을 캡핑하는 방법 및 이로부터 제조된 기판
US7239017B1 (en) Low-k B-doped SiC copper diffusion barrier films
KR100437068B1 (ko) 탄소질 산화실리콘의 형성방법
CN100431110C (zh) 低介电氮化硅膜的形成方法和半导体器件及其制造工艺
US8669181B1 (en) Diffusion barrier and etch stop films
JP4731670B2 (ja) バイア被毒を緩和しつつ金属ライン間にボイドフリー低k誘電性材料を提供する集積回路構造のための低K誘電性複合材層
US20040018750A1 (en) Method for deposition of nitrogen doped silicon carbide films
US8889235B2 (en) Dielectric barrier deposition using nitrogen containing precursor
JPH05195228A (ja) 低温化学蒸着法
TW200931522A (en) Methods to obtain low k dielectric barrier with superior etch resistivity
KR20060098426A (ko) 반도체 기판상에 초임계 유체-보조 물질의 증착
CN100546023C (zh) 利用抗腐蚀硼和磷材料的电子结构及其形成方法
KR20090011765A (ko) 갭-필 능력을 향상시킨 실리콘 산화막 증착 방법
KR100689668B1 (ko) 유기 알루미늄 전구체 및 이를 이용한 알루미늄 배선형성방법
US6548892B1 (en) Low k dielectric insulator and method of forming semiconductor circuit structures
US7015168B2 (en) Low dielectric constant fluorine and carbon-containing silicon oxide dielectric material characterized by improved resistance to oxidation
US20060115980A1 (en) Method for decreasing a dielectric constant of a low-k film
JP2006339506A (ja) 成膜方法及び半導体装置の製造方法
KR102409869B1 (ko) 규소 화합물 및 이를 사용하여 막을 증착시키는 방법
KR20220160071A (ko) 고 탄성 계수를 갖는 막들을 증착하기 위한 신규한 전구체들
EP4320286A1 (en) New precursors for depositing films with high elastic modulus

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090513

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110915

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110920

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111213

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111220

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120216

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120529

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121113

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130212

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130312

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130410

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160419

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees