US20110275507A1 - Method for forming a dielectric film and novel precursors for implementing said method - Google Patents

Method for forming a dielectric film and novel precursors for implementing said method Download PDF

Info

Publication number
US20110275507A1
US20110275507A1 US13/175,034 US201113175034A US2011275507A1 US 20110275507 A1 US20110275507 A1 US 20110275507A1 US 201113175034 A US201113175034 A US 201113175034A US 2011275507 A1 US2011275507 A1 US 2011275507A1
Authority
US
United States
Prior art keywords
layers
dielectric
precursor
precursors
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/175,034
Inventor
Christian Dussarrat
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority to US13/175,034 priority Critical patent/US20110275507A1/en
Publication of US20110275507A1 publication Critical patent/US20110275507A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Definitions

  • the present invention relates to a process for forming a dielectric film that can be used in the fabrication of semiconductors and to novel precursors for implementing this process.
  • two types of successively deposited layer may be distinguished:
  • the second type of deposition or BEOL deposition consists in creating a network of electrical interconnections between the various electrical contacts, especially semiconductors produced during the various steps of the first type of deposition, but also in creating, in particular in the case of the fabrication of random-access memories, the capacitors needed for recording information in digital form.
  • the aim is essentially to deposit metallic and/or electrically conducting layers, to deposit dielectric layers having a very low dielectric constant separating these conducting layers, and to deposit barrier layers, in particular for preventing diffusion into the lower layers or laterally when one or more lower layers, whether dielectric or conducting, are etched using liquid chemicals or gaseous products while it being desirable for the etching to be selective.
  • the FIGURE shows a sectional view of an integrated circuit with its various layers in its FEOL and BEOL parts.
  • the present invention essentially relates to the production of dielectric layers in the case of the second (BEOL) type of deposition envisioned above.
  • the dielectric layers that separate, on the one hand, the electrical connections of two successive layers in a vertical plane of the circuit, which layers are also called ILD (interlayer dielectric) layers, and, on the other hand, the metal interconnects lying within any one horizontal plane of the circuit, which layers are also called IMD (intermetal dielectric) layers, have improved dielectric properties (even lower dielectric constant) in order to provide sufficient electrical insulation between two connection lines that are closer together, but above all to reduce the time constant of the interconnect circuits (the capacitive component of the time constant has a lower value the lower the dielectric constant of the dielectric, but on the contrary a higher value the closer together its electrodes).
  • Copper has replaced aluminum as interconnect metal owing to its better electrical conductivity. This has led to the adoption of a new metal deposition process using what is called a “dual-damascene” technique during which a trench is created by the selective etching of a photosensitive resin or photoresist, which trench is then filled with copper using an electrodeposition process.
  • films of SiOC i.e. carbon-doped silicon oxide
  • SiOC i.e. carbon-doped silicon oxide
  • dielectrics having a porous structure have been introduced.
  • the aim is to lower the dielectric constant of said layers so as to retain good insulating properties, while reducing their thickness.
  • Films having a low dielectric constant, or low-k films, that is to say those made of materials having a dielectric constant of less than 4 may be produced in many ways, in particular by doping the silicon oxide with organic ligands so as to obtain films having a dielectric constant of between about 2.7 and 3.5.
  • Another important parameter to be considered in producing the dielectric layers is the possible existence of internal tensile stresses in the structure of the material constituting the film: most of the electronic structure is created at a temperature of around 300 to 450° C. When the assembly is cooled, the materials, including the silicon substrate, the copper network and the dielectric films, contract differently owing to their different expansion coefficients. This creates a major source of mechanical stresses that degrade the most brittle layer, namely the low-k SiOC layer.
  • DMDMOS dimethyldimethoxysilane
  • 4MS tetramethylsilane
  • TCTS tetramethylcyclotetrasiloxane
  • OCTS octamethylcyclotetrasiloxane
  • etch-stop layer a thin layer of SiC (called an etch-stop layer) for stopping the passage of chemicals during a step of etching a layer deposited subsequently on top of said etch-stop layer.
  • Silicon carbide is a refractory insulating material having a dielectric constant of about 5, which obviously increases the average dielectric constant of the dielectric layer thus separating two metal interconnect layers.
  • the object of the present invention is to improve particularly the mechanical properties of the dielectric layers, especially low-k layers, used in particular as interlayer dielectric layers or as intermetal dielectric layers for said BEOL electrical interconnection of the components of an integrated circuit.
  • the present invention consists in using precursors of layers of dielectric material containing at least one carbon atom and at least two silicon atoms, the carbon and silicon atoms forming a chain of the —Si—C n —Si— type with at least one carbon atom linked to two silicon atoms, where n is greater than or equal to 1, preferably less than or equal to 5.
  • each radical R i may be chosen from hydrogen or a carbon chain, for example of the alkyl, aryl, etc.
  • BTESE bis(triethoxysilyl)ethane
  • the invention consists in using cyclic molecules, which in particular make it possible to produce porous dielectric layers containing rings and chosen especially from one of the following families:
  • R, R 1 , R 2 being chosen from hydrogen, linear and/or cyclic carbon chains, such as alkyl chains, aryl chains, etc., or alkoxides.
  • R will be chosen from the following groups: H, —CH 3 or in general an alkyl group, R 1 being chosen from —O—CH 3 or, more generally, an alkoxide or an amine.
  • R 1 may also be chosen.
  • All these molecules contain at least one carbon atom between two silicon atoms.
  • these molecules form a ring or a cage (a three-dimensional structure, illustrated by the molecular structure IV) containing at least one Si—C—Si chain (with the possibility of several carbon atoms between two Si atoms).
  • This type of three-dimensional molecule favors the formation of pores in the films obtained, thus ensuring both much greater structural cohesion than molecules with terminal alkyl groups and a very significant reduction in the dielectric constant.
  • dichlorosilylene —SiCl 2
  • hydrocarbons having ⁇ bonds such as acetylene or butadiene.
  • the dichlorosilylene source may be hexachlorodisilane or trichlorosilane. It may be formed either at high temperature by decomposition of hexachlorodisilane (HOD) or at low temperature from a solution containing for example a tertiary amine as catalyst (such as trimethylamine).
  • HOD hexachlorodisilane
  • catalyst such as trimethylamine
  • the first step is common to all the molecules according to the reaction:
  • Si 2 Cl 6 (or a mixture of polychlorosilanes)+ethylene ⁇ Cl 2 Si—(CH ⁇ CH) 2 —SiCl 2 ,
  • the second step is specific to the type of molecule in question:
  • Cl 2 Si—(CH ⁇ CH) 2 —SiCl 2 +MeOH ⁇ (MeO) 2 Si—(CH ⁇ CH) 2 —Si(OMe) 2 (for molecule I with R 1 ⁇ OMe); Cl 2 Si—(CH ⁇ CH) 2 SiCl 2 +LiAlH 4 or NaBH 4 ⁇ H 2 Si—(CH ⁇ CH) 2 —SiH 2 (for molecule I with R 1 ⁇ H); and Cl 2 Si—(CH ⁇ CH) 2 SiCl 2 +Speyer catalyst ⁇ Me 2 Si—(CH ⁇ CH) 2 —SiMe 2 (for molecule I with R 1 Me).
  • the processes and the compositions meet the need, for a person skilled in the art, of forming a thin insulating layer having excellent electrical and mechanical properties and also high uniformity.
  • the films deposited according to the invention are produced by vaporizing a source of low-k precursors consisting of one or more precursors for generating a vapor source of said precursors and by delivering the vapors of these precursors into a deposition chamber in which the precursors are thermally decomposed and/or decomposed by the use of a plasma, forming a film of the desired composition.
  • the film is formed on one or more substrates in a single formation step without a subsequent heat treatment necessarily being required.
  • the low-k film that results therefrom will have the desired composition, so as to have a low leakage current.
  • the precursors used in the process for depositing films to the desired stoichiometry according to the invention will be in liquid phase, for example a liquid precursor or a liquid solution of a precursor in a solvent, such as a hydrocarbon.
  • the precursor in liquid phase is injected into a vaporization system, the rate of vaporization of which is measured and controlled beforehand.
  • This vaporized precursor is taken into the deposition chamber where the deposition is carried out at a pressure of the order of 1 torr (generally less than 200 pascals) and at a temperature generally between 0° C. and 450° C., preferably between 200° C. and 400° C.
  • the chamber is provided with a plasma for significantly increasing the deposition rate.
  • a coreactant is also introduced into the deposition chamber so as to react with the precursor, this coreactant preferably being an oxidizing agent (oxygen, ozone, water vapor, hydrogen peroxide, alcohols, etc.).
  • the film is deposited by a CVD (chemical vapor deposition) technique, such as the PECVD technique or the thermal CVD technique, these being well known to those skilled in the art, starting from at least one precursor, by itself or in combination with a reactant, preferably an oxygen source.
  • a CVD chemical vapor deposition
  • a person skilled in the art will optimize the precursor source by lowering the dielectric constant (k) as much as possible while still maintaining an acceptable level of mechanical properties.
  • the precursor is a molecule containing one or more carbon atoms between two silicon atoms. Even more preferably, this molecule is cyclic.
  • the molecule is a disilane, which decomposes by thermal or plasma excitation and reacts with an unsaturated carbon chain (containing ⁇ bonds) to form intermediate species containing —Si—C bonds, preferably to form intermediate species containing a carbon or a carbon chain (whether linear or cyclic) linked to two silicon atoms, during the fabrication of the low-k film.
  • the carbons forming a carbon chain connecting the silicon atoms will have at least one double bond because the C ⁇ C double bond is stronger than a C—C single bond. This allows low-k films to be obtained that have mechanical properties improved over the films obtained from a chain of carbon atoms containing only single bonds.
  • the molecules described above that do not contain oxygen atoms have a molecular structure which is very suitable for depositing a thin layer of SiC used as etch stop in the BEOL part of the integrated circuit.
  • the low-k SiC precursor is a molecule containing one or more carbon atoms linked to two silicon atoms, but not containing oxygen. Even more preferably, the molecule is cyclic.
  • the carbon atoms forming a carbon chain connecting the silicon atoms have a double bond (in so far as a C ⁇ C double bond is more difficult to break than a C—C single bond), thereby making it possible to achieve high mechanical properties in the resulting films more easily.
  • the low-k SiC precursor will be a disilane not containing oxygen, which decomposes by thermal or plasma excitation and reacts with an unsaturated carbon chain (containing ⁇ (pi) bonds) to form intermediate species containing Si—C bonds, preferably to form intermediate species containing a carbon atom or a carbon chain linked to two silicon atoms, during the fabrication of the SiC film.
  • an unsaturated carbon chain containing ⁇ (pi) bonds
  • the invention relates to the dielectric layers formed from the abovementioned precursors and to the use of these precursors for producing low-k dielectric layers.
  • FIGURE shows a sectional view of an integrated circuit with its various layers in its FEOL and BEOL parts.
  • the single-crystal silicon wafer 1 on which the various MOS transistors with their interconnects and their protective layer are produced represents the FEOL part of the integrated circuit, all of the upper layers beyond the stop layer 8 representing the BEOL part in which the electrical interconnects between the various circuits of the FEOL part are produced as explained above.
  • Produced on the single-crystal substrate 1 is an integrated circuit, represented for example by 2 , on which the drain contact ( 3 ), the gate contact ( 4 ), the source contact ( 5 ) and the interconnects in the horizontal level of this circuit, which interconnects are represented by ( 6 ), have been shown schematically.
  • a vertical interconnect ( 7 ) made of tungsten or copper connects, in the sectional view shown in the FIGURE, a connection ( 6 ) through the stop layer ( 8 ) to the upper interconnect level ( 9 ) in which the copper connection ( 10 ), seen in cross section, extends in fact perpendicular to the plane of the FIGURE and is itself connected to the connection ( 12 ) at the upper level, then to the connection ( 15 ) and then to the connections ( 15 , 18 , 28 , 31 , 34 , 37 ) before terminating at the central connection ( 38 ) in the upper interconnect part of the integrated circuit.
  • interconnect levels 9 , 13 , 16 , 19 , 29 , etc. are the respective stop layers 11 , 14 , 17 , 20 , 30 , 33 , 36 , 41 , etc. which separate copper metal connections in various horizontal planes from one another, by means of ILD dielectric layers such as 21 , 22 , 23 , 24 , 25 , 26 , 27 .
  • an ILD dielectric layer for providing isolation between two successive horizontal copper interconnect layers and between the copper connection sidewalls such as 44 , 45 , 46 and to have an IMD dielectric layer so as to electrically isolate the copper electrical connections such as 44 and 45 .
  • the ILD and IMD layers are produced for example using the same SiOC dielectric.
  • the stop layers are in general SiC or SiN layers.
  • the invention relates to the formation of these interlayer dielectric layers or ILDs and to the formation of intermetal dielectric layers or IMDs.

Abstract

The invention relates to dielectric layers with a low dielectric constant, said layers being used to separate metallic interconnections especially during the production of integrated circuit boards (in the BEOL part of the circuit). According to the invention, the dielectric layer comprises SiC and/or SiOC, and is obtained from at least one precursor comprising at least one —Si—C<SUB>n</SUB>—Si chain where n=I.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional application of pending application Ser. No. 11/917,931 filed Dec. 18, 2007, which is a national stage entry under 21 USC §371 of PCT/FR2006/001495 filed Jun. 21, 2006, which claims priority to French application 0551675 filed Jun. 21, 2005, the entire contents of each being incorporated herein by reference.
  • BACKGROUND
  • The present invention relates to a process for forming a dielectric film that can be used in the fabrication of semiconductors and to novel precursors for implementing this process.
  • During the fabrication of integrated circuits, for example integrated circuits for commercial applications or for microprocessors, a very large number of successive steps of selectively depositing under vacuum various layers of products are carried out, these successive steps themselves being separated by cleaning steps, for cleaning the silicon wafer (nowadays having a diameter of 300 mm) on which these successive layers are stacked.
  • In general, two types of successively deposited layer may be distinguished:
      • the first type of deposition or FEOL deposition essentially consists in depositing a multitude of successive layers in order to create active components of the field-effect transistor type (deposition of photosensitive layer, masking, exposure to UV radiation, cleaning, doping of the single-crystal layer depending on the type of transistor produced, then deposition of the electrodes, then deposition of the electrical contacts on the drain, source and gate zones of each transistor, etc.); and
  • the second type of deposition or BEOL deposition consists in creating a network of electrical interconnections between the various electrical contacts, especially semiconductors produced during the various steps of the first type of deposition, but also in creating, in particular in the case of the fabrication of random-access memories, the capacitors needed for recording information in digital form. In both these types of application, the aim is essentially to deposit metallic and/or electrically conducting layers, to deposit dielectric layers having a very low dielectric constant separating these conducting layers, and to deposit barrier layers, in particular for preventing diffusion into the lower layers or laterally when one or more lower layers, whether dielectric or conducting, are etched using liquid chemicals or gaseous products while it being desirable for the etching to be selective.
  • BRIEF DESCRIPTION OF THE DRAWING
  • The FIGURE shows a sectional view of an integrated circuit with its various layers in its FEOL and BEOL parts.
  • DETAILED DESCRIPTION
  • The present invention essentially relates to the production of dielectric layers in the case of the second (BEOL) type of deposition envisioned above.
  • To increase the integration density of the circuits and correspondingly their data processing power in a smaller and smaller volume, it is necessary to reduce the dimensions (thickness and length) of the interconnect lines, thereby enabling them to be brought closer together and thus saving in volume, provided however that the dielectric layers that separate, on the one hand, the electrical connections of two successive layers in a vertical plane of the circuit, which layers are also called ILD (interlayer dielectric) layers, and, on the other hand, the metal interconnects lying within any one horizontal plane of the circuit, which layers are also called IMD (intermetal dielectric) layers, have improved dielectric properties (even lower dielectric constant) in order to provide sufficient electrical insulation between two connection lines that are closer together, but above all to reduce the time constant of the interconnect circuits (the capacitive component of the time constant has a lower value the lower the dielectric constant of the dielectric, but on the contrary a higher value the closer together its electrodes).
  • The ever increasing constraints imposed on the electrical interconnect wiring in the upper or BEOL part of the integrated circuit (see above) has recently led to important technological progress being made.
  • Copper has replaced aluminum as interconnect metal owing to its better electrical conductivity. This has led to the adoption of a new metal deposition process using what is called a “dual-damascene” technique during which a trench is created by the selective etching of a photosensitive resin or photoresist, which trench is then filled with copper using an electrodeposition process.
  • Moreover, films of SiOC (i.e. carbon-doped silicon oxide) are now widely used as a replacement for the silicon dioxide used previously as dielectric in the interlayer dielectric and intermetal dielectric layers of an integrated circuit.
  • Finally, with the aim of lowering the dielectric constant of these layers further, dielectrics having a porous structure have been introduced. SiO2 dielectric films deposited by chemical vapor deposition (CVD), from a compound of silicon and oxygen, have a dielectric constant of about 4.0. The aim is to lower the dielectric constant of said layers so as to retain good insulating properties, while reducing their thickness. Films having a low dielectric constant, or low-k films, that is to say those made of materials having a dielectric constant of less than 4, may be produced in many ways, in particular by doping the silicon oxide with organic ligands so as to obtain films having a dielectric constant of between about 2.7 and 3.5. This approach, in which terminal organic ligands are used, that is to say those not connected to the network of the molecule of the final material, leads to the number of oxygen bonds (or “bridges”) between two silicon atoms of the —Si—O—Si— type being reduced, which therefore reduces the cohesion of the chain structure and consequently leads to a reduction in the mechanical properties of this type of material compared with those of SiO2. However, these mechanical properties are critical for preventing the successive layers of the integrated circuit from delaminating during the polishing process carried out in a subsequent step in the fabrication of the circuit (chemical mechanical polishing or CMP). Another important parameter to be considered in producing the dielectric layers is the possible existence of internal tensile stresses in the structure of the material constituting the film: most of the electronic structure is created at a temperature of around 300 to 450° C. When the assembly is cooled, the materials, including the silicon substrate, the copper network and the dielectric films, contract differently owing to their different expansion coefficients. This creates a major source of mechanical stresses that degrade the most brittle layer, namely the low-k SiOC layer.
  • To deposit these low-k SiOC layers, there are presently two processes:
  • the chemical vapor deposition process, which uses precursors of the dimethyldimethoxysilane (DMDMOS), tetramethylsilane (4MS), tetramethylcyclotetrasiloxane (TMCTS) and octamethylcyclotetrasiloxane (OMCTS) type:
  • Figure US20110275507A1-20111110-C00001
      • the spin-on deposition process, which uses siloxanes to form films, such as methylsilsesquioxane (MSQ) or hydrogen silsesquioxane (HSQ) in a suitable solvent.
  • During deposition of the metal interconnect layers and of the SiOC layers that separate and isolate them, it is sometimes necessary to also deposit a thin layer of SiC (called an etch-stop layer) for stopping the passage of chemicals during a step of etching a layer deposited subsequently on top of said etch-stop layer. Silicon carbide is a refractory insulating material having a dielectric constant of about 5, which obviously increases the average dielectric constant of the dielectric layer thus separating two metal interconnect layers.
  • At the present time it is endeavored to reduce the dielectric constant of these etch-stop layers, especially SiC layers.
  • The object of the present invention is to improve particularly the mechanical properties of the dielectric layers, especially low-k layers, used in particular as interlayer dielectric layers or as intermetal dielectric layers for said BEOL electrical interconnection of the components of an integrated circuit. To solve the technical problem thus stated, the present invention consists in using precursors of layers of dielectric material containing at least one carbon atom and at least two silicon atoms, the carbon and silicon atoms forming a chain of the —Si—Cn—Si— type with at least one carbon atom linked to two silicon atoms, where n is greater than or equal to 1, preferably less than or equal to 5.
  • According to a first embodiment, it will be possible to use precursors of the alkoxysilylalkane (RiO)3(Si(—CRi 2)n—Si(ORi)3 type, in which formula each radical Ri may be chosen from hydrogen or a carbon chain, for example of the alkyl, aryl, etc. type, with a number of carbon atoms between 1 and 5, preferably 1 or 2 carbon atoms, such as for example BTESE (bis(triethoxysilyl)ethane) of formula (EtO)3Si—CH2—CH2—Si(OEt)3, where Et=C2H5, the dielectric constant and the mechanical properties of which are substantially improved over the layers produced with the precursors of the prior art.
  • According to another embodiment, the invention consists in using cyclic molecules, which in particular make it possible to produce porous dielectric layers containing rings and chosen especially from one of the following families:
  • Figure US20110275507A1-20111110-C00002
  • R, R1, R2 being chosen from hydrogen, linear and/or cyclic carbon chains, such as alkyl chains, aryl chains, etc., or alkoxides.
  • According to the invention it will be preferable to choose molecules such as “double-DMDMOS” (formula I in which R═H and R1=—O—CH3). More preferably still, R will be chosen from the following groups: H, —CH3 or in general an alkyl group, R1 being chosen from —O—CH3 or, more generally, an alkoxide or an amine. Other ligands for R1, such as Cl, Me or H, may also be chosen.
  • All these molecules contain at least one carbon atom between two silicon atoms. Preferably, these molecules form a ring or a cage (a three-dimensional structure, illustrated by the molecular structure IV) containing at least one Si—C—Si chain (with the possibility of several carbon atoms between two Si atoms). This type of three-dimensional molecule favors the formation of pores in the films obtained, thus ensuring both much greater structural cohesion than molecules with terminal alkyl groups and a very significant reduction in the dielectric constant.
  • These various precursors may for example be synthesized by reacting dichlorosilylene: —SiCl2 with hydrocarbons having π bonds, such as acetylene or butadiene. The dichlorosilylene source may be hexachlorodisilane or trichlorosilane. It may be formed either at high temperature by decomposition of hexachlorodisilane (HOD) or at low temperature from a solution containing for example a tertiary amine as catalyst (such as trimethylamine). The synthesis of the molecules of formula I will for example be carried out in two steps:
  • the first step is common to all the molecules according to the reaction:

  • Si2Cl6 (or a mixture of polychlorosilanes)+ethylene→Cl2Si—(CH═CH)2—SiCl2,
  • acetylene, butadiene, benzene, etc. leading to this type of reaction according to the same principle: dichlorosilylene: —SiCl2 reacts with the π bond. In general, all unsaturated species are potential candidates for synthesizing this type of molecule;
  • the second step is specific to the type of molecule in question:
  • Cl2Si—(CH═CH)2—SiCl2+MeOH→(MeO)2Si—(CH═CH)2—Si(OMe)2 (for molecule I with R1═OMe);
    Cl2Si—(CH═CH)2SiCl2+LiAlH4 or NaBH4→H2Si—(CH═CH)2—SiH2 (for molecule I with R1═H); and
    Cl2Si—(CH═CH)2SiCl2+Speyer catalyst→Me2Si—(CH═CH)2—SiMe2 (for molecule I with R1=Me).
  • It is also possible to obtain the fluorinated equivalent (for example F2Si—(CH—CH)2—SiF2) with this method, it being possible for this fluorinated equivalent to be used to form low-k films.
  • In general, a person skilled in the art will find all the information needed to synthesize these various products in, for example, the publication by Atwell and Weyenberg, J. Am. Chem. Soc., 3438, 90, 1968.
  • According to the invention, the processes and the compositions meet the need, for a person skilled in the art, of forming a thin insulating layer having excellent electrical and mechanical properties and also high uniformity. The films deposited according to the invention are produced by vaporizing a source of low-k precursors consisting of one or more precursors for generating a vapor source of said precursors and by delivering the vapors of these precursors into a deposition chamber in which the precursors are thermally decomposed and/or decomposed by the use of a plasma, forming a film of the desired composition. The film is formed on one or more substrates in a single formation step without a subsequent heat treatment necessarily being required. The low-k film that results therefrom will have the desired composition, so as to have a low leakage current. In general, the precursors used in the process for depositing films to the desired stoichiometry according to the invention will be in liquid phase, for example a liquid precursor or a liquid solution of a precursor in a solvent, such as a hydrocarbon. The precursor in liquid phase is injected into a vaporization system, the rate of vaporization of which is measured and controlled beforehand. This vaporized precursor is taken into the deposition chamber where the deposition is carried out at a pressure of the order of 1 torr (generally less than 200 pascals) and at a temperature generally between 0° C. and 450° C., preferably between 200° C. and 400° C. Optionally, the chamber is provided with a plasma for significantly increasing the deposition rate. In general, but not necessarily, a coreactant is also introduced into the deposition chamber so as to react with the precursor, this coreactant preferably being an oxidizing agent (oxygen, ozone, water vapor, hydrogen peroxide, alcohols, etc.).
  • According to the invention, the film is deposited by a CVD (chemical vapor deposition) technique, such as the PECVD technique or the thermal CVD technique, these being well known to those skilled in the art, starting from at least one precursor, by itself or in combination with a reactant, preferably an oxygen source. In general, a person skilled in the art will optimize the precursor source by lowering the dielectric constant (k) as much as possible while still maintaining an acceptable level of mechanical properties.
  • Preferably, the precursor is a molecule containing one or more carbon atoms between two silicon atoms. Even more preferably, this molecule is cyclic.
  • According to another aspect of the invention, the molecule is a disilane, which decomposes by thermal or plasma excitation and reacts with an unsaturated carbon chain (containing π bonds) to form intermediate species containing —Si—C bonds, preferably to form intermediate species containing a carbon or a carbon chain (whether linear or cyclic) linked to two silicon atoms, during the fabrication of the low-k film. Preferably, the carbons forming a carbon chain connecting the silicon atoms will have at least one double bond because the C═C double bond is stronger than a C—C single bond. This allows low-k films to be obtained that have mechanical properties improved over the films obtained from a chain of carbon atoms containing only single bonds.
  • Furthermore, the molecules described above that do not contain oxygen atoms have a molecular structure which is very suitable for depositing a thin layer of SiC used as etch stop in the BEOL part of the integrated circuit.
  • Preferably, the low-k SiC precursor is a molecule containing one or more carbon atoms linked to two silicon atoms, but not containing oxygen. Even more preferably, the molecule is cyclic.
  • Preferably, the carbon atoms forming a carbon chain connecting the silicon atoms have a double bond (in so far as a C═C double bond is more difficult to break than a C—C single bond), thereby making it possible to achieve high mechanical properties in the resulting films more easily.
  • According to another aspect of the present invention, the low-k SiC precursor will be a disilane not containing oxygen, which decomposes by thermal or plasma excitation and reacts with an unsaturated carbon chain (containing π (pi) bonds) to form intermediate species containing Si—C bonds, preferably to form intermediate species containing a carbon atom or a carbon chain linked to two silicon atoms, during the fabrication of the SiC film.
  • According to one other aspect, the invention relates to the dielectric layers formed from the abovementioned precursors and to the use of these precursors for producing low-k dielectric layers.
  • The invention will be more clearly understood with the aid of the following exemplary embodiments given by way of non-limiting example together with the FIGURE, which shows a sectional view of an integrated circuit with its various layers in its FEOL and BEOL parts.
  • In the FIGURE, the single-crystal silicon wafer 1 on which the various MOS transistors with their interconnects and their protective layer are produced represents the FEOL part of the integrated circuit, all of the upper layers beyond the stop layer 8 representing the BEOL part in which the electrical interconnects between the various circuits of the FEOL part are produced as explained above.
  • Produced on the single-crystal substrate 1 is an integrated circuit, represented for example by 2, on which the drain contact (3), the gate contact (4), the source contact (5) and the interconnects in the horizontal level of this circuit, which interconnects are represented by (6), have been shown schematically. A vertical interconnect (7) made of tungsten or copper connects, in the sectional view shown in the FIGURE, a connection (6) through the stop layer (8) to the upper interconnect level (9) in which the copper connection (10), seen in cross section, extends in fact perpendicular to the plane of the FIGURE and is itself connected to the connection (12) at the upper level, then to the connection (15) and then to the connections (15, 18, 28, 31, 34, 37) before terminating at the central connection (38) in the upper interconnect part of the integrated circuit.
  • Between the various interconnect levels 9, 13, 16, 19, 29, etc. are the respective stop layers 11, 14, 17, 20, 30, 33, 36, 41, etc. which separate copper metal connections in various horizontal planes from one another, by means of ILD dielectric layers such as 21, 22, 23, 24, 25, 26, 27. In a set of layers of any one level, such as for example the set lying between the two stop layers 30 and 33, there is, in the lower part, an ILD dielectric layer for providing isolation between two successive horizontal copper interconnect layers and between the copper connection sidewalls such as 44, 45, 46 and to have an IMD dielectric layer so as to electrically isolate the copper electrical connections such as 44 and 45.
  • In the example shown in the FIGURE, the ILD and IMD layers are produced for example using the same SiOC dielectric.
  • The stop layers are in general SiC or SiN layers.
  • The invention relates to the formation of these interlayer dielectric layers or ILDs and to the formation of intermetal dielectric layers or IMDs.
  • It will be understood that many additional changes in the details, materials, steps and arrangement of parts, which have been herein described in order to explain the nature of the invention, may be made by those skilled in the art within the principle and scope of the invention as expressed in the appended claims. Thus, the present invention is not intended to be limited to the specific embodiments in the examples given above.

Claims (2)

1. A low-k dielectric layer that can be used to separate metal interconnects, in particular during the fabrication of integrated circuits, wherein said layer comprises SiC and/or SiOC and is obtained from at least one precursor containing at least one —Si—Cn—Si-chain, where n≧1, the at least one precursor having a formula I:
Figure US20110275507A1-20111110-C00003
wherein the precursor has formula I selected from the group consisting of:
i) formula I in which R═H and R1=—O—CH3,
ii) formula I in which R is —CH3 and R1=—O—CH3,
iii) formula I in which the precursor is
Figure US20110275507A1-20111110-C00004
and combinations thereof.
2. A precursor molecule, especially an SiC or SiOC precursor molecule, of general formula:
Figure US20110275507A1-20111110-C00005
US13/175,034 2005-06-21 2011-07-01 Method for forming a dielectric film and novel precursors for implementing said method Abandoned US20110275507A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/175,034 US20110275507A1 (en) 2005-06-21 2011-07-01 Method for forming a dielectric film and novel precursors for implementing said method

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
FR0551675 2005-06-21
FR0551675A FR2887252A1 (en) 2005-06-21 2005-06-21 Dielectric layer with weak dielectric constant useful to separate metal interconnections in integrated circuits, comprises carbon-doped silicon and/or carbon-doped silicon oxide, obtained from a precursor comprising a silicon-carbon chain
US11/917,931 US7972975B2 (en) 2005-06-21 2006-06-21 Method for forming a dielectric film and novel precursors for implementing said method
PCT/FR2006/001495 WO2006136741A1 (en) 2005-06-21 2006-06-21 Method for forming a dielectric film and novel precursors for implementing said method
US13/175,034 US20110275507A1 (en) 2005-06-21 2011-07-01 Method for forming a dielectric film and novel precursors for implementing said method

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
PCT/FR2006/001495 Division WO2006136741A1 (en) 2005-06-21 2006-06-21 Method for forming a dielectric film and novel precursors for implementing said method
US12/917,931 Division US9760942B2 (en) 2009-11-02 2010-11-02 Display apparatus for supporting search service, user terminal for performing search of object, and methods thereof

Publications (1)

Publication Number Publication Date
US20110275507A1 true US20110275507A1 (en) 2011-11-10

Family

ID=35395858

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/917,931 Expired - Fee Related US7972975B2 (en) 2005-06-21 2006-06-21 Method for forming a dielectric film and novel precursors for implementing said method
US13/175,034 Abandoned US20110275507A1 (en) 2005-06-21 2011-07-01 Method for forming a dielectric film and novel precursors for implementing said method

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/917,931 Expired - Fee Related US7972975B2 (en) 2005-06-21 2006-06-21 Method for forming a dielectric film and novel precursors for implementing said method

Country Status (9)

Country Link
US (2) US7972975B2 (en)
EP (1) EP1896629B1 (en)
JP (1) JP5248316B2 (en)
KR (1) KR101327640B1 (en)
CN (1) CN101203626A (en)
AT (1) ATE490352T1 (en)
DE (1) DE602006018605D1 (en)
FR (1) FR2887252A1 (en)
WO (1) WO2006136741A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2692897A1 (en) 2012-07-30 2014-02-05 Air Products And Chemicals, Inc. Non-oxygen containing silicon-based films and methods of forming the same
US9879340B2 (en) 2014-11-03 2018-01-30 Versum Materials Us, Llc Silicon-based films and methods of forming the same
WO2018149830A1 (en) * 2017-02-16 2018-08-23 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude METHOD FOR FORMING Si-CONTAINING FILM

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8298965B2 (en) 2008-09-03 2012-10-30 American Air Liquide, Inc. Volatile precursors for deposition of C-linked SiCOH dielectrics
KR20130043084A (en) 2010-02-17 2013-04-29 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 - VAPOR DEPOSITION METHODS OF SiCOH LOW-K FILMS
CN102877041B (en) * 2011-07-14 2014-11-19 中国科学院微电子研究所 Film deposition method and manufacturing method of semiconductor device
KR101600217B1 (en) * 2011-12-30 2016-03-04 인텔 코포레이션 Self-enclosed asymmetric interconnect structures
US20170125241A1 (en) * 2015-10-30 2017-05-04 Applied Materials, Inc. Low temp single precursor arc hard mask for multilayer patterning application
KR102378021B1 (en) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. Formation of SiOC thin films
CN114875388A (en) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 Plasma enhanced deposition method for controlled formation of oxygen-containing films
JP2022067559A (en) * 2020-10-20 2022-05-06 東京エレクトロン株式会社 Method and device for forming film

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4923716A (en) * 1988-09-26 1990-05-08 Hughes Aircraft Company Chemical vapor desposition of silicon carbide
US5204141A (en) * 1991-09-18 1993-04-20 Air Products And Chemicals, Inc. Deposition of silicon dioxide films at temperatures as low as 100 degree c. by lpcvd using organodisilane sources
JPH0696640B2 (en) * 1992-03-13 1994-11-30 工業技術院長 Process for producing high molecular silicon compound having bis (siloxy) aromatic ring skeleton
JP2903985B2 (en) * 1993-12-21 1999-06-14 信越化学工業株式会社 Method for producing 2,5-reactive substituent-containing silole and 2,5-reactive substituent-containing silole
JPH0826043B2 (en) * 1994-03-11 1996-03-13 工業技術院長 Silicon compound having 1,4-disilacyclohexa-2,5-diene ring and method for producing the same
TW285753B (en) * 1995-01-04 1996-09-11 Air Prod & Chem
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US7011868B2 (en) * 2000-03-20 2006-03-14 Axcelis Technologies, Inc. Fluorine-free plasma curing process for porous low-k materials
US7384471B2 (en) 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
JP4363824B2 (en) * 2002-08-12 2009-11-11 旭化成株式会社 Thin film for interlayer insulation
JP2004288979A (en) * 2003-03-24 2004-10-14 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Method for forming insulating film
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
JP4530130B2 (en) * 2004-01-16 2010-08-25 Jsr株式会社 Method for forming polymer film
JP4052306B2 (en) * 2004-12-27 2008-02-27 チッソ株式会社 Silacyclopentadiene derivative
JP5324734B2 (en) * 2005-01-21 2013-10-23 インターナショナル・ビジネス・マシーンズ・コーポレーション Dielectric material and manufacturing method thereof

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2692897A1 (en) 2012-07-30 2014-02-05 Air Products And Chemicals, Inc. Non-oxygen containing silicon-based films and methods of forming the same
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US9879340B2 (en) 2014-11-03 2018-01-30 Versum Materials Us, Llc Silicon-based films and methods of forming the same
US10422034B2 (en) 2014-11-03 2019-09-24 Versum Materials Us, Llc Silicon-based films and methods of forming the same
WO2018149830A1 (en) * 2017-02-16 2018-08-23 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude METHOD FOR FORMING Si-CONTAINING FILM

Also Published As

Publication number Publication date
EP1896629A1 (en) 2008-03-12
FR2887252A1 (en) 2006-12-22
US20100130025A1 (en) 2010-05-27
CN101203626A (en) 2008-06-18
DE602006018605D1 (en) 2011-01-13
JP5248316B2 (en) 2013-07-31
WO2006136741A1 (en) 2006-12-28
US7972975B2 (en) 2011-07-05
ATE490352T1 (en) 2010-12-15
EP1896629B1 (en) 2010-12-01
KR20080018959A (en) 2008-02-28
KR101327640B1 (en) 2013-11-12
JP2008544533A (en) 2008-12-04

Similar Documents

Publication Publication Date Title
US7972975B2 (en) Method for forming a dielectric film and novel precursors for implementing said method
KR100751990B1 (en) A process for capping an extremely low dielectric constant film and a substrate produced therefrom
JP4678304B2 (en) Method for manufacturing silicon oxide film
US8669181B1 (en) Diffusion barrier and etch stop films
US6649540B2 (en) Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
US6124641A (en) Semiconductor device organic insulator film
US7239017B1 (en) Low-k B-doped SiC copper diffusion barrier films
KR100586133B1 (en) An ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device, a method for fabricating the same, and an electronic device containing the same
US6737746B2 (en) Semiconductor device containing copper diffusion preventive film of silicon carbide
US6440876B1 (en) Low-K dielectric constant CVD precursors formed of cyclic siloxanes having in-ring SI—O—C, and uses thereof
US20040018750A1 (en) Method for deposition of nitrogen doped silicon carbide films
JP4731670B2 (en) Low-K dielectric composite layer for integrated circuit structures providing void-free low-k dielectric material between metal lines while mitigating via poisoning
US20060043591A1 (en) Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US8889235B2 (en) Dielectric barrier deposition using nitrogen containing precursor
JPH05195228A (en) Low temperatured chemical vapor deposition method
CN1930669A (en) Plasma treatment to improve adhesion of low k dielectrics
CN1446374A (en) Low-dielectric silicon nitride film and method of making the same, seimiconductor device and fabrication process thereof
KR20010104285A (en) Method of forming a carbon silicon oxide
CN1210799C (en) Semiconductor device and its manufacture
KR20090011765A (en) Method of depositing silicon oxide layer with increased gap-fill ability
US7015168B2 (en) Low dielectric constant fluorine and carbon-containing silicon oxide dielectric material characterized by improved resistance to oxidation
KR20220061162A (en) Monoalkoxysilane and high-density organosilica film prepared therefrom
US20060115980A1 (en) Method for decreasing a dielectric constant of a low-k film
TWI835339B (en) Alkoxysilanes and dense organosilica films made therefrom
US20220388033A1 (en) Precursors for depositing films with high elastic modulus

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION