JP2007528608A - Euv光源光学要素 - Google Patents

Euv光源光学要素 Download PDF

Info

Publication number
JP2007528608A
JP2007528608A JP2007502841A JP2007502841A JP2007528608A JP 2007528608 A JP2007528608 A JP 2007528608A JP 2007502841 A JP2007502841 A JP 2007502841A JP 2007502841 A JP2007502841 A JP 2007502841A JP 2007528608 A JP2007528608 A JP 2007528608A
Authority
JP
Japan
Prior art keywords
layer
binary
absorber
spacer
cap
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
JP2007502841A
Other languages
English (en)
Other versions
JP2007528608A5 (ja
Inventor
ノーバート アール バウアリング
アレクサンダー アイ アーショフ
ティモシー エス ダイアー
ヒュー アール グリノルズ
Original Assignee
サイマー インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/798,740 external-priority patent/US7217940B2/en
Priority claimed from US10/803,526 external-priority patent/US7087914B2/en
Priority claimed from US10/900,839 external-priority patent/US7164144B2/en
Priority claimed from US10/979,945 external-priority patent/US8075732B2/en
Application filed by サイマー インコーポレイテッド filed Critical サイマー インコーポレイテッド
Publication of JP2007528608A publication Critical patent/JP2007528608A/ja
Publication of JP2007528608A5 publication Critical patent/JP2007528608A5/ja
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • G03F7/70175Lamphouse reflector arrangements or collector mirrors, i.e. collecting light from solid angle upstream of the light source
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J35/00X-ray tubes
    • H01J35/02Details
    • H01J35/20Selection of substances for gas fillings; Means for obtaining or maintaining the desired pressure within the tube, e.g. by gettering

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Theoretical Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • Mathematical Physics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Optical Elements Other Than Lenses (AREA)
  • X-Ray Techniques (AREA)

Abstract

【課題】EUV光発生器を提供する。
【解決手段】特にプラズマ原料物質がMLM材料の1つ又はそれよりも多くと反応性であるプラズマ発生EUV光源チャンバにおいて使用するための様々な2元層材料を用いるMLMスタックと単一及び2元キャップ層を含むキャップ層とを含むプラズマ発生EUV光源光学要素、例えば反射器を形成するための機器及び方法。
【選択図】図6

Description

本発明は、例えば半導体集積回路製造フォトリソグラフィ処理を実行する機械のための極紫外線(EUV)光源発生チャンバの外側での利用のために、原料物質から生成され、集光して焦点に誘導されたプラズマから、例えば約20nm又はそれ未満の波長でEUV光を提供するEUV光発生器に関する。
関連出願
本出願は、代理人整理番号2004−0088−01であって2004年11月1日出願の「LPPのEUV光源」という名称の米国特許出願出願番号第10/979,945号、及び代理人整理番号2004−0044−01であって2004年7月27日出願の「EUV光源」という名称の出願番号第10/900,839号、及び代理人整理番号2003−0125−01であって2004年3月17日出願の「高繰返し数のLPPのEUV光源」という名称の出願番号第10/803,526号、及び代理人整理番号2003−0083−01であって2004年3月10日出願の「EUV光のための集光器」という名称の出願番号第10/798,740号の一部継続出願である代理人整理番号2004−0023−01であって2004年12月22日出願の「EUV光源光学要素」という名称の米国特許出願出願番号第11/021,261号に対する優先権を主張するものであり、これらの各々の開示内容は、引用により組み込まれている。
時に例えば13.5nmでの軟X線とも呼ばれる例えば約20nm又はそれ未満の波長での極紫外線(EUV)光に対して、例えば、原料物質から生成されたプラズマから発生するEUV光を集光して集束させる反射光学要素が必要になることは公知である。関連する波長において、一対の電極の間での放電によって生成された放電生成プラズマ(DPP)又はプラズマを生成するためのターゲット材料を照射する集束レーザビームによって生成されたレーザ生成プラズマ(LPP)のいずれかのプラズマから放射された光を集光して集束させるために、グレージング入射角又は多層膜ミラー(MLM)、いわゆる直角入射角反射器を必要とすることになる。
EUV光の放射のためのプラズマの生成の過程において、例えば集光器/導光器光学要素を構成する材料を非常に損傷する可能性がある、例えば熱、高エネルギのイオン、及びプラズマ形成からの散乱デブリ、例えばプラズマ形成過程でイオン化されなかった原料物質の原子又は塊である、プラズマ生成のいくつかの苛酷な産物がプラズマの周りのEUV光源発生チャンバの環境内に放出される。これらの熱、高エネルギのイオン、及び/又は原料物質は、光学要素の単なる加熱、光学要素内部への浸透、及び例えば構造的一体性及び/又は光学的性質、例えばこうした短い波長で光を反射するMLM作動の機構の損傷、光学要素の腐食又は浸食、及び/又は光学要素内への拡散を含む、いくつかの方法で光学要素に有害である場合がある。原料物質は、例えば、MLMの少なくとも1つの層を構成する材料、例えばリチウム及びシリコンと特に反応性である場合があり、そのために特に高温でのこの反応性の可能な影響を低減し、及び/又は例えばキャップ層又は中間拡散障壁層のいずれか又はその両方によって材料を分離する段階を取ることを要するであろう。温度安定性、イオン注入、及び拡散の問題は、より反応性の低い原料物質、例えば錫、又は、インジウム、又はキセノンであっても対処される必要があると考えられる。
それに加えて、本質的にEUV光源チャンバに対するデブリ管理は、MLMスタック及びその保護オーバーコート(キャップ)層が作動しかつ下に重なる2元多層スタックを保護することを要する環境の苛酷度を高める結果をもたらす場合がある。これは、同時に、全体の反射性を有意に低下させずに行う必要がある。用いられる技術は、先に参照した現在特許出願中の特許出願で更に示されているように、例えば、反射器表面から例えばデブリを蒸発させるための例えば500℃を超える高温への反射器の加熱、及び/又はエッチング液、例えば反射器からデブリをエッチングするためのハロゲンエッチング液の利用、及び/又は反射器表面の近傍での遮蔽プラズマの生成とすることができる。
本出願人は、入射EUV光に対する光学要素の反射性と苛酷な環境での光学要素の寿命との最適化に有用な様々なMLM配列及び材料を提案するものであり、この環境では、一例として、光源チャンバ内の集光器/導光器及び他の光学要素の非常に近くでプラズマ発生EUV光源の作動の毎秒約1万6千から4万8千のプラズマ形成が起こる場合があり、チャンバ内の光学要素は、光学要素を交換するための光源チャンバのシール開封によって生じる困難により、かつこうした光学要素の交換の経費により、一回に一年又はそれよりも長くではないとしても数ヶ月は存続すべきである。
他の材料とのリチウムの適合性、及びリチウムの拡散を説明したものもあるが、MLMとの関連ではなく、特に、反応性プラズマ原料物質を用いるEUV光源のための適切な集光器/導光器の提供との関連ではなく、具体的には、リチウムプラズマ原料物質との関連ではない。M.Eckhardt他著「Si(100)内へのLiのバルク拡散に関するドープの影響」、Surf.Sci.319,219−223(1994)は、Si結晶内へのLiのバルク拡散に関するドープの影響を説明している。この論文は、Si(100)内へのLiのバルク拡散に関するドープの影響を説明するものである。著者は、n型ドープSi(100)表面に対して、1000K(=730℃)未満の温度ではバルク内へのリチウム拡散がないと説明している。本出願人は、この原理をプラズマのための原料物質が反応性元素、例えばリチウムである適切なEUV光学反射要素の提供に応用することを提案する。
イットリアは、第1リアクタ壁をコーティングによって高温リチウムから保護するために核融合業界によって使用されている。また、Mo/Y多層膜に関する研究は、7−12nmの波長範囲での反射に対して「Livermore Laboratories National Laboratories(LLNL)」によって為されてきた。しかし、本出願人は、反応性原料物質、例えばリチウムからの光学器械の保護のためのプラズマ発生EUV光源内の集光器/導光器又は他の光学器械に対するイットリウムの使用に関しては知らない。
また、Mitsuyama他著「液体増殖炉との断熱セラミック材料の適合性」、Fusion Eng.Des.39−40,811(1998)、Pint他著「核融合リアクタ構造材料のための高温適合性の問題」、Fusion Sci.Technol.44,433−440(2003)、Sarafat他著「冷却材構造材料適合性」、報告書、Apex会議、03−24−2000、Kloidt他、Appl.Phs.Lett.58(23)、2601−2603(1991)も参照されたい。
他にもMLMの材料及び特性が説明されているが、プラズマ発生EUV光源の関連でも反応性原料物質の関連でもなく、特に、プラズマ原料物質としてのリチウムの使用の関連ではない。いくつかの特許及び論文は、MLM材料及びキャップ層を説明しているが、プラズマ発生EUV集光器/導光器及び他のEUV源チャンバ光学器械のための要件、例えば比較的高温での温度安定性要件との関連ではなく、また、反応性EUVプラズマ材料及び特にリチウムとの関連ではない。障壁層を持たないMo/YのMLMが250℃まで熱的に安定であることは、例えば、Bajt他著「11.9nmでのNiのようなsnレーザの近視野出力を撮像するために利用されるMo:Y多層膜ミラー技術」、Optics Letters,Vol.28,No22(2003年11月15日)p.2249、及びKjornrattanawanich著「モリブデン/ストロンチウム及びモリブデン/イットリウム多層膜ミラーの反射率、光学特性、及び安定性」、Ph.D学位論文(カリフォルニア大学デービス校、報告UCRL−LR−150541(2002))においてBajt他、LLNLグループによって示されている。イットリウム層がちょうど必要な極めて僅かの量の酸素を有する時又はそれらが基本的に酸素を含まない時、Mo/Y多層膜は、参照したKjornrattanawanichの学位論文で示すように、250℃を超える温度でもまた安定とすることができ、その場合、Mo/Yミラーの加熱(焼き鈍し)後に断面透過電子顕微鏡像にMo/Y多層膜に対してより高いコントラストがあることが観察されている。2004年4月20日にSingh他に付与された「EUV光学要素のためのキャップ層」という名称の米国特許第6,724,462号は、例えば、グレージング入射角反射層とより直角な入射角のための多層膜ミラーとの間の選択、プラズマに対する反射器表面の形状及び接近度、プラズマ原料物質、取られたデブリ軽減段階、例えば、デブリ蒸発のための高温、ハロゲンデブリエッチング、デブリ拡散などを含む、反射器に対する適切な材料の選択において対処すべきであるプラズマ生成EUV光源内の環境の厳密さを受けないリソグラフィツール環境のためのEUV反射器を説明している。’462特許又は他の特許によって選択された材料は、むしろ、例えばキャップ層が周囲の環境、例えば空気への露出に対して「比較的不活性」であるように選択されるホトレジスト露出のためのEUV光を利用するリソグラフィツールの比較的無塵で汚れのない環境内で反射率を最大にすることにほぼ例外なく基づいている。同様な効果に対しては、同じくEUV反射器のためのリソグラフィツール環境に関する2003年12月2日にBikerk他に付与された「保護層システムを有する多層膜システム及び製造法」という名称の米国特許第6,656,575号がある。2002年9月10日にSinghに付与された「高められた反射率を有する多層膜極紫外線ミラー」という名称の米国特許第6,449,086号は、同じ効果に対するものであり、中間層材料と「比較的不活性な材料」のキャップ層とに関している。2001年5月8日にBajt他に付与された「極紫外線用途のためのMoRu/Be多層膜」という名称の米国特許第6,228,512号は、水蒸気に露出される可能性があるシステムのためのMoRu/BeのMLM2元層、及び粗度低減及び混合中間層、及び酸化物キャップ層に関している。2004年8月24日にBajt他に付与された「EUV多層膜のための最適化されたキャップ層」という名称の米国特許第6,780,496号は、Ruを有する2元キャップ層と下に重なる2元層内へのRuの拡散を防止するアンダーコートとを含み、Ruは、例えばリソグラフィツール環境内での酸化に対する抵抗性に対して選択される。
Takenaka他著「Mo/Si、MoSi/Si、及びMoSi/Si多層膜軟X線ミラーの耐熱性」、J.Appl.Phys.78,5227(1995)は、MoSi/Siの組合せを説明しているが、本出願人によって提案されるSi−MoSi−MoSi−MoSiの組合せを説明していない。
米国特許出願出願番号第10/979,945号 米国特許出願出願番号第10/900,839号 米国特許出願出願番号第10/803,526号 米国特許出願出願番号第10/798,740号 米国特許出願出願番号第11/021,261号 米国特許第6,724,462号 米国特許第6,656,575号 米国特許第6,449,086号 米国特許第6,228,512号 米国特許第6,780,496号 M.Eckhardt他著「Si(100)内へのLiのバルク拡散に関するドープの影響」、Surf.Sci.319,219−223(1994) Mitsuyama他著「液体増殖炉との断熱セラミック材料の適合性」、Fusion Eng.Des.39−40、811(1998) Pint他著「核融合リアクタ構造材料のための高温適合性の問題」、Fusion Sci.Technol.44,433−440(2003) Sarafat他著「冷却材構造材料適合性」、報告書、Apex会議、03−24−2000 Kloidt他、Appl.Phs.Lett.58(23)、2601−2603(1991) Bajt他著「11.9nmでのNiのようなsnレーザの近視野出力を撮像するために利用されるMo:Y多層膜ミラー技術」、Optics Letters,Vol.28,No22(2003年11月15日)p.2249 Kjornrattanawanich著「モリブデン/ストロンチウム及びモリブデン/イットリウム多層膜ミラーの反射率、光学特性、及び安定性」、Ph.D学位論文(カリフォルニア大学デービス校、報告UCRL−LR−150541(2002)) Takenaka他著「Mo/Si、MoSi2/Si、及びMo5Si3/Si多層膜軟X線ミラーの耐熱性」、J.Appl.Phys.78,5227(1995)
特にプラズマ原料物質がリチウムプラズマ原料物質のようなMLM材料のうちの1つ又はそれよりも多くと反応性である場合に、プラズマ発生EUV光源チャンバにおいて利用される様々な2元層材料を用いるMLMスタックと単一及び2元キャップ層を含むキャップ層とを含むDPP又はLPPプラズマ発生EUV光源光学要素、例えば反射器を形成するための機器及び方法を開示する。
ここで図1を参照すると、従来技術のMLM20の構造及び組成が縮尺通りではなく概略示されており、これは、ルテニウムのキャップ層22及び下に重なる中間層24を含み、この中間層は、例えば原料物質拡散障壁層24とすることができ、この障壁層は、例えばリチウムであるEUVプラズマのための原料物質のような選択された材料又はその化合物の拡散に対する抵抗性によって選択することができる。MLM20は、複数の例えばモリブデン層である吸収体層32と、例えばシリコンのスペーサ層34とから成る2元層30を備えることができる。
拡散遮蔽層24を通過する2元層30内への原料物質の拡散、及び層32と層33の間の例えばケイ化リチウムである原料物質のケイ化物の形成は、Mo/Si界面でのMo及び/又はSiの粗さということを発生させる可能性がある。これは、高温での2元層30の熱安定性を劣化させる可能性があり、個別の2元層30のかつMLM全体としての反射率に影響を与える可能性もある。
図2は、図1のものに類似したMLM20’を縮尺通りではなく概略示し、例えば、シリコンのスペーサ層34が例えばリンであるn型ドーパントのようなリチウムと反応すると考えられる材料でドープしたスペーサ材料、例えばシリコンの層を含むことができるスペーサ層34’と置き換わっている。その結果、このケイ化物層34’は、ドープシリコン層34’を通過する例えばリチウムである反応性原料物質の拡散を阻止するのに役立たせることができ、MoとSiの間の界面での例えばケイ化物層の形成の防止がもたらされ、そのためにそれぞれの2元層30のかつMLM20’全体としての反射率がより損なわれなくなる。
多層膜ミラー20’内のシリコン層34’を通過する例えばリチウムである反応性原料物質の拡散を阻止又は少なくとも顕著に低減させるドープ層34’のこの有効性を適用することにより、リチウムは、nドープSi層34’内に非常に深くは浸透せず又はSi/Mo境界でケイ化物を形成しないことになる。
例えば、n型ドーパントであるドーパント材料の導入を伴う例えばほぼ13.5nmEUVでのEUV光を反射させるための多層膜ミラー20’での2元層30のSi層34’は、リチウムの溶解度を低下させる。これは、リチウムの拡散によるシリコン層34’の浸透を解消し(又は、少なくとも強く低減し)、Mo/Si界面でのケイ化物の形成を阻止し、又は強く低減させる。例えば、Mo/Si界面である2元層30の材料の界面での混合及び反応区域の減少は、より鋭く形成された多層膜境界を持続可能にし、より高い反射率とより良好な熱安定性とをもたらす。
本発明の実施形態の態様によれば、これは、他の公知の種類のMo/Si多層膜に適用することができ、同じく提案する高温安定多層膜ミラー候補(薄い拡散/混合障壁層のような他の境界層を有するMoSi/Si、MoC/Si、又はMo/Si多層膜など)にもまた適用することができる。ここでもまた、例えばリチウムである反応性原料物質は、例えばSi層のようなスペーサ層の材料と接触すると、ケイ化物の形成及び混合が発生する可能性がある。この場合もまた、これは、多層膜境界でのコントラストを低減させ、MLM反射率及び熱安定性の低下を引き起こす可能性がある。例えば、n型ドーパントでのスペーサ層材料のドープは、単なるMo/Siのみならず、それらの他の形態のMLM2元層においても同じ有利な効果を有することができる。
例えば、Siスペーサ層34及びモリブデン吸収体層32を含む下に重なる2元層30を覆う例えばルテニウムの多層膜ミラー保護キャップ層22を有しても、例えばリチウムである反応性原料物質は、キャップ金属層22の間隙及び粒界を通過し、下に重なる例えばモリブデン層32である吸収体層32を更に通過して下に重なるシリコン層34’にまで浸透する可能性が依然としてある。その時、リチウムは、Si層34’のバルク内に拡散する可能性がある。リチウム濃度は溶解度を超えることができないので、Si内へのLiの拡散速度は、Si内のLiの溶解度に依存する。溶解度は、より高い温度に対してかつp型ドープSiに対してより大きい。従って、特に高温で作動するMLMに対してn型ドープ(例えば、リンでドープした)Siを使用すると有利であり、それは、これが溶解度を低下させ、従ってシリコン内へのリチウムのバルク拡散を低減するからである。
MLMコーティングのSiスペーサ層34’は、n型ドープされている(抵抗性〜10−30Ωcm)。コーティングがマグネトロンスパッタリングのようなスパッタリング技術によって形成される時には、これは、例えば適切なn型ドープスパッタターゲットの使用によって行うことができる。より高い温度であっても、Si層34’内へのリチウム拡散が低減される。
ここで図3を参照すると、MLM40が縮尺通りではなく概略示されており、これは、例えば、シリコン、炭化ケイ素、又はモリブデンを含むことができる適切な基板42に組み込まれた複数の2元層50を含むことができる。複数の2元層50は、例えばモリブデンから成る吸収体層54、例えばシリコンから成るスペーサ層52を各々備えることができる。複数の2元層50は、例えば、炭化物又はホウ化物又は窒化物、又はイットリウム又はジルコニウム、又はそれらの化合物又は合金から成る原料物質拡散障壁64が下側にあるルテニウムの上部キャップ層62から成る2元キャップ層60によって覆うことができる。2元キャップ層60は、次に、例えばリチウムのような反応性原料物質を含むコーティング66で被覆することができる。
このリチウムのコーティング層66は、例えばリチウムとすることができる原料物質から成るターゲットのレーザビームでの照射によってプラズマを誘発させる例えばLPPでのMLM40を用いて形成された集光器/導光器の非常に近くでのリチウムのプラズマ生成の直接的な結果とすることができる。例えば、LPPのEUV光源であるが場合によってはDPPのEUV光源でもあるEUV光源の集光器/導光器ミラー40は、例えば原料物質から生じた数原子層のリチウムから成る層66によって恐らく被覆されることになる。リチウムコーティング66が数ナノメートルに過ぎない時、本出願人の譲受人の調査によれば、ミラー40の反射率は有意には低減されないことになる。このコーティングを例えばリチウムのような反応性原料物質による作用に耐性があり、かつ例えばルテニウムの例えば酸化にも耐性のあるRuキャップ層60で終結させることは、腐食及び/又は酸化に対する1つの解決策とすることができる。
しかし、図4に示すように、本出願人は、例えばRuキャップ層60であるキャップ酸化及び腐食耐性層の表面上に比較的透明なスペーサ層70を置くことを提案し、このスペーサ層は、多層膜ミラー40’の2重層間隔を保存することができ、その結果、ピーク反射率のより少ない低下がもたらされる。例えば、その拡散が阻止されるべき物質の化合物で構成することができるこの層70はまた、リチウム露出に耐性を有するべきである。以下でより詳細に説明するように、Ru層62上の比較的透明なスペーサ層70の設置は、2元キャップ層72を形成することができ、この2元キャップ層は、MLM40’の反射性への寄与において下に重なる2元MLMスタックの2元層50と酷似して機能する。
集光器/導光器ミラーは、例えばほぼ250℃から500℃の高温で安定な多層スタックとして形成され、これは、例えばMoSi/Si又はMoC/SiであるMo及び/又はその化合物とSi及び/又はその化合物との2元層を含むことができ、かつ、例えばMo/X/Si/Xもまた含み、式中Xは、相互拡散障壁層として使用することができる化合物薄膜を表す。しかし、全てのこれらの可能なスタックは、例えばリチウムである反応性原料物質と反応する例えばSi又はシリコン化合物層を含む可能性があり、これらは、例えばリチウムによって侵されやすくかつこれと化学反応しやすいものである。Mo及び/又はMo化合物層は、下側層へのリチウムの拡散を完全には抑制しない。好ましくは、例えば、C、BC、BN、SiC、ZrB、NbB、ZrB、ZrN、NbN、Siなどのようなホウ化物又は炭化物又は窒化物から成る非常に薄い拡散障壁の上面に堆積されるルテニウムのキャップ層は、下の層をリチウムの侵食から保護する。例えばリチウムである反応性原料物質層を含む堆積デブリを蒸発させるためにMLM集光器/導光器ミラーが加熱されても、DPP/LPP供給源からのリチウム流への露出により、数原子層のリチウム堆積物がその表面上に形成される可能性がある。リチウムの単層(単原子層)の層厚は、例えば約0.3nmとすることができる。数層のリチウム単層は、13.5nmEUV光を例えば20nm厚のリチウムのワンパス透過率がほぼ80%であるように多くは吸収しないことになる。それにも係わらず、リチウム層は、コーティングの反射率を低下させることになる。そのことは、部分的には、リチウム吸収体層が多層スタック上の「間違った場所」内に堆積するような理由による。それに加えて、Ru層は空隙を有する可能性があり、それを通過してリチウムが下に重なるSi層に到達することができ、そこで化学反応を引き起こし、多層スタックの反射特性の劣化をもたらす。
図4に示されているように、複数の2元層50を有し、ほぼ13.5nmに対してかつ高温安定性に対して最適化された多層膜ミラー40’は、例えばリチウムのような反応性原料物質による拡散、反応、及び腐食から保護されるべきである。これは、例えば図3に示されているRuから成る少なくとも1つの保護キャップ層60によって行うことができる。〜13.5nmに対して最適化された例えばMLM40’である多層膜ミラーは、シリコンスペーサ層52を通常必要とする。しかし、ミラー40’が例えばリチウムである反応性原料物質に露出される時、シリコン層52は、リチウムによる侵食から保護される必要がある。これは、薄い拡散障壁層64によって最後のSi層52から分離することができるルテニウムのキャップ層60によって達成することができ、それは、キャップ層60内のRuがリチウムによって侵されないからである。更に、リチウムは、Ru層内に拡散せず、及び/又は障壁層64を通過して下に重なる2元MLM層50に拡散しないことになる。しかし、Ruキャップ層62は、MLM40の反射率を大きく低下させないためには、数ナノメートル厚とすることができるに過ぎない。例えば1nm厚未満の例えばホウ化物又は炭化物から成る非常に薄い拡散障壁層64は、SiとRuキャップ層の間に明確な層境界を提供するのに役立たせることができる。
しかし、MLM40の全体的な反射率を大きく低下させないためには、保護キャップ層62がそれ自体図4に示すように2元層72である場合、更なる改良は、図4に示されている改良されたMLM40’に関して示したように得ることができる。本発明の実施形態の態様によるそのような構造は、MLM40’の2元吸収/スペーサ層50のように作用することができる。このキャップ2元層72は、例えば、図3に示されているRuキャップ層62から成る吸収体層62と、例えばニオブ酸リチウム(LiNbO)層70である反応性原料物質の化合物を含むことができる適切なスペーサ層70とから構成することができる。
選択された2元キャップ2元層72スペーサ層70は、例えば13.5である選択されたEUV波長に対して十分高い透過率を有し、キャップ2元層72スペーサ層70として機能し、また、キャップ2元層72スペーサ層70を通過する下に重なる層62内へのそして更に下に重なる例えばシリコンであるリチウムのような反応性原料物質との反応から保護されることが望ましい材料を含有する2元層50内への例えばリチウムである反応性原料物質の拡散を阻止するように選択することができる。例えばニオブ酸リチウムである反応性原料物質の化合物は、下に重なる層と反応性を有するか否かに関わらず、原料物質の幾らかの付加的な集積を許容するようにもまた役立たせることができる。LiNbO層70の上面への例えばリチウムであるが錫、イリジウム、又はキセノンである場合もある反応性の有無を問わない原料物質の集積は、キャップ2元層72吸収体層70内部の干渉のための適正な位置に例えばリチウムを含んで発生することになる。MLM40’の全体的な反射率は、大きくは影響されないであろう。換言すれば、吸収Ru層上に形成されたスペーサ層70は、2元キャップ層72を形成し、反射率に対しては下に重なる2元層50と同様に機能し、それは、このスペーサ層が、(望ましいEUV波長に対して)比較的透明な反応性の有無を問わないプラズマ原料物質の化合物を含み、かつ例えば原料物質の数層の単原子層を含む更なる原料物質の付加的な堆積を収容することができるからである(原料物質は、原料物質化合物内に拡散する可能性もあり、数層を更に超える単層の堆積は、原料物質化合物(例えば、LiNbO)の性能を基本的には変化させずにこの化合物内に収容され、原料物質化合物としては、例えば、錫、イリジウム、又はキセノンの化合物である他の恐らくより反応性の低い原料物質化合物もまた挙げられる)。例えばニオブ酸リチウム膜を含むこの層74の更に別の利点は、ニオブ酸リチウム膜がリチウム環境内で安定かつ非反応性であることである。
リチウムは、LiNbO層74内に拡散することができるが、ある平衡点に達する。換言すれば、キャップ2元層72の上部層70は、基本的にMo/Si多層膜50と同様であるが、キャップ2元層72は、より好ましい化学的性質を有し、例えばMo/Si2元層50から構成された下に重なる多層膜ミラー2元層50をリチウムによる侵食から保護することができるRuとLiNbOから成るものである。ほぼ13.5nmでのRu/LiNbOキャップ2元層72の反射及び透過特性は、Mo/Si層50に対して同一ではないが、そのリチウム侵食に対する耐性はこの欠点を相殺して余りあるものである。図4に示すように、それに加えてキャップRu層70の下に拡散障壁層64を有することにより、下に重なるシリコン層52へのリチウムの拡散が更に低減でき、リチウム侵食からの保護が強化することができ、一方ではそれと同時に、図3に示すRuキャップ層62のみを有するよりもMLM40’に対するより良好な全体的反射率が得られる。
全体の多層スタック反射機能の改善に関する上記によれば、スペーサ層70をルテニウム層62の上に置くことができ、これは、リチウムへのMLM40’の露出による堆積リチウムを受容することができ、層62、層70は、キャップ2元層72を構成する。このスペーサ層70は、リチウムに適合であるように選択される。ニオブ酸リチウムは、部分的には、多くの有用な特性のために提案される。原料物質がリチウムである時に用いられる例えばニオブ酸リチウムであるこの選択された材料は、非常に安定でありかつ非反応性であり、それは、例えばリチウムである原料物質を既に含有するので、例えばリチウムである原料物質の露出/拡散に安全に従うことができ、それは、その化学的安定性のために空気中で問題なく処理することができる僅かなリチウム化合物のうちの1つであり、それは、少なくともほぼ1000℃までの優れた熱安定性を有し、それは、主として電気光学材料(圧電体、強誘電体、非線形結晶)であり、平坦ウェーハ又は湾曲表面は、例えばLiNbOの上部キャップ層70に加えた多層膜のコーティングによってMLMを形成するために基板として使用することができる。ニオブ酸リチウムのようなこうした材料の薄膜は、多結晶及びアモルファスの両方で並びに様々な処理(CVD、レーザ堆積、スパッタリング技術)を用いるエピタキシャル成長を伴って生成することができる。ニオブ酸リチウムもまた、選択することができる他の可能な材料のように、関連するEUV波長で非常に透明であり、例えば、LiNbOは、13.5nmでシリコンと同じく殆ど透明である。リチウムは、LiNbO内に拡散するであろうが、ある平衡点に達することになる。別の非常に薄い(<1nm)相互拡散障壁層76もまた、任意的に、例えばRu層64及びLiNbO層70から成るキャップ2元層72の層62、層70の間に導入することができ、下に重なるシリコン層へのリチウム拡散が更に低減される。例えば、こうした層に関して本明細書で言及された材料を含むこの障壁層76は、リチウム侵食からの保護を改善することができる。約2.5nmのRu層62の厚み及び約4.4nmのLiNbO層の厚みの状態で、13.5nm光に対する「正確な」2重層厚み比率が得られる。吸収体層62上のスペーサ層70の存在により、ミラー40’の使用中に、その表面へのリチウム集積は、ここでは「適切な」位置に、すなわち、下に重なる層境界から正確な間隔にあるようになるであろう。
高反射性表面は、2つの一般的な手法によって達成することができ、最も直接的な方法は、そのモノリシック形態での本質的に反射性である材料(例えば、アルミニウム、銀、又は金)の利用である。第2の方法は、交互の厚み及び屈折率の多重薄層スタックを構成することである。後者のシステム形式は、一般的に誘電ミラーと称され、それは、その高反射率が材料の本質的に高い反射率によるのではなく、各層の厚み及び屈折率nに基づく光学干渉過程によって達成されるからである。精密に構成された薄膜スタックは、関連する材料が本質的に透明であっても、EUVに対してはそれよりは低いが、可視光に対しては>99%の反射率をもたらす。
本出願人もまた、13.5nmで>70%の反射率を有する誘電多層膜ミラーを用いることを提案し、これは、例えば各々が異なる密度を有するSiCの交互の層のような交互の密度のシリコン化合物の層を用いる。SiCは、高温(2700℃)で処理することができ、かつ必要に応じて導電率又は例えば拡散阻止性である他の特性を改善するためにドープすることができる。SiC/SiCスタックは同じ組成のものであるので、層の熱的相互拡散は、例えば700℃まで又はそれよりも高い適用に対しては問題とならないことになる。SiCは、ドープによって導電性とすることができるので、こうした積層ミラーのDC又はRFバイアスもまた可能である。
ここで図5を参照すると、本発明の実施形態の態様に従ってEUV多層スタック集光器/導光器ミラー又は他のEUV反射光学要素のための保護コーティングとして例えばイットリウム(例えば、酸化イットリウムYの形態で)であるリチウムと適合するコーティングを使用する本出願人の提案が縮尺通りではなく概略示されている。例えば、先に言及したMo、MoSi、及びSi層から成る例えば2元層の多層膜に基づく高温多層膜ミラー80は、リチウムによる侵食に対して安定でない可能性がある。本発明の実施形態の上記で示した態様と類似した本発明の実施形態の別の実施形態によれば、イットリウム及びモリブデンは、上記で示したルテニウム及びニオブ酸リチウムのキャップ2元層よりも更に好ましい可能性がある。
上述のように、高温多層膜ミラー(MLM)は、リチウムと保護層との反応を阻止するために及びこの保護層が良好なEUV特性を有するべきであるなどの理由で、リチウムと適合である保護層を必要とする。他方で、そのような材料は、EUV放射波に対して十分に透明でなければならず、最上部層は、例えば、リチウム及びレーザプラズマ供給源から形成されるリチウムイオンである原料物質によるスパッタリングに関して良好な安定性もまた有するべきである。イットリウム、ジルコニウム、モリブデン、及び他の遷移金属は、リチウムに対して比較的低いスパッタリング収率を有し、リチウムに対して不活性である。それに加えて、それらは、13.5EUV放射波に対して更に十分に透明である。Mo/Yのような多層膜又はMo/Z/Y/Zのような障壁層を有するかのいずれかは、例えばリチウム保護層である反応性原料物質に対する保護として使用することができる。同様に、恐らく、例えば、Y、Zr、Nb、Mo、Ru、Rh、又はPdであるそのような遷移金属の単層のみ、又はZrC、YN、ZrN、BN、Si、BCのようなそのような遷移金属の例えば窒化物又は炭化物、又はMoSiのようなそのような遷移金属のケイ化物の層を使用することができる。
図5を参照すると、本発明の実施形態の態様によるMLM80の構造及び配列の縮尺通りではない概略図が示されている。イットリウムは、MLM80の例えば上部又はスペーサ層92として2元キャップ層90内に使用することができる。MLM80は、例えばモリブデンから成る例えば吸収体層84と、例えばシリコンから成る例えばスペーサ層86とを含む、複数の2元層82もまた備えることができ、これらの全ては、基板88上の多層スタックを形成する。イットリウムのスペーサ層92とモリブデンの吸収体層94とを有する2元キャップ層90は、MLM80上に堆積されている例えばリチウムである反応性原料物質の不都合な効果に対抗するのに役立たせることができ、その悪影響に図4に関して上記で示した実施形態と同様に対処することができる。
イットリウム層92はリチウムと反応しないので、この上部層92の表面が酸化して例えばY層95を形成した時、この酸化イットリウム層95は、上記で示したニオブ酸リチウムと同様に、堆積されているリチウムに対して安全である。例えば、Zr又はMo及びそれらの酸化物である先に言及したもののような他の遷移金属と同様に、例えばZrO及びMnOの上部層は、両者がリチウム堆積を吸収するのに役立ち、イットリウム、ジルコニウム、又はモリブデンは、下に重なるシリコン層をリチウムによる侵食から保護することができる。例えば、イットリウム及びモリブデン層92、94が、例えば炭化物、窒化物、又はホウ化物を含む薄いリチウム拡散障壁層96によって分離される場合には、リチウムの拡散は、更に低減することができ、層92、94は、例えば400℃−500℃+のような高温でもより安定であることになる。しかし、本出願人は、そのような適用においては、高温でもかつ混合障壁層96の必要なしにMoとYとは混合しないが、リチウム拡散障壁層96は、更に有用とすることができると考えている。そのことは、スタック内のMo及びY層の間の中間混合阻止薄膜のない使用を容易にする。Mo/Y2重層キャップ層90は、13.5nm放射波に対して十分高い反射率を有することになる。しかし、それらは、Siベースのミラーと全く同様には13.5nm放射波を反射しない。しかし、本出願人は、図5に示すように、例えば、上述されかつ図5に示されている(例として単一の2元キャップ層90として示される)いくつかのMo及びYの2元キャップ層90でSiベースの高温多層膜ミラーを反射率の大きい損失なしに被覆することを提案する。別の可能性は、例えば、Mo及びYの層又はMo及びYの層と、ZrC、YN、ZrN、又は他の窒化物又はホウ化物のようなリチウム拡散に対する適切な障壁層とだけに基づくSiのない多層膜をMLM80全体にわたって使用し、上部キャップ層として、例えばY層を利用しかつ恐らくYのコーティング95もまた利用することである。
上述のように、高温多層膜ミラー(例えば、MoSi/Si、又はMo/C/Si/C、又はいくつかの他の多重スタックを有する)は、モリブデン、イットリウムを含みかつ恐らく薄い拡散障壁層もまた含むリチウム保護キャップ2元層で被覆することができ、この障壁層は、混合障壁層、リチウム拡散障壁層、又はその両方として役立たせることができる。イットリウム又はモリブデンのいずれかを最上部層とすることができる。MLM80の構造は、1つ又はいくつかの周期的なMoとYの間に薄い障壁層を有する(又は有しない)Mo−Y2元層から成ることができる。薄い酸化イットリウム(Y)層(又はMo酸化物層)は、最上部層へのコーティングの後、例えばミラーが空気中に露出される時に自動的に形成することができる。いずれにしても、イットリウム、モリブデン、及び酸化イットリウムは、リチウムの侵食に対して耐性を有する。本発明の実施形態の態様による高度に酸素のないイットリウムは、Y層のために使用することができ、例えば、加工中にコーティングがより大きい層の粗さ、従って界面の粗さを生じさせる傾向の可能な酸化物層を含むことを防止するために、ほぼ<10−8トルのような非常に低い基本圧力でコーティングシステム内で行うことができる。熱安定性を高め、Mo/Yキャップ2元層を通過するリチウムの拡散を低減させるために、各Mo層とY層の間に薄い障壁層が存在することができる。この薄い障壁層は、Mo層とY層の間のコントラストを高めることができ、多層スタックのより下に重なる層へのリチウムの浸透及び拡散を阻止するためのリチウムに対する拡散障壁としてもまた役立つ。障壁層のための良好な候補は、ある一定の窒化物、炭化物、又はホウ化物である(Si、BN、ZrN、NbN、C、ZrC、NbC、SiC、BC、ZrB、NbB、YN、YBのような)。Mo層の上の薄い障壁層は、Y層上の薄い障壁層と異なる(又は同じである)可能性がある。そのような障壁層の厚みは、異なる(又は等しい)可能性がある。また、例えば、Mo層又はY層のいずれかの上に1つのみで使用される障壁層があり(それぞれ1つの代りに)、すなわち、障壁層は2元層の間にあって、MLMスタックの全ての層の間にはないことも可能である。
13.5nmでの多層膜ミラースタックの高反射率のためには、イットリウム層の厚みは約4nmであり、Mo層の厚みは約2.0nmであり、障壁層厚みは1nm未満、例えば約0.5nmとすることができる。上部イットリウム層の上に形成するイットリアの厚みは、イットリウム層自体が非常に低い酸素含量を有する場合には、2nm未満である可能性があり、そうでなければ、全てのイットリウムが酸化される可能性がある。最上部層は、4nmを超える可能性がある。最上部層は、例えば、イットリウム、ジルコニウム、モリブデン、又はルテニウムである様々な遷移金属のうちのいずれかとすることができる。これは、酸化物とすることができ、イットリウムの場合には、MLMの空気への最初の露出の後に成長する約2nm厚のYとすることができる。LPP光源が作動している時は、被覆されたミラーは、吸着リチウムの非常に薄い(<1nm)層によって覆うことができる。
例えば、保護2元キャップ層内において、イットリウムは、いわゆるスペーサとして使用することができ(普通のMLMの場合のシリコンの代りに)、モリブデンは「吸収体」として使用することができる。イットリウムに代わる他の可能なスペーサ層は、イットリウム−スカンジウム合金、スカンジウム、又はカルシウム又はカルシウム−ジルコニウムであり、それは、これら及びそれらの酸化物(YScO、CaO、Sc、CaZrO)がリチウムの侵食に対して耐性を有し、13.5nmEUV放射波に対してY及びYと同じようには透明でないが、まだ十分に透明であるからである。イットリウムは、800℃であっても高温リチウムによる反応に対して最も熱力学的に安定な物質の1つである。
代替的に、シリコンベースの高温多層膜ミラーを式中のZが薄い障壁層を表しているMo/Z/Y/Zで被覆するのではなく、本出願人は、本発明の実施形態の態様に従って、シリコン層を使用せずに最上部層として例えばイットリウムを有するMo/Z/Y/Z多層膜MLMスタックのみを用いるリチウム適合性の高温MLMを構成することを提案する。更に、障壁層Zは削除することができる。本出願人は、そのような構成においては、高温においても層の混合は殆どないことになると考えており、従って、薄い中間層に対する少なくとも1つの必要性、すなわち、熱的不安定性をもたらすそのような混合の抑止が恐らく解消される。1nm厚の層を通過するワンパスEUV透過率は、シリコンベースの材料については、Siに対して99.83%、SiOに対して99.0%であり、イットリウムベースの材料に対しては、Yに対して99.79%、Yに対して99.83%、YBに対して99.57%である。
本発明の実施形態に従って、本出願人は、高温多層膜ミラー及びリチウム保護コーティングを製造工程中に高められた基板温度で基板上に付加することを提案する。これは、堆積中に吸着された原子の移動度を増大させるのに役立たせることができ、それによって層粗さの低下がもたらされる。表面上のより高い移動度は、より平滑なコーティング及び界面を生成する。この高温コーティングは、例えば400℃から600℃であるより高い温度で継続するので、それらコーティングもまたこれらの温度で生成することができる。
多層膜製造(コーティング)処理中に、基板は、例えば約300℃から500℃の範囲内のいずれかの高められた温度に保つことができる。そのために、堆積処理中に原子移動度が増大する。界面の平滑化及び層の粗度の低減をもたらすのに加え、これはまた、僅かにより濃密な層を生成することになり、そのこともまた有利である。本出願人は、室温での堆積後に高められた温度での後の焼き鈍しを用いるのでなく、層堆積中に基板をより高い温度に保つことを提案する。
一例として、600℃まで13.5nmでの安定な反射率を示す交互のMoSi2及びSi層から構成された高温多層膜ミラーは、ここで説明した方式で作製することができる。他の種類の高温安定MLMコーティングもまたこのような方法で作製することができる。しかし、例えば、普通のMo/Si多層膜ミラーである例えば混合抑止層のような中間層がなければ、こうした高められた温度で安定ではない一部のMLMに対しては、これらの高温は役立たず、むしろ作製処理中に多層膜構造の著しい劣化(例えば、混合による)を引き起こす可能性がある。
本発明の実施形態の態様に従って、本出願人は、MoSi/Si多層膜コーティングを使用することを提案するものであり、それは、それらの多層膜が、少なくとも一部は、MoSiとSiとが相平衡にあり、従って、2つの材料の間の境界に混合なしに安定な界面を形成するという理由で良好な熱安定性を有するからである。ここでもまた、先に言及したEUV用途のためのMLM多層膜の他の特質を有する材料であり、かつ依然として相平衡の状態にある材料である他の吸収体/スペーサ多層膜もまた使用することができる。MoSi内のMo含量は、反射率を改善させるために高めなければならない場合がある。他の材料としては、例えばMoSiが挙げられ、これは、このMoSi層、すなわち、多層膜構造吸収体層としてMoSi/MoSi/MoSi/Siの「内部に」使用されるMoSiと相平衡の状態にある。このシステムの場合、全ての界面が相平衡の状態にある層の間に構成され、Mo含量が高められ、従って、反射率はより高くなる。本発明の実施形態の態様によれば、MoSiは、Siがスペーサである多層膜構造内の主な吸収性構造体として使用される。MoSiは、Siスペーサを伴う多層スタックにおいて、MoSiよりも高い反射率を生じさせる。層の構造は、Si−MoSi−MoSi−MoSi−Si−MoSi−MoSi−MoSi以下同様である。これは、例えばMoSiである特別吸収体層を有する2元(吸収体/スペーサ)層を形成し、MoSiは、Siスペーサ層とその界面で、例えばSiとの相平衡の状態にあることにより適合しない場合があり、より劣った吸収体であるがSi層界面と適合であるMoSiである「普通の」吸収体層の間に挟まれ、すなわち、普通のMoSi層の「内部」にあり、それによって例えば相平衡の状態にある層である混合及び拡散に適合する層のみが互いに隣のもののであるということをもたらし、一方で望ましい波長でのより良好な反射率が提供される。その結果、高温での層間拡散による混合が実質的に防止され、Mo/Siミラーコーティングが標準的に用いられるEUV波長範囲のその部分内の多層膜ミラーの高温適用に関して、多層膜ミラーが約700℃までより安定であるということがもたらされる。例えば、リチウムDPP又はリチウムLPP供給源である反応性原料物質を用いる用途の場合には、SiOとは異なるLi適合のキャップ層が上記で示したように必要とされる可能性がある。
通常のMo/Si多層膜ミラーは、約100−200℃の温度で相互拡散/混合のために劣化し、従って、反射率を低下させることができる混合障壁層なしでは高温不安定である。MoSi/Siコーティングは、高温で安定であるが、その光学特性のためにMoSi層は十分なコントラストを提供せず、かつピーク反射率はあまり高くない。MoSiの使用は、多層スタック内でのこのコントラストを高め、それは(MoSiに比較して)その光学特性がMoにより類似しているからである。MoSi/Si多層膜の理論的反射率は、13.5nmでR=70%(例えば、N=80層の場合)を超える可能性がある。主MoSi層及びSi層の間のMoSiの薄膜は、この数字を大きくは低下させない。
本発明の実施形態の態様によれば、図6に示すように、MLM100は、基板102のN個連続2元層104によるコーティングによって形成することができ、この2元層は、Si−MoSi−MoSi−MoSiで構成され、ここで、Si層106は、比較的透明な(約13.5nmで)スペーサ層であり、サンドイッチ層110は、2つの層114の間に例えばMoSi層112を含み、例えば、この2つの層114は、両方とも隣接するSi層104にインタフェース適合であり、かつ隣接するMoSi層112にインタフェース適合であり、層の数Nは、例えば40−120の範囲内のいずれかの数である。通常のMo/Si多層膜と同様に、最上部層はシリコンであり、空気への露出の後、その上部に例えば約2nm厚の薄いSiOキャップ層120を形成することができる。しかし、本明細書で示した例えばイットリウム、モリブデン、ルテニウム、ロジウム、又はパラジウム、及びそれらの化合物、又は組合せもまた、本明細書で示した例えば単一キャップ層又は2元キャップ層を形成すると考えられる。
MLM100の個々の層厚みは、それぞれの使用波長に対して最適化することができる。13.5nmでの最適化の場合、全体のSi−MoSi−MoSi−MoSi2元層104の厚みは、例えば約6.9nmとすることができる。Si層106の厚みは、例えば約4nmとすることができる。MoSiサンドイッチ層114の厚みは、例えば約1nm又はそれ未満、一般的には、例えば約0.5nm又は更にそれ未満とすることができる。MoSiサンドイッチ層の厚みは、例えば13.5nmに対する吸収体対スペーサ厚み比を与える約6.9nmの全体厚みと約0.4nmの吸収体の厚みとであるような選択された波長に対する適切な全体厚みと吸収体対スペーサ比とを与えるために、例えば約2nmとすることができる。より高い保護が要求される時は、キャップ層120の厚みは、約3−4nm付近又はそれよりも大きくなければならない。
本発明の実施形態の態様によれば、Si又はYがキャップ層の時、それは、MoSi−MoSi層−MoSi吸収体サンドイッチ層104の上に堆積されるのが最も良く、それは、Si及びYが13.5nm付近で高度に透明であって多層スタック内でスペーサとしての機能を果たすからである。他方で、Mo、Ru、Rh、又はPdがキャップ層として使用される時、その層は、Si層106の上に堆積されるのが最も良く、それは、それらが吸収体層としての機能を果たすからである。
本発明の実施形態の態様に従って、本出願人は、MoRu合金が多結晶でなくアモルファス層を形成する事実を利用することを提案する。アモルファス層は、例えばリチウム拡散を抑止する拡散ブロッカーとして多結晶層よりも非常に良好に機能することができ、それは、リチウムが多結晶層内の粒界に沿って浸透することができるからである。図7、8、及び9に示されているリチウム拡散を阻止する13.5nmEUV放射波の高度の反射のための多層膜システム130、130’、及び130”は、リチウムとの反応に対して安定とすることができ、高温でもまた安定とすることができる。それは、図7に示すようにそれ自体で高温多層膜コーティングとすることができ、又は図8に示すようにそのような多層膜コーティングの上の(キャップ)又は例えば図9に示すようにシリコン又はシリコン化合物を用いるより反射性の高温多層膜コーティングの上の(キャップ)保護多層膜コーティングとすることができる。
例えば、イットリウム及びジルコニウムのようなある一定の元素は、リチウムと適合であり(リチウムと反応しない)、かつ13.5nmで高度に透明でもある。それらの合金は、多層スタックの「スペーサ」層134として使用することができる。Y−Zr合金は層134を形成し、「スペーサ」として使用される。例えば、モリブデン及びルテニウムのようなある一定の元素もまたリチウムと容易かつ直接には反応しない。それらの合金は、図7−図9に示されている多層スタック130、130’及び130”内で「吸収体」層136の材料として使用することができる。Mo−Ru合金もまた、「吸収体」層136として使用することができる。しかし、Y、Zr、Mo、Ru酸化物のような遷移元素の酸化物は、リチウムのインターカレーションを受けやすく、言い換えれば、例えば空気へのその露出の後に多重層スタック130、130’、130”の上に形成する自生酸化物にリチウムが浸透する可能性がある。従って、本発明の実施形態の態様によれば、更に別の拡散障壁キャップ層138を付加することができる。ZrN及びYNは、このキャップ障壁層138のための良好な候補材料である。一部のリチウムのインターカレーションが、最上部のキャップ障壁層138になお発生する可能性があるので、本発明の実施形態の態様に従って、本出願人は、より下に重なる層134、136内へのリチウムの拡散を阻止するために、吸収体層136とスペーサ層134の間に同様の障壁中間層140を使用することを提案する。Mo−Ru合金は、アモルファス層を形成することになり、これはまた、多結晶Mo層よりもリチウム拡散を良好に阻止することができる。この層のアモルファス特質は、粗度もまた実質的に低下させる。一部の酸化されたイットリウムを含むジルコニウムの合金は、「イットリア安定化ジルコニア」(YSZ)として知られ、キャップ層138又は中間層140又はその両方のいずれかである高温障壁コーティングとして使用することができる。それらは、約500℃よりも低い温度では何ら相転移を受けない。それらはまた、他の薄膜の上にエピタキシャル層を形成し、そのためにリチウム拡散に対してより良好なシールが為されることになる。層の粗度もまた低減される。YSZ層は、純Zr又はZrOよりも小さい結晶粒径もまた有する。吸収体及びスペーサの両方の結果として低減された粗度は、より高いEUV反射率をもたらす。
例えば、図7−図9に示されている多層膜コーティング130、130’、及び130”は、例えば約40%のMo及び60%のRuを含むことができる例えばMo−Ru合金吸収体層136を有して付加することができる。この組成は、従って近似的にMoRuである。吸収体層136として使用されるMo−Ruは、例えば約2−3nmの厚みとすることができる。Y−Zr合金は、約4−4.5nmの厚みでスペーサ層134として使用することができる。YSZに対しては、通常その組成は、20%又はそれ未満のイットリウム及び80%又はそれよりも多いZrである。しかし、イットリウムは、13.5nmでZrよりも透明であるので、最高の反射率を達成するために利用することができるような合金内には、20%を超える相対的イットリウム含量及び80%より低いジルコニウム含量を有することが好ましい場合がある。Y−Zr合金層は、純イットリウム層と比較して、より小さい結晶粒径及び界面粗度を有することが期待される。ZrNは、混合及び拡散を阻止するためにY−Zr層とMo−Ru層の間に約0.5nmの厚みで付加される非常に薄い中間障壁層140の一実施形態である。他のバッファ層の材料は、YN、BN、Siのような窒化物、又はZrC、BC、NbC又はMoCのような炭化物、又は炭素、又はZrB、YB、MoBのようなホウ化物、又はホウ素とすることができる。
13.5nmでの高い直角入射反射率のための最適な全体の2重層間隔(Y−Zr層及びMo−Ru層にバッファ層を加える)は、約7.2nmである。Mo−Ru及びY−Zrの交互層の多層膜コーティングは、バッファ層によって分離されるか否かのいずれかで、リチウム被曝の下で安定な高温キャップコーティングとして集光ミラー基板132の上に付加することができる。あるいは、それは、リチウム被曝に対する保護コーティングとして、図9に示すように、上記で示したシリコンベースの2元層高温多層膜コーティングの上に付加することができる。
最上部層は、図7に示すようなY−Zr合金とすることができる。それは、空気に露出される時の酸化により、自生酸化イットリア−ジルコニア層138を形成することになる。キャップ障壁層138は、図7に示すように、例えばY−Zr合金層の上に、又は図8に示すように、例えば酸化を抑制するためのMo−Ru合金層136の上に、中間障壁層140に使用されるのと同じZrNでもまた形成することができる。
キャップ又は中間障壁層は、約0.3nmの厚みでのZrNと0.3nmの厚みでのYのような2つの薄層を含むこともできる。あるいは、中間障壁層140がZrだけで作製され、最上部キャップ層138のみがZrN又はYNで作製することができる。
Mo−Ru/ZrN/Y−Zr/ZrNの中間障壁層を有する2元層を使用する多層膜ミラーに関するピーク反射率は、層の合金組成にもよるが、13.5nmで約R=50%とすることができる。反射率は、Y−Zr層に対しては高いイットリウム含量で、Mo−Ru層に対しては高いモリブデン含量でより高くすることができる。
本発明の実施形態の態様に従って、本出願人は、リチウム拡散障壁又はエッチング液耐性障壁としてのMgF層の使用を提案するものであり、このエッチング液耐性障壁は、例えば、集光器/導光器又は他のEUV光学要素の外側層から原料物質を除去するために提供される例えばBrであるハロゲンエッチング液に耐性であり、すなわち、MgF層の下に重なるMLM層の臭素エッチングに対する障壁である。図10に示されているMLM150のミラー構造は、例えば、複数の標準MoSi/Si2元層152の上に堆積したMgFの例えば10nmの層であるキャップ層156で被覆した基板154上の複数の2元層152のようなものとすることができる。MgFキャップ層は、例えば、従来技術で公知のようなMoSi吸収体層158及びSiスペーサ層160を含むMoSi/Si2元層152の上にRuで覆ったMLMで提供されるキャップ層よりも良好なキャップ層を提供することができ、このRuで覆った層は、リチウム拡散に対する同じレベルの保護を提供せず、加えて同程度の温度安定性を有しない可能性があり、かつ臭素エッチングへの耐性もまた有しない。
ここで図11を参照すると、本発明の実施形態の態様によるMLM160の構造及び構成が示されており、これは、例えば2重2元MLMスタック又はサンドイッチ化吸収体層を含む他の方式と見なされる2元層を利用し、例えばイットリウムのスペーサ層162及びMo吸収体層164層、更に下に重なるシリコンスペーサ層166、及び更に下に重なるMo吸収体層164を備えている。この一連の2重2元層170は、MLM160スタック全体にわたって基板161まで繰り返すことができ、キャップ層として最上部のY層162を有し、又は本明細書で示したような他の単一又は2元キャップ層を有する。この構造は、Y層162もまた含む2元層の一部としてサンドイッチ化Mo/Si/Mo吸収体層168を有する2元KLMスタック層とも見なすことができる。図11に示すように、2重2元キャップ層170は、例えば、全体のスタック又は実質的に全体のスタックを形成するために下に重なる反復2重2元スタック層170’もまた形成することができ、2重2元キャップ層170が、例えば、拡散又は境界中間層/領域の不安定性、混合などによって性能が劣化すると、初期のものと同じ構成の次の下に重なる2重2元キャップ層が次の2重2元キャップ層を形成し、以下同様に基板161の方にスタックを下降するという利点を有する。図11に示されている例示的な2重2元層に関する厚みは、図11に示されている(Y/Mo/Si/Mo)MLM160において上から下に、例えば、4.19nmY、2.75nmMo、4.16nmSi、及び2.84nmMoとすることができる。
図13は、層の総数に対するこのシステムの13.5nmでの反射率のグラフを示し、層の総数が約100層の場合、4層スタック168の反復は、25であると考えられる。図13は、この型の4層スタックが、例えば100層から200層までの更に別の層の付加に対して高度に反射性のままであり、更に、スタックが最初は200層程度でミラーの寿命にわたって100層程度に減少した場合に、大きくは低下しないことを示している。
ここで図12を参照すると、本発明の実施形態の態様に従って基板181上のMLM180が縮尺通りではなく概略示されている。MLM180は、キャップ吸収体層182を備えることができ、この層は、例えばMoを含み、かつ例えばYキャップスペーサ層184から成るスペーサ層にオーバーレイすることができて、一緒に2元キャップ層185を形成する。次に、MLM180の大部分は、複数の吸収体層182を備えることができ、これらの層は、例えばMoを含み、かつ例えばSiCスペーサ層であるスペーサ層に各々がオーバーレイすることができ、本明細書で示した例えばホウ化物又は窒化物などである例えばSiBの混合境界中間層192を伴って、一緒に2元MLMスタック層188を形成する。同じく本発明の実施形態の態様によれば、拡散境界2元スタック層190は、例えば2元キャップ及び拡散障壁層185、すなわち、一例として、Mo吸収体層182及びYスペーサ層184の2元キャップ層185と同じ材料から成っている。
当業者は、例えば13.5付近でのEUV範囲における望ましい波長で光を生成するプラズマ原料物質からのプラズマの形成によるEUV光源発生チャンバ内の光学要素上に堆積する例えばリチウムである反応性供給金属が、多層膜ミラースタック内に深く浸透し、例えばMLMスタックの層内のシリコンとそこで例えば反応し、結果的に多層膜ミラーの反射率を損ない、作動環境内でのMLMの望ましい寿命を顕著に低下させるのを防止することが最終目標であることを上述から理解するであろう。1つの問題は、リチウムがバルクを直接通過するか、又は結晶粒界を通過するか、又はコーティングの欠陥に沿ってかのいずれかで、多くの材料を通過して容易に拡散することである。リチウムがキャップ層の材料と反応しないとしても、それは依然として浸透し、次にキャップ層の下の層と反応する可能性がある。これは、多層膜構造を比較的急速に損ない、少なくとも反射率を容認できない小さい値にまで低下させる可能性がある。ミラーが加熱されるという事実は、そのことがそれぞれの化学反応を刺激する付加的なエネルギを提供するので、反応を潜在的に更に促進する。従って、本発明の実施形態の態様に従って、本出願人は、400℃−500℃+の高温であっても、実質的にリチウムと反応せず及び/又は下に重なる層へのリチウムの拡散を保護することになる薄いコーティングを使用することを提案する。要件がリチウムに適合する高温多層膜コーティングのための要件に若干類似するダイバータシステムからの熱液体リチウムから通常バナジウムである第1壁を保護するための障壁コーティングに関する核融合業界から学んだ原理を適用して、本出願人は、EUV集光器/導光器の反射要素を、反応性原料物質がDPP又はLPPプラズマを発生させるために使用される環境内での作動、及びEUV光が発生しかつ焦点に集光されて導光されるこの環境の要件、すなわち、多層膜ミラースタック内かつ望ましい波長で選択された材料の有効な作動のための要件のような考察事項に対して、それらをより良好に適応させるための改良を提案する。高度のEUV透過の要件及び良好な薄膜特性、低混合性、低粗度、良好な層成長などの全てが本出願人によって考慮された。
情報の別の供給源は、表面科学業界による研究である。研究者は、例えば半導体集積回路ウェーハ加工材料科学への応用のための一般的に言えば単結晶表面からのリチウム層の吸着、反応、拡散、及び脱離を研究している。本発明の実施形態の態様に従って、本出願人は、多層膜コーティングが周囲空気に露出された時のそれらの例えば酸化の態様を考察した。一般的に、そこには2つの可能性がある。薄い酸化物層が成長し、これは、更に別の酸化に対する有効な障壁を提供し、このコーティングは、通常薄い酸化物層によって近似的に「シール」され、例えばシリコン層終端の多層膜コーティングの場合には、一般的に2nm厚の安定なSiO層を上に示すことができ、更に別の酸化はない。第2の可能性は、酸化物層厚みが成長を保ち、例えば、Mo/Si多層膜の場合には、モリブデンが上部層の時に、それによって空気に露出され、酸素は、Moが完全に酸化されるまで浸透し続ける。MoO酸化物は、Moよりも13.5nmEUV放射波を著しくより強く吸収するので、反射率はその時に低下する。本発明の実施形態の態様に従って、本出願人は、EUV集光器/導光器ミラー及び他の反射器要素に関して、MLMに対するこれらの原理の派生的影響を考察した。
リチウムは、バルクSiに対するよりもSiOとの反応性がより低いが、少なくとも単結晶表面に対しては、Liの吸着/反応及び拡散は、n型ドープSiに関してそれほど強くはない。高温(例えば、約250℃より高い)では、SiO上部層は、より容易にLiと反応する。SiとLiは、反応して様々な化学量論的な混合物の状態のLiSi(ケイ化物)化合物を形成する。バルクシリコンは、Liに対して限定的な反応性を有するが、約400℃を超えると電気化学反応が発生する。ナノ材料に対しては、恐らくより高い表面エネルギのために、これが室温付近で起こる可能性がある。室温では、SiOは、リチウム拡散に対する障壁とすることができるが、より高い温度では、恐らくそうではなくなる。従って、Si/SiOで終端になる多層膜は、恐らくリチウムと反応する傾向があり、リチウム環境内での高温MLMコーティングには適しない。しかし、1つ又はいくつかの保護層が、場合によっては付加的な薄い反応障壁/相互拡散層もまた伴って上述のような保護として必要とされる。
例えば、Mo/SiのMLMミラーの単一キャップ層としてMoのみを使用することが可能であろう。しかし、Moは酸化する可能性があり、結果として反射率の約10−12%の損失をもたらす。リチウムは、モリブデン酸化物と反応してLiO又はモリブデン酸リチウム(LiMoO)を形成する可能性があり、そのことは、反射率の低下を招く可能性もあるが、リチウム露出の下での安定した状態を最終的にもたらすことができる。
本出願人は、ルテニウムのキャップ層が使用可能とも考えるが、それは、Ruキャップ層が、他のリチウム環境内で前に確実に使用できたからである。しかし、RuがSi層の上に直接堆積した状態では、相互拡散があり、かつケイ化ルテニウム混合層が形成される可能性があり、EUVのMLMミラーへの適用においてはそれに対処する必要がある。そのことを防止するために、LLNLグループは、Ruキャップ層の下側に薄いBC層を置くことを示唆している。Ru層は、それが耐酸化性であるという利点を有する。しかし、ルテニウム層内への(かつ恐らく通過する)リチウムの拡散が存在する可能性があり、少なくともそのことが真であることを示唆する単結晶Ru表面でのリチウム拡散に関する研究がある。13.5nmでは、ルテニウムは、モリブデンと全くではないが殆ど同様に透明である。
キャップ層のための候補として他の遷移金属がある。Rh及びPdは、酸化の傾向はより低いが、13.5nm放射波をより強く吸収する。Nb及びZrは、酸化物を形成することになるが、元素自体はより透明である。EUV透明度は、それが周期表をZrからY、Sr、Rbに通過する時に増大する。しかし、元素状Rbは、それが、例えばリチウムと相対的により反応性であり、低温で溶融するので、最良の選択ではない。しかし、例えばRbClであるRbの化合物は、恐らく考慮することができると考えられる。Mo/Sr多層膜コーティングは、LLNLのMontcalm他によって試験され、あまり有望な結果が得られなかった。空気への露出の後、保護炭素コーティングが施された時であっても、反射率が大きく低下した。それらの相対的に高い13.5nmEUV透明度のために、例えばZrC又はZrBであるジルコニウム化合物は、少なくとも下に重なる層を保護してLi拡散を防止する薄い障壁層のための良好な候補である。
イットリウム及びイットリウム化合物は、本発明の実施形態の態様による用途に使用される見込みが非常にあると考えられる。Mo/Yは、LLNLグループのS.Baijt他により、良好なスパッタターゲットが得られ、かつより優れたUHVマグネトロン−スパッタリング条件が得られた後に確実に作製された。彼らは、これらの多層膜を7−12nmの領域、主として約11.5nmに関して作製して研究した。Mo/YのMLMは、6−15nmの十分に広い領域において良好であるが(少なくとも理論的に)、それらは、12.4−15nmの範囲においてMo/Siの反射率に達しない。研究された事例(11.5nmMLMに関する)においては、上部層としてのMoは、上にあるイットリウムより良好であり、Pdキャップ層よりもまた良好であることが見出された。上部にあれば、イットリウム層は明らかに完全に酸化し、恐らく下に重なるMoの一部もまた酸化される。堆積中の真空システムの酸化物バックグラウンド及びイットリウムの酸化物含量は、得られる反射率に関して非常に重要である。例えば、250、380、及び480℃である高められた温度での焼き鈍しの後、Mo層とY層の間のTEM結果ではコントラストがより良好であったが、研究されたシステムに対しては、反射率は、250℃まで安定であるのみであった。やや高い酸素含量のスパッタターゲットは、極めて酸素のないイットリウムを用いたMo/YのMLMよりも高いEUV反射率をもたらした。酸素は、平滑化効果を有した可能性があった。本発明の実施形態の態様によれば、本出願人は、スパッタターゲットとして使用される極めて酸素無含のイットリウムは、薄い(約2nm厚)Y層のみを形成させ、下に重なるイットリウムは、比較的酸素がないので侵されないことになると考えている。これはまた、より酸素のないイットリウム層に関するより良好な高温安定性をもたらすであろう(酸素のないスパッタターゲット)。
他の有用な情報は、核融合業界によって提供されている。高温の液体リチウムをバナジウム合金壁から分離するために絶縁用リチウム耐性コーティングが必要とされ、これに関連して使用される酸化物としては、Al2O3、MgO、AlN、BN、CaO、Y、BeO、Er、Sc、CaZrO、YScO、Si、LiAlO、HfO、ZrOなどが挙げられ、そのうちの一部は、500℃を超えて更に1000℃までのような高温でそのような用途において有効であることが示されている。CaO及びAlNは、主要な高温用の候補であるが、CaO層は、例えば高温での腐食減量のようなEUVのMLM用途に関するいくつかの欠点を有する。Y及びScは、高温リチウムに対して最も安定な酸化物であると考えられ、例えば、イットリア(Y)は、非常に腐食が少なく熱力学的に最も安定である可能性がある。他の可能な酸化物は、Er、YScO、及びCaOである。対照的に、SiOは、例えばSn−Li合金に対して僅かに安定であるのみである。密度が与えられると、例えば1nm厚の単層の13.5nm透過率が計算することができ、例えばワンパス透過性は、数例を挙げれば、Siに対して99.83%、Yに対して99.79%、Yに対して98.97%、Scに対して98.23%、YBに対して99.64%、CaOに対して98.76%である。YLiO層をイットリア上に形成することができることは可能である。
イットリウム又はイットリウム化合物は、リチウムに関して良好な特性を有し、殆ど反応を示さない。本発明の実施形態の態様に従って、本出願人は、多層スタック内の拡散及び混合の抑止のための薄い中間層として使用するために、例えば六ホウ化イットリウムであるイットリウム化合物を提案する。本発明の実施形態の態様に従って、本出願人は、キャップ層として、イットリウムの空気への露出の後に形成されるYを使用することもまた考慮する。リチウムイオンによるスパッタリング抵抗性に関すれば、Yは、類似の遷移金属と同様であり、例えばSiよりも良好であることになる。
本発明の実施形態の態様に従って、本出願人は、高温多層膜(MoSi/Siのような)を例えば温度安定性(例えば、混合抑止による)及びリチウムに対する拡散障壁を提供する薄い障壁層によって分離されたMo及びYの保護層によって被覆することを考慮する。Mo/Yは、250℃を超えると恐らく安定ではないので、障壁層を必要とする場合がある。炭素又はBCを使用することができるが、炭素は、より高い温度では拡散を開始する。他の可能な障壁層としては、ZrC、ZrB、YB、SiBなどが挙げられる。イットリウムは、13.5nmで十分に高い透過率を有するかなり良好なスペーサ材料であるので、MLMスタックにおけるEUV集光器/導光器での用途及び他の反射用途に適している。
本発明の実施形態の態様に従って、例えばリチウムである反応性原料物質に対する保護のためにキャップ層が考察された。例えばイットリアである一部の酸化物は、Liに対して高温で安定であり、一方で一部はそうでない可能性があるので、酸化が考慮された。単一の保護層と多層膜保護スタックの両方が提案され、保護層のために候補材料を有するSiOを終端とする高温多層膜ミラーの使用が提案される。
本発明の実施形態の態様に従って、例えばMLMであるプラズマ発生EUV反射光学要素を本出願人は開示し、特許請求の範囲はそれに関するものであり、このMLMは、例えば積層Mo/Si2元層のような多層スタック内の2元層内の単層として、又は例えばMo/Siスタック内のSiに代わるw/n型ドープSiとして例えば多層スタック内のSi層を使用し、そのことは、Si層内へのリチウムの拡散を防止し、かつ拡散を防止する表面での隔離層を形成するMoとSi層ドーパントの間の下に重なる障壁層のケイ化物形成及び混合を防止する。
同じく本出願人が開示し、特許請求の範囲が関連するのは、保護キャップ層を含むMLMを備えたプラズマベースEUV光源反射要素であり、このキャップ層は、例えばRuである周期数5に属する3−9族からの金属の比較的透明な2重層と、例えばLiである反応性プラズマ原料物質の化合物を含有する層であり、キャップ層内の例えばRuである例えば単純金属上部層に代えて例えばLiNbOである化合物の酸化物を含むことができる層とをそれ自体含んでいる。このLi含有層は、Li侵食によって顕著には影響を受けないことになる。このような金属及び化合物は、適正な位置決め、間隔厚み、及び吸収体対スペーサ比率、並びにMLM周期及び位相を保つためのそれぞれの屈折率を有する2元キャップ層を形成することができ、それによってこの2元キャップ層による反射率の損失は、解消されないとしても低減される。2元キャップ層の各層の材料は、例えば、化合物が化学量論的なものであり、及び/又は各材料が実質的に混合されないようにするために相平衡の状態にあるように選択することができる。本発明の実施形態の態様に従って、本出願人は、例えば、Ru/LiNO2元キャップ層と、下に重なる例えばシリコンを含有する2元MLMとの間であるMLMの2元キャップ層の中間に真空を置くこともまた提案し、そのことは、例えばシリコン、更にそのシリコン層の上の2元キャップ層に2元又は単一キャップ層として格子(図示せず)を形成することによって行われ、例えばリチウムである反応性プラズマ原料物質がキャップ層に付随することを防止するための手段とされ、格子及び真空は、それぞれ各層を分離して真空部を形成し、例えばリチウムである反応性原料物質での拡散/相互作用を防止する。
本発明の実施形態の態様に従って、例えば約700℃までの良好な安定性を有することになる交互の密度の電導性のために恐らくドープされてもいるSiC層を含む例えばMLMスタックを有するMLMを本出願人は提案し、特許請求の範囲はそれに関するものである。この材料は堅く、低い熱膨張係数及び良好な熱伝導性を有し、高い密度及び高い融点を有する。密度は、MLMスタックのそれぞれの2元層を形成するそれぞれの異なる密度の層の屈折率、及び従って厚みを規制する。SiCは、例えば波長に関して小さくない粗い材料結晶粒を形成することができる。この実施形態は、例えば、多孔質であり結晶粒構造が緻密でなく又は多重反射面を作り出すのに十分には最密化されていない状態の結晶粒特性を有する材料を形成する。錫又はインジウムのような低反応性のプラズマ原料物質に関しては、例えば、ある程度は、より低温度の作動及びより低い反応性のために拡散の問題は顕著に低減される可能性がある。LiはSiを侵すであろうが、Liがどの程度SiCを侵すかは明らかではない。更に、例えばRu又はMoのキャップ層を用いるなどの適切なキャップは、本出願で示した他のキャップ技術又は従来技術における別の方法と共にミラー表面を保護するために使用することができる。SiC誘電体多層スタックミラーの他の利点は、低い熱膨張係数、非常に堅い剛性材料、高い熱伝導度、高い密度(真空適合性)、及び高い融点である。この材料を研磨することができる供給業者が存在するので、SiCの研磨もまた可能である。
本発明の実施形態の態様に従って、本出願人は、例えばCVD処理により、多孔質のSiCと緻密なSiCとを交互に堆積させて多層膜ミラースタックを作り出すことを提案する。代替的に、緻密なSiCを堆積させて、電気化学エッチング又は別の方法でそれに孔を形成することも可能である。多孔質のSiCは、より高いnを有し、より緻密なSiCは、より低いnを有することになる。精密なエッチング処理では、SiCエッチング中に電気化学ポテンシャルを変化させることができ、例えば3次元の間隙構造が生成される。高電流密度のエッチングは、より多孔質のSiCを生成することになる。
Trexから入手可能であるCVD処理は、Trex独自のCVD処理に基づく層状構造の材料を作製することができる。例えば、反応器内でのSiC堆積の化学的性質を周期的に変更することにより(例えば、供給ガスを変化させる)、交互の密度の層の層状セラミックを生成することができる。
別の構成概念は、適切な時間で異なるドーパントを混合することによってCVD処理を変更することを伴う可能性があると考えられ、そのことは、それぞれの層の屈折率及び伝導度を調整するのに役立たせることができる。基本的には、最終ミラーは、依然としてSiCであろうが、密度及び導電率を調節するために少量のドーパントを含んでいる。SiC内のSi及びSiCの拡散(自己拡散)は非常に遅いので、この概念は、高温での13.5nm放射波ミラーの熱損傷に関する懸念を解消することになる。熱的劣化は、促進された拡散であり、例えば300℃−500℃又はそれよりも高い温度で使用されるMo/Siミラーで観察され、それは、これらの材料が本質的に金属性である(化合物でなく)からである。中間層は、例えば>500℃の作動に関してMo/Siミラーを改良するために使用することができ、SiCスタックミラーに対してもまた有用であると立証することができる。
放物線形反射器は、交互の層の堆積によって作製され、次にフランジに取り付けることができると考えられる。SiCは伝導性とすることができるので、清浄化のために集光器を加熱することができ、又はこれにDC/RFバイアスを掛けることができる。SiC多層スタックミラーは、抵抗加熱することができる。材料が堅いので、望ましい400℃−500℃+の作動温度でそれが溶融又は軟化することは見込まれない。本発明の実施形態の態様に従って、本出願人は、バルクSiCミラーを成長させ、そのミラーを研磨した後、その上にSiCを堆積させることを提案する。SiC膜上のRu又はMoキャップ層は、例えばリチウムである反応性原料物質との反応を防止するために使用することができる。
本発明の実施形態の態様に従って、プラズマ生成EUV光源MLMを本出願人は提案し、特許請求の範囲はそれに関するものであり、このMLMは、例えば、下に重なるEUVのMLM反射面のための上部キャップ2元層としてモリブデンと共に酸化イットリウムを含み、この反射面もまたY/Mo2元層とすることができ、又は他の2元層とすることができる。代替的に、本出願人は、400−500℃まで又はそれを超える全体の反射性スタックのためのMo/Yスタックの使用を可能にするために、例えば中間障壁層の使用を提案する。また、Siベースのスタックは、Mo及びYと場合によってはその2元キャップ層のための拡散障壁層とから成る2元キャップ層で、上部がYである状態で被覆することができ、これは、次に、空気に露出された時にY層もまた形成する(Y及びYの両方は、リチウム耐性であり、かつ2元キャップ層及び/又はMLM全体を通じてのスペーサになるために約13.5nmでのEUVに対して十分に透明である)。Mo/Y及び/又はMo/イットリアの2元層は、場合によっては全体の反射率の幾らかの犠牲を伴って、例えばMoSiのMLMよりも良好な熱特性を有することができる。下側層内のMoSiと上部のイットリウムミラー層との使用は、反射率の損失を低減することができる。Mo/Y層は、EUVのMLMのために有用であるように十分に限定された酸素含量で現在は製造することができる。Mo/ベースの2元キャップ層は、例えば、上部のキャップ層が破壊されるような場合に対応するためにMLM全体に拡張することができる。リチウムがそれぞれの2元層の拡散障壁層内に留まる時は、結果として反射率が高まるが、EUVの吸収もまた増大する。MLMは、リチウムのある程度の流入は受容できて依然として作動するが、吸収が増えかつ選択されたEUVのλに対して間隔が変更されるので、リチウム拡散はコントラストを低下させ、かつ中間障壁層内へのリチウムの拡散は、粗度を変化させる可能性があり、反射率及び熱安定性が影響を受ける。しかし、既にリチウムを含有するスペーサは、ミラー特性に対してより少ない損傷でより多くの拡散を受容することができる。そのような障壁層として本発明の実施形態に使用することができる材料のリストには、MgF、LiF、SiOFのようなフッ化物、Er、HfO、Taのような酸化物、耐熱金属酸化物、例えばnm厚みでの希土類金属フッ化物、窒化物、及び酸化物、クロム酸化物、窒化物、及び酸化物、並びにケイ化物が含まれる。
本発明の実施形態の態様に従って、以下のことを本出願人は開示し、特許請求の範囲はそれに関するものである。
リチウムの拡散/挿入/浸透を防止し、下に重なる(高温)多層膜ミラーコーティングをリチウムから保護する、上に自生イットリア酸化物(又はイットリウム−ジルコニア)層を有するイットリウム(又はイットリウム−ジルコニウム合金)から成る保護層。別の良好な組合せは、上部オーバーコート層としての(例えば、約3nm厚の)ルテニウムと下側オーバーコート層としての(例えば、約4nm厚の)イットリウムとを有する2重層から成る保護層とすることができる。(あるいは、イットリウム(又は、イットリウム−ジルコニウム合金)の下側オーバーコート層の上の上部オーバーコート層としてのRu−Mo合金、又は上部オーバーコート層としてのRuで覆われたMo。)
本発明の実施形態の態様に従って、酸窒化シリコン拡散障壁層を作り出すためのNでドープされたSiO又はN環境内で反応性スパッタリングされたSiOを本出願人は開示し、特許請求の範囲はそれに関するものである。
本発明の実施形態の態様に従って、層内に堆積されている原子の移動度を高めて上部の粗度を低下させるためのMLMスタック層堆積中の基板の加熱を本出願人は開示し、特許請求の範囲はそれに関するものであり、それは、多層スタックにおけるより良好な温度安定性を究極的にもたらすものである。生成された層は、堆積の密度の増大によってもまた機能強化される。これは、約600℃までのより良好な反射率での利用の促進を可能にすることができることになる。また、表面粗度の低下も結果として得られ、これは、界面障壁機能を促進させて反射率を高める。通常のMo/Siへの加熱は、高温でのより多くの混合を生じさせる可能性があるが、例えば、MoSi/Si、MoC/Si、Mo/SiC、Mo/SiBである混合の傾向の少ない層、及び式中XがC、SiC、Si窒化物、Si酸窒化物及びホウ化物及びホウ窒化物であるMo/X/Si/Xの間の障壁層に対しては堆積中の加熱による混合はより少ない可能性がある。これは、例えば、Y及びその化合物に対しても適用することができ、ホウ化物、炭化物、及び酸窒化物は、良好な拡散障壁であり、非常に薄い層において低反射率及び低吸収性を有する。これらの材料は、例えば、シリコンの酸化によって形成されている時のSiOを窒素、ホウ素、又は窒化ホウ素でドープすることによって形成することができる。
本発明の実施形態の態様に従って、MoSi−MoSi−MoSi−SiのMLMスタック内のMoSiサンドイッチ層で挟まれたMoSi主吸収体の使用を本出願人は開示し、特許請求の範囲はそれに関するものであり、これは、より良好なEUV反射率のために主吸収体層(Siはスペーサ層である)内のMoの量を高めるためのものであり、かつ約700℃までの境界の混合を回避することによって高温安定性を改善させるために、互いに相平衡の状態にある層が互いに境界を接することもまた保たれる。
本発明の実施形態の態様に従って、Mo−Ru及びY−Zr合金層の多層スタックを本出願人は開示し、特許請求の範囲はそれに関するものであり、このスタックは、障壁層を有するか又は有せず、その障壁層は、ZrN又は他の窒化物、炭化物、又はホウ化物とすることができ、キャップ2元層の最上部層としては、ZrN又は他の窒化物又は炭化物又はホウ化物又はY−Zrのいずれかであり、ZrNが最上部層の時に、キャップ層への界面として下に重なる2元層のMo−Ru吸収体層がある。また、拡散障壁層は、例えばリチウム拡散を低減させるためにアモルファスとすることができる。Y−Zrスペーサ合金であるイットリウム安定化ジルコニウムは、非常に良好な安定化障壁層として機能することができる。
本出願人は、ルテニウムを超える改良として、リチウム拡散とMLMハロゲンエッチングとの障壁のキャップ層としてのMgF又はLiFの使用もまた提案する。先に参照した本出願の本出願人に譲渡された現在特許出願中の特許出願に説明されているように、様々なデブリ管理手法を例えば反射器であるEUV源チャンバ集光器光学器械の保護に利用することができ、その手法は、例えばMLM集光器を苛酷な環境に露出する可能性があり、その一例は、プラズマ形成デブリをエッチングするためにチャンバに収容されたハロゲンの使用であり、そのデブリは、例えば原料物質デブリ又は恐らくより重要には原料物質デブリの化合物などの化合物であり、それらは、他の上述の技術によっては除去がより困難である。この理由のために、本出願人は、プラズマ原料物質の拡散に抵抗することができ、MLM外側キャップ層からプラズマデブリをエッチングするために使用される例えばハロゲンであるエッチング液によるエッチングにもまた抵抗することができるMLMのためのキャップ層を提案する。上述のように、これらは、MgF又はLiFのような例えばフッ素含有コーティングであるハロゲン含有コーティングを含むことができる。
上記に開示した本発明の実施形態の態様は、単に好ましい実施形態であるものとし、いかなる点でも本発明の開示を限定するようには、特に特定の好ましい実施形態だけに限定するようには意図していないことを当業者は理解するであろう。多くの変更及び修正を開示した発明の実施形態の開示した態様に対して行うことができ、そのことは当業者によって理解され認められるであろう。特許請求の範囲は、範囲及び意味において、本発明の実施形態の開示した態様のみならず、当業者に明白であると考えられるそのような均等物及び他の修正及び変更を網羅するものとする。上述の本発明の実施形態の開示及び請求された態様への変更及び修正に加えて、以下の事項を実施することができると考えられる。本出願内で大きな2元層内によってMLM層かつ特に吸収体及びスペーサを組み合わせて構成するための適切な材料から選択されたものとしてキャップ層又はスタック層又は周期的2元拡散阻止層として説明されたかに関わらず、本出願人が何らかの代表的な寸法を提供したが、当業者は、例えば厚みである寸法が変化する可能性があることを理解し、適切な反射率に対してそのような寸法を適切に判断する方法、かつこの反射率が13.5nmに対する以外のEUV範囲内のターゲット中心波長に対して達成することができることを十分に理解するであろう。これらはまた、同じ材料に関しても、例えば様々な層を形成するコーティングの堆積の様式によっても変えることができ、その様式は、例えば堆積される材料の密度に影響を与える上述の方法及びそこにおいて屈折率を変更することができるドーパントの変更、アモルファスから結晶性へのような結晶構造の変更などを含む他の方法である。当業者には、本明細書で上述のように、多くの場合に、特定の材料がMLM内の層のための材料の例と同様に有用であると示された時に、その材料の化合物及び合金が、EUV源チャンバ反射光学多層膜反射器のための同じか又は同様に十分な特性を多くの場合に有し、従って、具体的に開示したか又は特許請求の範囲に記載の材料に対する置換でもあることが当業者によって理解されることもまた既知であろう。また、少なくとも1つの実施形態の態様に関して先に説明したように、特許請求の範囲を含む本出願で使用される場合の「2元層」は、適切な場合には、当業者によって理解されるように、2元層の構成要素としての「サンドイッチ」層及び他の多層膜、例えば、上述のようなより良好な界面相平衡を利用するために使用する例えば上述のサンドイッチ吸収層を網羅するものとすることが理解されるであろう。
多層膜ミラー(MLM)を縮尺通りではなく示す概略図である。 例えば図1のMLMを改良する本発明の実施形態の態様によるMLMの構造及び組成を縮尺通りではなく示す概略図である。 多層膜ミラーを縮尺通りではなく示す概略図である。 例えば図3のMLMを改良する本発明の実施形態の態様によるMLMの構造及び組成を縮尺通りではなく示す概略図である。 例えばEUV多層スタック集光/導光ミラーのための保護コーティングとしてリチウムに適合するコーティング、例えばイットリウム(例えば、酸化イットリウム、Yの形態で)を用いる本発明の実施形態の態様を縮尺通りではなく示す概略図である。 本発明の実施形態の態様によるMLMの構造及び組成を縮尺通りではなく示す概略図である。 本発明の実施形態の態様によるMLMの構造及び組成を縮尺通りではなく示す概略図である。 本発明の実施形態の態様によるMLMの構造及び組成を縮尺通りではなく示す概略図である。 本発明の実施形態の態様によるMLMの構造及び組成を縮尺通りではなく示す概略図である。 本発明の実施形態の態様によるMLMの構造及び組成を縮尺通りではなく示す概略図である。 本発明の実施形態の態様によるMLMの構造及び組成を縮尺通りではなく示す概略図である。 本発明の実施形態の態様によるMLMの構造及び組成を縮尺通りではなく示す概略図である。 層の数に対するY/Mo/Si/MoのMLMの反射率を示す図である。
符号の説明
100 多層膜ミラー
102 基板
104 2元層
110 サンドイッチ層
120 キャップ層

Claims (75)

  1. 多層スタック、
    を含み、
    前記多層スタックは、第2の材料でドープされた第1の材料を含む該多層スタック内の少なくとも1つの2元層を含む、
    ことを特徴とするプラズマ発生EUV光源反射要素。
  2. 前記第1の材料は、誘電体を含む、
    ことを更に含むことを特徴とする請求項1に記載の機器。
  3. 前記少なくとも1つの層は、スペーサ層を含む、
    ことを更に含むことを特徴とする請求項1に記載の機器。
  4. 前記少なくとも1つの層は、スペーサ層を含む、
    ことを更に含むことを特徴とする請求項2に記載の機器。
  5. 前記第1の材料は、シリコンを含む、
    ことを更に含むことを特徴とする請求項1に記載の機器。
  6. 前記第2の材料は、n型ドーパントを含む、
    ことを更に含むことを特徴とする請求項5に記載の機器。
  7. 前記少なくとも1つの2元層内の少なくとも1つの層は、シリコンを含む組合せである、
    ことを更に含むことを特徴とする請求項6に記載の機器。
  8. 多層膜ミラースタック、
    を含み、
    前記多層膜ミラースタックは、
    EUV透明金属を含む第1の層と、反応性EUVプラズマ原料物質の化合物を含むEUV吸収性材料を含む第2の層とを含む2元キャップ層を含むキャップ層、
    を含む、
    ことを特徴とするプラズマ発生EUV光源反射要素。
  9. 前記反応性EUVプラズマ材料は、リチウムを含む、
    ことを更に含むことを特徴とする請求項8に記載の機器。
  10. 前記化合物は、3−9族周期数5の金属の少なくとも1つを含む、
    ことを更に含むことを特徴とする請求項9に記載の機器。
  11. 前記化合物は、該化合物の酸化物を含む、
    ことを更に含むことを特徴とする請求項10に記載の機器。
  12. 前記化合物は、LiNbO3を含む、
    ことを更に含むことを特徴とする請求項11に記載の機器。
  13. 前記2元キャップ層において、前記第1の層は、スペーサ層を含み、前記第2の層は、吸収体層を含む、
    ことを更に含むことを特徴とする請求項12に記載の機器。
  14. 前記第2の層は、上部層を含む、
    ことを更に含むことを特徴とする請求項13に記載の機器。
  15. 前記2元キャップ層と前記多層スタックの残りとの間の真空含有層、
    を更に含むことを特徴とする請求項14に記載の機器。
  16. 吸収体層を形成する材料の第1の層とスペーサ層を形成する該材料の第2の層とを含む多層膜ミラースタック、
    を含み、
    前記第1の層は、前記第2の層と異なる密度である、
    ことを特徴とするプラズマ発生EUV光源反射要素。
  17. 前記第1及び第2の層の少なくとも一方は、導電率を高めるためにドープされている、
    ことを更に含むことを特徴とする請求項16に記載の機器。
  18. 前記材料は、シリコンの化合物である、
    ことを更に含むことを特徴とする請求項17に記載の機器。
  19. 前記材料は、SiとCの組合せを含む、
    ことを更に含むことを特徴とする請求項18に記載の機器。
  20. 前記材料は、SiCを含む、
    ことを更に含むことを特徴とする請求項19に記載の機器。
  21. Mo吸収体層とイットリウムを含むスペーサ層とを含む2元キャップ層を含む多層膜ミラースタック、
    を含むことを特徴とするプラズマ発生EUV光源反射要素。
  22. 前記スペーサ層は、イットリウムの化合物を含む、
    ことを更に含むことを特徴とする請求項21に記載の機器。
  23. 前記スペーサ層は、イットリアを含む、
    ことを含むことを特徴とする請求項21に記載の機器。
  24. 前記多層膜ミラースタックは、前記2元キャップ層と同じ材料を含む複数の2元層を含む、
    ことを更に含むことを特徴とする請求項23に記載の機器。
  25. 前記多層膜ミラースタックは、前記2元キャップ層とは異なる少なくとも1つの材料を含む複数の2元層を含む、
    ことを更に含むことを特徴とする請求項24に記載の機器。
  26. 前記少なくとも1つの材料は、シリコンを含む、
    ことを更に含むことを特徴とする請求項25に記載の機器。
  27. 前記多層膜ミラースタックは、前記2元キャップ層と同じ材料を含む少なくとも1つの他の2元層と、該2元キャップ層とは異なる少なくとも1つの材料を含む少なくとも1つの他の2元層との組合せを含む、
    ことを更に含むことを特徴とする請求項26に記載の機器。
  28. 2元層内の第1の層と2元層内の第2の層との中間にある少なくとも1つの中間拡散障壁層、
    を更に含むことを特徴とする請求項27に記載の機器。
  29. 前記中間拡散障壁層は、フッ化物又は酸化物を含む、
    ことを更に含むことを特徴とする請求項28に記載の機器。
  30. 前記中間層は、希土類金属フッ化物、窒化物、及び酸化物、耐熱金属フッ化物、窒化物、及び酸化物、他の窒化物、ホウ化物、及びケイ化物から成る群から選択された材料を含む、
    ことを更に含むことを特徴とする請求項29に記載の機器。
  31. イットリウム−ジルコニウム合金又はイットリウム−ジルコニア合金を含むキャップ層を含む多層膜ミラースタック、
    を含むことを特徴とするプラズマ発生EUV光源反射要素。
  32. 前記キャップ層は、金属性吸収層を含む2元キャップ層を含む、
    ことを更に含むことを特徴とする請求項31に記載の機器。
  33. 前記金属性吸収層は、Ruを含む、
    ことを更に含むことを特徴とする請求項32に記載の機器。
  34. Ruを含む上面コーティング層の下側に前記イットリウム−ジルコニウム又はイットリウム−ジルコニア層を含む2元キャップ層、
    を更に含むことを特徴とする請求項32に記載の機器。
  35. 前記上部コーティング層は、Ru合金を含む、
    ことを更に含むことを特徴とする請求項33に記載の機器。
  36. 前記Ru合金は、Ru−Moを含む、
    ことを更に含むことを特徴とする請求項33に記載の機器。
  37. 酸窒化ケイ素拡散障壁層、
    を含むことを特徴とするプラズマ発生EUV光源反射要素。
  38. 前記酸窒化ケイ素層は、SiO2層をNでドープすることにより又はSiO2層を窒素環境内で反応性スパッタリングすることにより形成される、
    ことを更に含むことを特徴とする請求項37に記載の機器。
  39. 前記拡散障壁層は、キャップ層を含む、
    ことを更に含むことを特徴とする請求項38に記載の機器。
  40. 前記拡散障壁層は、2元キャップ層の一部を含む、
    ことを更に含むことを特徴とする請求項39に記載の機器。
  41. プラズマ発生EUV光源反射要素を作製する方法であって、
    層内に堆積されている原子の移動度を高め、かつ上面の粗度を低下させるために、多層膜ミラースタック層の堆積中に基板を加熱する段階、
    を含むことを特徴とする方法。
  42. 前記加熱する段階はまた、前記層の密度を高めることも達成する、
    ことを更に含むことを特徴とする請求項41に記載の方法。
  43. 吸収体材料の第2の化合物を含む第1のサンドイッチ吸収体層と該吸収体材料の第3の化合物を含む第2のサンドイッチ吸収体層との中間にある該吸収体材料の第1の化合物を含むサンドイッチ吸収体層を含む吸収体層を含む複数の2元層、
    を含むことを特徴とするプラズマ発生EUV光源反射要素。
  44. 前記吸収体材料の前記第2の化合物は、該吸収体材料の前記第3の化合物と同じである、
    ことを更に含むことを特徴とする請求項43に記載の機器。
  45. 前記第1の化合物と前記第2の化合物との界面は、相平衡の状態にあり、該第1の化合物の前記第3の化合物との界面も相平衡の状態にある、
    ことを更に含むことを特徴とする請求項44に記載の機器。
  46. 前記第1の化合物は、該第1の化合物の吸収体特徴付けを担う吸収体材料成分を前記第2の化合物又は前記第3の化合物よりも高い割合で含有している、
    ことを更に含むことを特徴とする請求項45に記載の機器。
  47. 前記第1の化合物は、第1の金属ケイ化物であり、前記第2及び第3の化合物の少なくとも一方は、第2の金属ケイ化物である、
    ことを更に含むことを特徴とする請求項46に記載の機器。
  48. 前記第1の化合物は、Mo5Si3であり、前記第2及び第3の化合物は、MoSi2である、
    ことを更に含むことを特徴とする請求項47に記載の機器。
  49. 前記2元層は、前記第2及び第3の材料との界面が相平衡の状態にある材料を含むスペーサ層を含む、
    ことを更に含むことを特徴とする請求項48に記載の機器。
  50. スペーサ材料合金を含むスペーサ層と吸収体材料合金を含む吸収体層とを各々が含む複数の2元層を含む多層膜ミラースタック、
    を含むことを特徴とするプラズマ生成EUV光源反射器要素。
  51. 各スペーサ層と吸収体層の間の中間障壁層、
    を更に含むことを特徴とする請求項50に記載の機器。
  52. 前記スペーサ材料合金は、Y−Zrを含み、前記吸収体材料層は、Mo−Ruを含む、
    ことを更に含むことを特徴とする請求項51に記載の機器。
  53. ホウ化物、窒化物、及び炭化物から成る群から選択された材料を含む中間障壁層、
    を更に含むことを特徴とする請求項52に記載の機器。
  54. 前記スペーサ層及び前記吸収体層と同じ材料を含み、かつその上部層がスペーサ層を含む2元キャップ層、
    を更に含むことを特徴とする請求項53に記載の機器。
  55. 前記障壁層は、アモルファス材料を含む、
    ことを更に含むことを特徴とする請求項54に記載の機器。
  56. スペーサ材料合金を含むスペーサ層と吸収体材料合金を含む吸収体層とを各々が含む複数の2元層を含む多層膜ミラースタックと、
    窒化物、ホウ化物、及び炭化物から成る群から選択された材料を含む上部拡散障壁層と、
    を含み、
    前記上部拡散層は、前記吸収体材料合金の上に置かれている、
    ことを特徴とするプラズマ生成EUV光源反射器要素。
  57. 複数の2元層と、リチウム拡散障壁層及びハロゲンエッチング障壁層を含むキャップ層とを含む多層膜ミラースタック、
    を含むことを特徴とするプラズマ生成EUV光源反射器要素。
  58. 前記キャップ層は、フッ素を含有する材料を含む、
    ことを更に含むことを特徴とする請求項57に記載の機器。
  59. 前記障壁層は、MgF2又はLiFを含む、
    ことを更に含むことを特徴とする請求項58に記載の機器。
  60. 第1の吸収体層材料を含む吸収体層の上に重なる第1のスペーサ層材料を含むスペーサ層を含む第1の2元キャップ層と、第2のスペーサ層材料を含むスペーサ層の上に重なる第2のスペーサ層材料を含むスペーサ層を含む第2の2元キャップ層とを含む2重2元キャップ層、
    を含むことを特徴とするプラズマ発生EUV光源反射要素。
  61. 前記第1の吸収体材料は、前記第2の吸収体材料と同じであり、前記第1のスペーサ材料は、前記第2のスペーサ材料とは異なっている、
    ことを更に含むことを特徴とする請求項60に記載の機器。
  62. 前記2重2元キャップ層は、実質的に反射要素全体を通して繰り返され、下に重なる2重2元スタック層を該2重2元キャップ層の下に形成する、
    ことを更に含むことを特徴とする請求項61に記載の機器。
  63. 最初の2重2元キャップ層を含む上に重なる2重2元キャップ層又は該2重2元キャップ層を現在形成している直接上に重なる2重2元スタック層の劣化時に2重2元キャップ層を形成する連続的に下に重なる2重2元スタック層、
    を更に含むことを特徴とする請求項62に記載の機器。
  64. 前記第1のスペーサ層は、イットリウムを含む、
    ことを更に含むことを特徴とする請求項63に記載の機器。
  65. 前記第1のスペーサ層は、イットリウムを含む、
    ことを更に含むことを特徴とする請求項64に記載の機器。
  66. 前記第1及び第2の吸収体材料は、Moを含む、
    ことを更に含むことを特徴とする請求項65に記載の機器。
  67. 前記第2のスペーサ材料は、Siを含む、
    ことを更に含むことを特徴とする請求項66に記載の機器。
  68. 第1のスペーサ層材料を含むスペーサ層の上に重なる第1の吸収体層材料を含む吸収体を含むスペーサ層を含む2元キャップ層と、
    前記2元キャップ層の下に重なる第2の吸収体材料を含む第2の吸収体層と第2のスペーサ材料を含むスペーサ層とを含む複数の規則的な2元スタック層と、
    前記複数の2元スタック層の間に分散した、前記第1の吸収体材料及び前記第1のスペーサ材料を含む分散2元スタック層と、
    を含むことを特徴とするプラズマ発生EUV光源反射要素。
  69. 前記分散2元スタック層は、周期的に分散されている、
    ことを更に含むことを特徴とする請求項68に記載の機器。
  70. 前記複数の規則的なスタック層は、中間混合境界層を含む、
    ことを更に含むことを特徴とする請求項69に記載の機器。
  71. 前記複数の規則的なスタック層は、中間混合境界層を含む、
    ことを更に含むことを特徴とする請求項70に記載の機器。
  72. 前記第1の吸収体材料は、Moを含み、前記第1のスペーサ材料は、Yを含む、
    ことを更に含むことを特徴とする請求項71に記載の機器。
  73. 前記第2の吸収体材料は、Moを含み、前記第2のスペーサ材料は、シリコン化合物を含む、
    ことを更に含むことを特徴とする請求項72に記載の機器。
  74. 前記シリコン化合物は、SiCである、
    ことを更に含むことを特徴とする請求項73に記載の機器。
  75. プラズマ発生EUV光源反射要素を形成する方法であって、
    第1の材料を含むスタック内の少なくとも1つの2元層を含む多層スタックを準備し、該第1の材料を第2の材料でドープする段階、
    を含むことを特徴とする方法。
JP2007502841A 2004-03-10 2005-02-24 Euv光源光学要素 Abandoned JP2007528608A (ja)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US10/798,740 US7217940B2 (en) 2003-04-08 2004-03-10 Collector for EUV light source
US10/803,526 US7087914B2 (en) 2004-03-17 2004-03-17 High repetition rate laser produced plasma EUV light source
US10/900,839 US7164144B2 (en) 2004-03-10 2004-07-27 EUV light source
US10/979,945 US8075732B2 (en) 2004-11-01 2004-11-01 EUV collector debris management
US11/021,261 US7193228B2 (en) 2004-03-10 2004-12-22 EUV light source optical elements
PCT/US2005/006199 WO2005091887A2 (en) 2004-03-10 2005-02-24 Euv light source optical elements

Publications (2)

Publication Number Publication Date
JP2007528608A true JP2007528608A (ja) 2007-10-11
JP2007528608A5 JP2007528608A5 (ja) 2008-04-10

Family

ID=35056666

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007502841A Abandoned JP2007528608A (ja) 2004-03-10 2005-02-24 Euv光源光学要素

Country Status (6)

Country Link
US (2) US7193228B2 (ja)
EP (1) EP1723472A4 (ja)
JP (1) JP2007528608A (ja)
KR (1) KR20070006805A (ja)
TW (1) TWI286871B (ja)
WO (1) WO2005091887A2 (ja)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007109968A (ja) * 2005-10-14 2007-04-26 Hoya Corp 多層反射膜付き基板、その製造方法、反射型マスクブランクおよび反射型マスク
JP2007109971A (ja) * 2005-10-14 2007-04-26 Hoya Corp 多層反射膜付き基板、その製造方法、反射型マスクブランクおよび反射型マスク
JP2008526002A (ja) * 2004-12-23 2008-07-17 フラウンホーファー−ゲゼルシャフト ツル フェルデルング デル アンゲヴァンテン フォルシュング エー ファウ Euvスペクトル範囲のための熱安定性多層ミラー
JP2010500776A (ja) * 2006-08-16 2010-01-07 サイマー インコーポレイテッド Euv光学器械
JP2012500481A (ja) * 2008-08-21 2012-01-05 エーエスエムエル ホールディング エヌ.ブイ. 高熱伝導率を有するeuvレチクル基板
KR20120017435A (ko) * 2009-04-27 2012-02-28 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 검출기 장치
JP2012156506A (ja) * 2011-01-26 2012-08-16 Asml Netherlands Bv リソグラフィ装置用の反射型光コンポーネントおよびデバイス製造方法
JP2014523641A (ja) * 2011-06-15 2014-09-11 エーエスエムエル ネザーランズ ビー.ブイ. 多層ミラー、多層ミラーを生成する方法およびリソグラフィ装置
KR20140143751A (ko) * 2012-03-08 2014-12-17 칼 짜이스 에스엠테 게엠베하 Euv 파장 범위를 위한 미러, 그러한 미러를 생산하기 위한 방법, 및 그러한 미러를 포함하는 투사 노광 장치
JP2015501527A (ja) * 2011-09-27 2015-01-15 カール・ツァイス・エスエムティー・ゲーエムベーハー Euvミラー上に酸化ケイ素から成るキャップ層を生成する方法、euvミラー及びeuvリソグラフィ装置
KR20150064087A (ko) * 2012-10-04 2015-06-10 에이에스엠엘 네델란즈 비.브이. 가혹 환경 광학 소자 보호
KR20150130371A (ko) * 2013-03-12 2015-11-23 어플라이드 머티어리얼스, 인코포레이티드 비결정질 층 극자외선 리소그래피 블랭크, 및 그를 위한 제조 및 리소그래피 시스템들
JP2016514279A (ja) * 2013-02-15 2016-05-19 エーエスエムエル ネザーランズ ビー.ブイ. 放射源コレクタ及び製造方法
JP2016531319A (ja) * 2013-08-07 2016-10-06 カール・ツァイス・エスエムティー・ゲーエムベーハー 特にマイクロリソグラフィ投影露光装置のミラー
JP2017506356A (ja) * 2014-02-07 2017-03-02 エーエスエムエル ネザーランズ ビー.ブイ. ブリスタ耐性のある多層キャップを有するeuv光学素子
US10209613B2 (en) 2013-03-12 2019-02-19 Applied Materials, Inc. System and method for manufacturing planarized extreme ultraviolet lithography blank
WO2019077736A1 (ja) * 2017-10-20 2019-04-25 ギガフォトン株式会社 極端紫外光用ミラー及び極端紫外光生成装置
US10788744B2 (en) 2013-03-12 2020-09-29 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US20210057864A1 (en) * 2019-08-19 2021-02-25 Iradion Laser, Inc. Enhanced waveguide surface in gas lasers

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10150874A1 (de) * 2001-10-04 2003-04-30 Zeiss Carl Optisches Element und Verfahren zu dessen Herstellung sowie ein Lithographiegerät und ein Verfahren zur Herstellung eines Halbleiterbauelements
US7217940B2 (en) * 2003-04-08 2007-05-15 Cymer, Inc. Collector for EUV light source
JP2005235959A (ja) * 2004-02-18 2005-09-02 Canon Inc 光発生装置及び露光装置
US7193228B2 (en) * 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
US7336416B2 (en) * 2005-04-27 2008-02-26 Asml Netherlands B.V. Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
US7750326B2 (en) * 2005-06-13 2010-07-06 Asml Netherlands B.V. Lithographic apparatus and cleaning method therefor
DE102006006283B4 (de) * 2006-02-10 2015-05-21 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Thermisch stabiler Multilayer-Spiegel für den EUV-Spektralbereich
WO2008043577A1 (en) * 2006-10-13 2008-04-17 Media Lario S.R.L. Coated mirrors and their fabication
TWI427334B (zh) * 2007-02-05 2014-02-21 Zeiss Carl Smt Gmbh Euv蝕刻裝置反射光學元件
EP1965229A3 (en) * 2007-02-28 2008-12-10 Corning Incorporated Engineered fluoride-coated elements for laser systems
US7960701B2 (en) * 2007-12-20 2011-06-14 Cymer, Inc. EUV light source components and methods for producing, using and refurbishing same
DE102008002403A1 (de) * 2008-06-12 2009-12-17 Carl Zeiss Smt Ag Verfahren zum Herstellen einer Mehrlagen-Beschichtung, optisches Element und optische Anordnung
JP2011527436A (ja) * 2008-07-07 2011-10-27 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ スパッタ耐性材料を含む極紫外線放射反射要素
DE102008040265A1 (de) * 2008-07-09 2010-01-14 Carl Zeiss Smt Ag Reflektives optisches Element und Verfahren zu seiner Herstellung
US7641349B1 (en) 2008-09-22 2010-01-05 Cymer, Inc. Systems and methods for collector mirror temperature control using direct contact heat transfer
US8284815B2 (en) * 2008-10-21 2012-10-09 Cymer, Inc. Very high power laser chamber optical improvements
DE102009017095A1 (de) * 2009-04-15 2010-10-28 Carl Zeiss Smt Ag Spiegel für den EUV-Wellenlängenbereich, Projektionsobjektiv für die Mikrolithographie mit einem solchen Spiegel und Projektionsbelichtungsanlage für die Mikrolithographie mit einem solchen Projektionsobjektiv
US8050380B2 (en) * 2009-05-05 2011-11-01 Media Lario, S.R.L. Zone-optimized mirrors and optical systems using same
US7993937B2 (en) * 2009-09-23 2011-08-09 Tokyo Electron Limited DC and RF hybrid processing system
JP2012222349A (ja) * 2011-04-05 2012-11-12 Asml Netherlands Bv 多層ミラーおよびリソグラフィ装置
DE102012207141A1 (de) * 2012-04-27 2013-10-31 Carl Zeiss Laser Optics Gmbh Verfahren zur Reparatur von optischen Elementen sowie optisches Element
US9417515B2 (en) 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
EP2998980A4 (en) * 2013-05-09 2016-11-16 Nikon Corp OPTICAL ELEMENT, OPTICAL PROJECTION SYSTEM, EXPOSURE DEVICE AND METHOD FOR PRODUCING THE DEVICE
DE102013107192A1 (de) 2013-07-08 2015-01-08 Carl Zeiss Laser Optics Gmbh Reflektives optisches Element für streifenden Einfall im EUV-Wellenlängenbereich
FR3010074B1 (fr) * 2013-09-05 2019-08-02 Saint-Gobain Glass France Procede de fabrication d'un materiau comprenant un substrat muni d'une couche fonctionnelle a base d'oxyde d'etain et d'indium
DE102013221550A1 (de) * 2013-10-23 2015-04-23 Carl Zeiss Smt Gmbh Vielschichtstruktur für EUV-Spiegel
US9696467B2 (en) * 2014-01-31 2017-07-04 Corning Incorporated UV and DUV expanded cold mirrors
US9869013B2 (en) * 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9581890B2 (en) * 2014-07-11 2017-02-28 Applied Materials, Inc. Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof
US20170003419A1 (en) * 2015-06-30 2017-01-05 Supriya Jaiswal Coatings for extreme ultraviolet and soft x-ray optics
US20220260756A1 (en) * 2019-07-16 2022-08-18 Asml Netherlands B.V. Oxygen-loss resistant top coating for optical elements
KR20210016142A (ko) 2019-07-31 2021-02-15 삼성전자주식회사 Euv 레티클 검사 방법, 레티클 제조 방법 및 그를 포함하는 반도체 소자의 제조 방법
DE102019212736A1 (de) * 2019-08-26 2021-03-04 Carl Zeiss Smt Gmbh Optisches Element zur Reflexion von EUV-Strahlung und EUV-Lithographiesystem
US20230400716A1 (en) * 2022-06-13 2023-12-14 HyperLight Corporation Diffusion barrier layer in lithium niobate-containing photonic devices

Family Cites Families (121)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2759106A (en) 1951-05-25 1956-08-14 Wolter Hans Optical image-forming mirror system providing for grazing incidence of rays
US3279176A (en) 1959-07-31 1966-10-18 North American Aviation Inc Ion rocket engine
US3150483A (en) 1962-05-10 1964-09-29 Aerospace Corp Plasma generator and accelerator
US3232046A (en) 1962-06-06 1966-02-01 Aerospace Corp Plasma generator and propulsion exhaust system
US3746870A (en) 1970-12-21 1973-07-17 Gen Electric Coated light conduit
US3969628A (en) 1974-04-04 1976-07-13 The United States Of America As Represented By The Secretary Of The Army Intense, energetic electron beam assisted X-ray generator
US4042848A (en) 1974-05-17 1977-08-16 Ja Hyun Lee Hypocycloidal pinch device
US3946332A (en) 1974-06-13 1976-03-23 Samis Michael A High power density continuous wave plasma glow jet laser system
US3961197A (en) 1974-08-21 1976-06-01 The United States Of America As Represented By The United States Energy Research And Development Administration X-ray generator
US3960473A (en) 1975-02-06 1976-06-01 The Glastic Corporation Die structure for forming a serrated rod
US4143278A (en) * 1977-05-16 1979-03-06 Geo. Koch Sons, Inc. Radiation cure reactor
US4162160A (en) 1977-08-25 1979-07-24 Fansteel Inc. Electrical contact material and method for making the same
US4143275A (en) 1977-09-28 1979-03-06 Battelle Memorial Institute Applying radiation
US4203393A (en) 1979-01-04 1980-05-20 Ford Motor Company Plasma jet ignition engine and method
JPS5756668A (en) 1980-09-18 1982-04-05 Nissan Motor Co Ltd Plasma igniter
US4364342A (en) 1980-10-01 1982-12-21 Ford Motor Company Ignition system employing plasma spray
USRE34806E (en) 1980-11-25 1994-12-13 Celestech, Inc. Magnetoplasmadynamic processor, applications thereof and methods
JPS5946105B2 (ja) * 1981-10-27 1984-11-10 日本電信電話株式会社 バイポ−ラ型トランジスタ装置及びその製法
US4538291A (en) 1981-11-09 1985-08-27 Kabushiki Kaisha Suwa Seikosha X-ray source
US4504964A (en) 1982-09-20 1985-03-12 Eaton Corporation Laser beam plasma pinch X-ray system
US4633492A (en) 1982-09-20 1986-12-30 Eaton Corporation Plasma pinch X-ray method
US4536884A (en) 1982-09-20 1985-08-20 Eaton Corporation Plasma pinch X-ray apparatus
US4618971A (en) 1982-09-20 1986-10-21 Eaton Corporation X-ray lithography system
US4507588A (en) 1983-02-28 1985-03-26 Board Of Trustees Operating Michigan State University Ion generating apparatus and method for the use thereof
DE3332711A1 (de) 1983-09-10 1985-03-28 Fa. Carl Zeiss, 7920 Heidenheim Vorrichtung zur erzeugung einer plasmaquelle mit hoher strahlungsintensitaet im roentgenbereich
JPS60175351A (ja) 1984-02-14 1985-09-09 Nippon Telegr & Teleph Corp <Ntt> X線発生装置およびx線露光法
US4561406A (en) 1984-05-25 1985-12-31 Combustion Electromagnetics, Inc. Winged reentrant electromagnetic combustion chamber
US4837794A (en) 1984-10-12 1989-06-06 Maxwell Laboratories Inc. Filter apparatus for use with an x-ray source
US4626193A (en) 1985-08-02 1986-12-02 Itt Corporation Direct spark ignition system
US4774914A (en) 1985-09-24 1988-10-04 Combustion Electromagnetics, Inc. Electromagnetic ignition--an ignition system producing a large size and intense capacitive and inductive spark with an intense electromagnetic field feeding the spark
CA1239486A (en) 1985-10-03 1988-07-19 Rajendra P. Gupta Gas discharge derived annular plasma pinch x-ray source
CA1239487A (en) 1985-10-03 1988-07-19 National Research Council Of Canada Multiple vacuum arc derived plasma pinch x-ray source
US4914500A (en) * 1987-12-04 1990-04-03 At&T Bell Laboratories Method for fabricating semiconductor devices which include sources and drains having metal-containing material regions, and the resulting devices
US4928020A (en) 1988-04-05 1990-05-22 The United States Of America As Represented By The United States Department Of Energy Saturable inductor and transformer structures for magnetic pulse compression
DE3927089C1 (ja) 1989-08-17 1991-04-25 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 8000 Muenchen, De
US5102776A (en) 1989-11-09 1992-04-07 Cornell Research Foundation, Inc. Method and apparatus for microlithography using x-pinch x-ray source
US5027076A (en) 1990-01-29 1991-06-25 Ball Corporation Open cage density sensor
US5171360A (en) 1990-08-30 1992-12-15 University Of Southern California Method for droplet stream manufacturing
US5259593A (en) 1990-08-30 1993-11-09 University Of Southern California Apparatus for droplet stream manufacturing
US5226948A (en) 1990-08-30 1993-07-13 University Of Southern California Method and apparatus for droplet stream manufacturing
US5175755A (en) 1990-10-31 1992-12-29 X-Ray Optical System, Inc. Use of a kumakhov lens for x-ray lithography
US5126638A (en) 1991-05-13 1992-06-30 Maxwell Laboratories, Inc. Coaxial pseudospark discharge switch
US5142166A (en) 1991-10-16 1992-08-25 Science Research Laboratory, Inc. High voltage pulsed power source
JPH0816720B2 (ja) 1992-04-21 1996-02-21 日本航空電子工業株式会社 軟x線多層膜反射鏡
US5709745A (en) * 1993-01-25 1998-01-20 Ohio Aerospace Institute Compound semi-conductors and controlled doping thereof
US5411224A (en) 1993-04-08 1995-05-02 Dearman; Raymond M. Guard for jet engine
US5504785A (en) * 1993-05-28 1996-04-02 Tv/Com Technologies, Inc. Digital receiver for variable symbol rate communications
US5313481A (en) 1993-09-29 1994-05-17 The United States Of America As Represented By The United States Department Of Energy Copper laser modulator driving assembly including a magnetic compression laser
US5448580A (en) 1994-07-05 1995-09-05 The United States Of America As Represented By The United States Department Of Energy Air and water cooled modulator
US5521031A (en) * 1994-10-20 1996-05-28 At&T Corp. Pattern delineating apparatus for use in the EUV spectrum
US5504795A (en) 1995-02-06 1996-04-02 Plex Corporation Plasma X-ray source
DE69628514T2 (de) 1995-02-17 2004-04-29 Cymer, Inc., San Diego Leistungspulsgenerator mit energierückgewinnung
US6186192B1 (en) 1995-09-25 2001-02-13 Rapid Analysis And Development Company Jet soldering system and method
US5894985A (en) 1995-09-25 1999-04-20 Rapid Analysis Development Company Jet soldering system and method
US6276589B1 (en) 1995-09-25 2001-08-21 Speedline Technologies, Inc. Jet soldering system and method
US5894980A (en) 1995-09-25 1999-04-20 Rapid Analysis Development Comapny Jet soldering system and method
US5938102A (en) 1995-09-25 1999-08-17 Muntz; Eric Phillip High speed jet soldering system
US5861321A (en) * 1995-11-21 1999-01-19 Texas Instruments Incorporated Method for doping epitaxial layers using doped substrate material
US5830336A (en) 1995-12-05 1998-11-03 Minnesota Mining And Manufacturing Company Sputtering of lithium
US6224180B1 (en) 1997-02-21 2001-05-01 Gerald Pham-Van-Diep High speed jet soldering system
US5963616A (en) 1997-03-11 1999-10-05 University Of Central Florida Configurations, materials and wavelengths for EUV lithium plasma discharge lamps
US6031241A (en) * 1997-03-11 2000-02-29 University Of Central Florida Capillary discharge extreme ultraviolet lamp source for EUV microlithography and other related applications
JP3385898B2 (ja) 1997-03-24 2003-03-10 安藤電気株式会社 可変波長半導体レーザ光源
US5936988A (en) 1997-12-15 1999-08-10 Cymer, Inc. High pulse rate pulse power system
US5866871A (en) 1997-04-28 1999-02-02 Birx; Daniel Plasma gun and methods for the use thereof
US6172324B1 (en) 1997-04-28 2001-01-09 Science Research Laboratory, Inc. Plasma focus radiation source
US6586757B2 (en) 1997-05-12 2003-07-01 Cymer, Inc. Plasma focus light source with active and buffer gas control
US6566667B1 (en) 1997-05-12 2003-05-20 Cymer, Inc. Plasma focus light source with improved pulse power system
US6744060B2 (en) 1997-05-12 2004-06-01 Cymer, Inc. Pulse power system for extreme ultraviolet and x-ray sources
US6452199B1 (en) 1997-05-12 2002-09-17 Cymer, Inc. Plasma focus high energy photon source with blast shield
US6064072A (en) 1997-05-12 2000-05-16 Cymer, Inc. Plasma focus high energy photon source
US6566668B2 (en) 1997-05-12 2003-05-20 Cymer, Inc. Plasma focus light source with tandem ellipsoidal mirror units
US6815700B2 (en) 1997-05-12 2004-11-09 Cymer, Inc. Plasma focus light source with improved pulse power system
US5763930A (en) 1997-05-12 1998-06-09 Cymer, Inc. Plasma focus high energy photon source
JPH1138192A (ja) * 1997-07-17 1999-02-12 Nikon Corp 多層膜反射鏡
US6119086A (en) * 1998-04-28 2000-09-12 International Business Machines Corporation Speech coding via speech recognition and synthesis based on pre-enrolled phonetic tokens
US6580517B2 (en) 2000-03-01 2003-06-17 Lambda Physik Ag Absolute wavelength calibration of lithography laser using multiple element or tandem see through hollow cathode lamp
JP2000091096A (ja) 1998-09-14 2000-03-31 Nikon Corp X線発生装置
US6285743B1 (en) 1998-09-14 2001-09-04 Nikon Corporation Method and apparatus for soft X-ray generation
US6031598A (en) 1998-09-25 2000-02-29 Euv Llc Extreme ultraviolet lithography machine
US6307913B1 (en) 1998-10-27 2001-10-23 Jmar Research, Inc. Shaped source of soft x-ray, extreme ultraviolet and ultraviolet radiation
US6228512B1 (en) * 1999-05-26 2001-05-08 The Regents Of The University Of California MoRu/Be multilayers for extreme ultraviolet applications
TW561279B (en) * 1999-07-02 2003-11-11 Asml Netherlands Bv Reflector for reflecting radiation in a desired wavelength range, lithographic projection apparatus containing the same and method for their preparation
US6746870B1 (en) * 1999-07-23 2004-06-08 The Regents Of The University Of California DNA recombination in eukaryotic cells by the bacteriophage PHIC31 recombination system
US6317448B1 (en) 1999-09-23 2001-11-13 Cymer, Inc. Bandwidth estimating technique for narrow band laser
JP2001110709A (ja) * 1999-10-08 2001-04-20 Nikon Corp 多層膜反射鏡及び露光装置ならびに集積回路の製造方法。
US6377651B1 (en) 1999-10-11 2002-04-23 University Of Central Florida Laser plasma source for extreme ultraviolet lithography using a water droplet target
US6831963B2 (en) 2000-10-20 2004-12-14 University Of Central Florida EUV, XUV, and X-Ray wavelength sources created from laser plasma produced from liquid metal solutions
TWI246872B (en) 1999-12-17 2006-01-01 Asml Netherlands Bv Radiation source for use in lithographic projection apparatus
US6493423B1 (en) 1999-12-24 2002-12-10 Koninklijke Philips Electronics N.V. Method of generating extremely short-wave radiation, method of manufacturing a device by means of said radiation, extremely short-wave radiation source unit and lithographic projection apparatus provided with such a radiation source unit
US6195272B1 (en) 2000-03-16 2001-02-27 Joseph E. Pascente Pulsed high voltage power supply radiography system having a one to one correspondence between low voltage input pulses and high voltage output pulses
DE10016008A1 (de) 2000-03-31 2001-10-11 Zeiss Carl Villagensystem und dessen Herstellung
US7261957B2 (en) * 2000-03-31 2007-08-28 Carl Zeiss Smt Ag Multilayer system with protecting layer system and production method
US6647086B2 (en) 2000-05-19 2003-11-11 Canon Kabushiki Kaisha X-ray exposure apparatus
US6491737B2 (en) 2000-05-22 2002-12-10 The Regents Of The University Of California High-speed fabrication of highly uniform ultra-small metallic microspheres
US6520402B2 (en) 2000-05-22 2003-02-18 The Regents Of The University Of California High-speed direct writing with metallic microspheres
US6562099B2 (en) 2000-05-22 2003-05-13 The Regents Of The University Of California High-speed fabrication of highly uniform metallic microspheres
US6904073B2 (en) 2001-01-29 2005-06-07 Cymer, Inc. High power deep ultraviolet laser with long life optics
JP2002006096A (ja) * 2000-06-23 2002-01-09 Nikon Corp 電磁波発生装置、これを用いた半導体製造装置並びに半導体デバイスの製造方法
US6576912B2 (en) 2001-01-03 2003-06-10 Hugo M. Visser Lithographic projection apparatus equipped with extreme ultraviolet window serving simultaneously as vacuum window
EP1360552B1 (en) * 2001-02-05 2005-09-28 Quantiscript Inc. Fabrication of structures of metal/semiconductor compound by x-ray/euv projection lithography
US6583068B2 (en) * 2001-03-30 2003-06-24 Intel Corporation Enhanced inspection of extreme ultraviolet mask
US6804327B2 (en) * 2001-04-03 2004-10-12 Lambda Physik Ag Method and apparatus for generating high output power gas discharge based source of extreme ultraviolet radiation and/or soft x-rays
US6396900B1 (en) 2001-05-01 2002-05-28 The Regents Of The University Of California Multilayer films with sharp, stable interfaces for use in EUV and soft X-ray application
US7053988B2 (en) * 2001-05-22 2006-05-30 Carl Zeiss Smt Ag. Optically polarizing retardation arrangement, and microlithography projection exposure machine
KR100446050B1 (ko) * 2001-06-14 2004-08-30 마츠시다 덴코 가부시키가이샤 헤어드라이어
US20030008148A1 (en) 2001-07-03 2003-01-09 Sasa Bajt Optimized capping layers for EUV multilayers
DE10151080C1 (de) 2001-10-10 2002-12-05 Xtreme Tech Gmbh Einrichtung und Verfahren zum Erzeugen von extrem ultravioletter (EUV-)Strahlung auf Basis einer Gasentladung
US6624441B2 (en) * 2002-02-07 2003-09-23 Eagle-Picher Technologies, Llc Homoepitaxial layers of p-type zinc oxide and the fabrication thereof
DE10208705B4 (de) * 2002-02-25 2008-10-30 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Monochromatorspiegel für den EUV-Spektralbereich
US6867420B2 (en) * 2002-06-03 2005-03-15 The Regents Of The University Of California Solid-state detector and optical system for microchip analyzers
US6806006B2 (en) * 2002-07-15 2004-10-19 International Business Machines Corporation Integrated cooling substrate for extreme ultraviolet reticle
US7129010B2 (en) * 2002-08-02 2006-10-31 Schott Ag Substrates for in particular microlithography
US6986971B2 (en) * 2002-11-08 2006-01-17 Freescale Semiconductor, Inc. Reflective mask useful for transferring a pattern using extreme ultraviolet (EUV) radiation and method of making the same
US7691279B2 (en) * 2003-03-27 2010-04-06 Hoya Corporation Method of producing a glass substrate for a mask blank and method of producing a mask blank
JP4219718B2 (ja) * 2003-03-28 2009-02-04 Hoya株式会社 Euvマスクブランクス用ガラス基板の製造方法及びeuvマスクブランクスの製造方法
US7217940B2 (en) * 2003-04-08 2007-05-15 Cymer, Inc. Collector for EUV light source
US6855984B1 (en) * 2003-10-30 2005-02-15 Texas Instruments Incorporated Process to reduce gate edge drain leakage in semiconductor devices
US7193228B2 (en) * 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
US7087914B2 (en) * 2004-03-17 2006-08-08 Cymer, Inc High repetition rate laser produced plasma EUV light source
US7164144B2 (en) * 2004-03-10 2007-01-16 Cymer Inc. EUV light source

Cited By (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008526002A (ja) * 2004-12-23 2008-07-17 フラウンホーファー−ゲゼルシャフト ツル フェルデルング デル アンゲヴァンテン フォルシュング エー ファウ Euvスペクトル範囲のための熱安定性多層ミラー
JP4904287B2 (ja) * 2004-12-23 2012-03-28 フラウンホーファー−ゲゼルシャフト ツル フェルデルング デル アンゲヴァンテン フォルシュング エー ファウ Euvスペクトル範囲のための熱安定性多層ミラー
JP4703353B2 (ja) * 2005-10-14 2011-06-15 Hoya株式会社 多層反射膜付き基板、その製造方法、反射型マスクブランクおよび反射型マスク
JP2007109968A (ja) * 2005-10-14 2007-04-26 Hoya Corp 多層反射膜付き基板、その製造方法、反射型マスクブランクおよび反射型マスク
JP4703354B2 (ja) * 2005-10-14 2011-06-15 Hoya株式会社 多層反射膜付き基板、その製造方法、反射型マスクブランクおよび反射型マスク
JP2007109971A (ja) * 2005-10-14 2007-04-26 Hoya Corp 多層反射膜付き基板、その製造方法、反射型マスクブランクおよび反射型マスク
JP2010500776A (ja) * 2006-08-16 2010-01-07 サイマー インコーポレイテッド Euv光学器械
JP2012500481A (ja) * 2008-08-21 2012-01-05 エーエスエムエル ホールディング エヌ.ブイ. 高熱伝導率を有するeuvレチクル基板
US8736810B2 (en) 2008-08-21 2014-05-27 Asml Holding N.V. EUV reticle substrates with high thermal conductivity
KR101675048B1 (ko) * 2009-04-27 2016-11-10 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 검출기 장치
KR20120017435A (ko) * 2009-04-27 2012-02-28 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 검출기 장치
JP2012524989A (ja) * 2009-04-27 2012-10-18 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置および検出装置
US8934083B2 (en) 2009-04-27 2015-01-13 Asml Netherlands B.V. Lithographic apparatus and detector apparatus
JP2012156506A (ja) * 2011-01-26 2012-08-16 Asml Netherlands Bv リソグラフィ装置用の反射型光コンポーネントおよびデバイス製造方法
US9472313B2 (en) 2011-01-26 2016-10-18 Asml Netherlands B.V. Reflective optical components for lithographic apparatus and device manufacturing method
JP2014523641A (ja) * 2011-06-15 2014-09-11 エーエスエムエル ネザーランズ ビー.ブイ. 多層ミラー、多層ミラーを生成する方法およびリソグラフィ装置
US9448492B2 (en) 2011-06-15 2016-09-20 Asml Netherlands B.V. Multilayer mirror, method of producing a multilayer mirror and lithographic apparatus
JP2015501527A (ja) * 2011-09-27 2015-01-15 カール・ツァイス・エスエムティー・ゲーエムベーハー Euvミラー上に酸化ケイ素から成るキャップ層を生成する方法、euvミラー及びeuvリソグラフィ装置
US9696632B2 (en) 2012-03-08 2017-07-04 Carl Zeiss Smt Gmbh Mirror for the EUV wavelength range, method for producing such a mirror, and projection exposure apparatus comprising such a mirror
KR102037547B1 (ko) 2012-03-08 2019-10-28 칼 짜이스 에스엠테 게엠베하 Euv 파장 범위를 위한 미러, 그러한 미러를 생산하기 위한 방법, 및 그러한 미러를 포함하는 투사 노광 장치
KR20140143751A (ko) * 2012-03-08 2014-12-17 칼 짜이스 에스엠테 게엠베하 Euv 파장 범위를 위한 미러, 그러한 미러를 생산하기 위한 방법, 및 그러한 미러를 포함하는 투사 노광 장치
JP2015515127A (ja) * 2012-03-08 2015-05-21 カール・ツァイス・エスエムティー・ゲーエムベーハー Euv波長範囲用ミラー、該ミラーの製造方法、及び該ミラーを具えた投影露光装置
KR102129384B1 (ko) * 2012-10-04 2020-07-03 에이에스엠엘 네델란즈 비.브이. 가혹 환경 광학 소자 보호
KR20150064087A (ko) * 2012-10-04 2015-06-10 에이에스엠엘 네델란즈 비.브이. 가혹 환경 광학 소자 보호
JP2015533253A (ja) * 2012-10-04 2015-11-19 エーエスエムエル ネザーランズ ビー.ブイ. 苛酷環境光学要素保護
JP2016514279A (ja) * 2013-02-15 2016-05-19 エーエスエムエル ネザーランズ ビー.ブイ. 放射源コレクタ及び製造方法
US10209613B2 (en) 2013-03-12 2019-02-19 Applied Materials, Inc. System and method for manufacturing planarized extreme ultraviolet lithography blank
JP2016519329A (ja) * 2013-03-12 2016-06-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated アモルファス層極端紫外線リソグラフィブランク及びそのための製造・リソグラフィシステム
KR20150130371A (ko) * 2013-03-12 2015-11-23 어플라이드 머티어리얼스, 인코포레이티드 비결정질 층 극자외선 리소그래피 블랭크, 및 그를 위한 제조 및 리소그래피 시스템들
US10788744B2 (en) 2013-03-12 2020-09-29 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
KR102207245B1 (ko) 2013-03-12 2021-01-25 어플라이드 머티어리얼스, 인코포레이티드 비결정질 층 극자외선 리소그래피 블랭크, 및 그를 위한 제조 및 리소그래피 시스템들
US10310382B2 (en) 2013-08-07 2019-06-04 Carl Zeiss Smt Gmbh Mirror, in particular for a microlithographic projection exposure apparatus
JP2016531319A (ja) * 2013-08-07 2016-10-06 カール・ツァイス・エスエムティー・ゲーエムベーハー 特にマイクロリソグラフィ投影露光装置のミラー
JP2017506356A (ja) * 2014-02-07 2017-03-02 エーエスエムエル ネザーランズ ビー.ブイ. ブリスタ耐性のある多層キャップを有するeuv光学素子
WO2019077736A1 (ja) * 2017-10-20 2019-04-25 ギガフォトン株式会社 極端紫外光用ミラー及び極端紫外光生成装置
US11614572B2 (en) 2017-10-20 2023-03-28 Gigaphoton Inc. Mirror for extreme ultraviolet light and extreme ultraviolet light generating apparatus
US20210057864A1 (en) * 2019-08-19 2021-02-25 Iradion Laser, Inc. Enhanced waveguide surface in gas lasers

Also Published As

Publication number Publication date
TWI286871B (en) 2007-09-11
US20070170378A1 (en) 2007-07-26
EP1723472A2 (en) 2006-11-22
WO2005091887A3 (en) 2005-12-29
KR20070006805A (ko) 2007-01-11
WO2005091887A2 (en) 2005-10-06
EP1723472A4 (en) 2010-08-18
US7193228B2 (en) 2007-03-20
TW200536218A (en) 2005-11-01
US20050199830A1 (en) 2005-09-15

Similar Documents

Publication Publication Date Title
JP2007528608A (ja) Euv光源光学要素
US20210109438A1 (en) Membrane for euv lithography
KR100567363B1 (ko) Euvl 다층 구조체
JP4929238B2 (ja) 不動態化保護膜二重層
US10690812B2 (en) Optical element and optical system for EUV lithography, and method for treating such an optical element
CN110501769B (zh) 用于光刻设备内的膜和包括这种膜的光刻设备
US20050276988A1 (en) Protective coating system for reflective optical elements, reflective optical element and method for the production thereof
TW201606335A (zh) 具有非晶層之極紫外線反射元件及其製造方法
TWI609605B (zh) 嚴峻環境光學元件保護技術
JP2005516182A (ja) 不動態化保護膜二重層
JP5211824B2 (ja) Euvリソグラフィ用反射型マスクブランクの製造方法
JP2883100B2 (ja) 軟x線・真空紫外線用ハーフミラー又はビームスプリッター
US6759141B2 (en) Oxidation preventative capping layer for deep-ultra-violet and soft x-ray multilayers
JP2005302860A (ja) 極短紫外線光学系用光学素子及び極短紫外線露光装置
US20230266673A1 (en) Optical element, in particular for reflecting euv radiation, optical arrangement, and method for manufacturing an optical element
KR20220032055A (ko) 광학 요소용 산소 손실 내성 상부 코팅
Ishino et al. Heat stability of Mo/Si multilayers inserted with silicon oxide layers

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080225

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080225

A762 Written abandonment of application

Free format text: JAPANESE INTERMEDIATE CODE: A762

Effective date: 20101222

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110113

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20101222